Features * High Performance, Low Power 32-bit Atmel(R) AVR(R) Microcontroller * * * * * * * * * * * * - Compact Single-Cycle RISC Instruction Set Including DSP Instruction Set - Read-Modify-Write Instructions and Atomic Bit Manipulation - Performing up to 1.51DMIPS/MHz * Up to 126 DMIPS Running at 84MHz from Flash (1 Wait-State) * Up to 63 DMIPS Running at 42MHz from Flash (0 Wait-State) - Memory Protection Unit Multi-Layer Bus System - High-Performance Data Transfers on Separate Buses for Increased Performance - 8 Peripheral DMA Channels (PDCA) Improves Speed for Peripheral Communication - 4 generic DMA Channels for High Bandwidth Data Paths Internal High-Speed Flash - 256KBytes, 128KBytes, 64KBytes versions - Single-Cycle Flash Access up to 36MHz - Prefetch Buffer Optimizing Instruction Execution at Maximum Speed - 4 ms Page Programming Time and 8ms Full-Chip Erase Time - 100,000 Write Cycles, 15-year Data Retention Capability - Flash Security Locks and User Defined Configuration Area Internal High-Speed SRAM - 64KBytes Single-Cycle Access at Full Speed, Connected to CPU Local Bus - 64KBytes (2x32KBytes with independent access) on the Multi-Layer Bus System Interrupt Controller - Autovectored Low Latency Interrupt Service with Programmable Priority System Functions - Power and Clock Manager Including Internal RC Clock and One 32KHz Oscillator - Two Multipurpose Oscillators and Two Phase-Lock-Loop (PLL), - Watchdog Timer, Real-Time Clock Timer External Memories - Support SDRAM, SRAM, NandFlash (1-bit and 4-bit ECC), Compact Flash - Up to 66 MHz External Storage device support - MultiMediaCard (MMC V4.3), Secure-Digital (SD V2.0), SDIO V1.1 - CE-ATA V1.1, FastSD, SmartMedia, Compact Flash - Memory Stick: Standard Format V1.40, PRO Format V1.00, Micro - IDE Interface One Advanced Encryption System (AES) for AT32UC3A3256S, AT32UC3A3128S, AT32UC3A364S, AT32UC3A4256S, AT32UC3A4128S and AT32UC3A364S - 256-, 192-, 128-bit Key Algorithm, Compliant with FIPS PUB 197 Specifications - Buffer Encryption/Decryption Capabilities Universal Serial Bus (USB) - High-Speed USB 2.0 (480Mbit/s) Device and Embedded Host - Flexible End-Point Configuration and Management with Dedicated DMA Channels - On-Chip Transceivers Including Pull-Ups One 8-channel 10-bit Analog-To-Digital Converter, multiplexed with Digital IOs. Two Three-Channel 16-bit Timer/Counter (TC) Four Universal Synchronous/Asynchronous Receiver/Transmitters (USART) - Fractionnal Baudrate Generator 32-bit AVR Microcontroller AT32UC3A3256S AT32UC3A3256 AT32UC3A3128S AT32UC3A3128 AT32UC3A364S AT32UC3A364 AT32UC3A4256S AT32UC3A4256 AT32UC3A4128S AT32UC3A4128 AT32UC3A464S AT32UC3A464 32072H-AVR32-10/2012 AT32UC3A3 * * * * * * * * * - Support for SPI and LIN - Optionnal support for IrDA, ISO7816, Hardware Handshaking, RS485 interfaces and Modem Line Two Master/Slave Serial Peripheral Interfaces (SPI) with Chip Select Signals One Synchronous Serial Protocol Controller - Supports I2S and Generic Frame-Based Protocols Two Master/Slave Two-Wire Interface (TWI), 400kbit/s I2C-compatible 16-bit Stereo Audio Bitstream - Sample Rate Up to 50 KHz QTouch(R) Library Support - Capacitive Touch Buttons, Sliders, and Wheels - QTouch and QMatrix Acquisition On-Chip Debug System (JTAG interface) - Nexus Class 2+, Runtime Control, Non-Intrusive Data and Program Trace 110 General Purpose Input/Output (GPIOs) - Standard or High Speed mode - Toggle capability: up to 84MHz Packages - 144-ball TFBGA, 11x11 mm, pitch 0.8 mm - 144-pin LQFP, 22x22 mm, pitch 0.5 mm - 100-ball VFBGA, 7x7 mm, pitch 0.65 mm Single 3.3V Power Supply 2 32072H-AVR32-10/2012 AT32UC3A3 1. Description The AT32UC3A3/A4 is a complete System-On-Chip microcontroller based on the AVR32 UC RISC processor running at frequencies up to 84MHz. AVR32 UC is a high-performance 32-bit RISC microprocessor core, designed for cost-sensitive embedded applications, with particular emphasis on low power consumption, high code density and high performance. The processor implements a Memory Protection Unit (MPU) and a fast and flexible interrupt controller for supporting modern operating systems and real-time operating systems. Higher computation capabilities are achievable using a rich set of DSP instructions. The AT32UC3A3/A4 incorporates on-chip Flash and SRAM memories for secure and fast access. 64 KBytes of SRAM are directly coupled to the AVR32 UC for performances optimization. Two blocks of 32 Kbytes SRAM are independently attached to the High Speed Bus Matrix, allowing real ping-pong management. The Peripheral Direct Memory Access Controller (PDCA) enables data transfers between peripherals and memories without processor involvement. The PDCA drastically reduces processing overhead when transferring continuous and large data streams. The Power Manager improves design flexibility and security: the on-chip Brown-Out Detector monitors the power supply, the CPU runs from the on-chip RC oscillator or from one of external oscillator sources, a Real-Time Clock and its associated timer keeps track of the time. The device includes two sets of three identical 16-bit Timer/Counter (TC) channels. Each channel can be independently programmed to perform frequency measurement, event counting, interval measurement, pulse generation, delay timing and pulse width modulation. 16-bit channels are combined to operate as 32-bit channels. The AT32UC3A3/A4 also features many communication interfaces for communication intensive applications like UART, SPI or TWI. The USART supports different communication modes, like SPI Mode and LIN Mode. Additionally, a flexible Synchronous Serial Controller (SSC) is available. The SSC provides easy access to serial communication protocols and audio standards like I2S. The AT32UC3A3/A4 includes a powerfull External Bus Interface to interface all standard memory device like SRAM, SDRAM, NAND Flash or parallel interfaces like LCD Module. The peripheral set includes a High Speed MCI for SDIO/SD/MMC and a hardware encryption module based on AES algorithm. The device embeds a 10-bit ADC and a Digital Audio bistream DAC. The Direct Memory Access controller (DMACA) allows high bandwidth data flows between high speed peripherals (USB, External Memories, MMC, SDIO, ...) and through high speed internal features (AES, internal memories). The High-Speed (480MBit/s) USB 2.0 Device and Host interface supports several USB Classes at the same time thanks to the rich Endpoint configuration. The Embedded Host interface allows device like a USB Flash disk or a USB printer to be directly connected to the processor. This periphal has its own dedicated DMA and is perfect for Mass Storage application. AT32UC3A3/A4 integrates a class 2+ Nexus 2.0 On-Chip Debug (OCD) System, with non-intrusive real-time trace, full-speed read/write memory access in addition to basic runtime control. 3 32072H-AVR32-10/2012 AT32UC3A3 2. Overview Block Diagram NEXUS CLASS 2+ OCD MCKO MDO[5..0] MSEO[1..0] EVTI_N EVTO_N USB HS INTERFACE ID VBOF 32KB RAM HRAM0/1 32KB RAM M S M DMA MEMORY PROTECTION UNIT INSTR INTERFACE DATA INTERFACE M M LOCAL BUS INTERFACE S S S HIGH SPEED BUS MATRIX M S DMA GENERAL PURPOSE IOs M S S S CONFIGURATION PB HSB HSB-PB BRIDGE B M REGISTERS BUS HSB PERIPHERAL DMA CONTROLLER HSB-PB BRIDGE A NMI EXTERNAL INTERRUPT CONTROLLER REAL TIME COUNTER VDDIN 1V8 Regulator VDDCORE 115 kHz RCSYS XIN0 XOUT0 XIN1 XOUT1 32 KHz OSC SERIAL PERIPHERAL INTERFACE 0/1 SYNCHRONOUS SERIAL CONTROLLER WATCHDOG TIMER POWER MANAGER CLOCK GENERATOR DATA[15..0] ADDR[23..0] NCS[5..0] NRD NWAIT NWE0 NWE1 NWE3 RAS CAS SDA10 SDCK SDCKE SDWE CFCE1 CFCE2 CFRW NANDOE NANDWE RXD TXD CLK RTS, CTS DSR, DTR, DCD, RI RXD TXD CLK RTS, CTS TXD PA PB PC PX CLK SPCK MISO, MOSI NPCS0 NPCS[3..1] TX_CLOCK, TX_FRAME_SYNC TX_DATA RX_CLOCK, RX_FRAME_SYNC RX_DATA TWCK TWO-WIRE INTERFACE 0/1 TWD TWALM OSC0 OSC1 PLL0 PLL1 RESET_N 256/128/64 KB FLASH RXD GCLK[3..0] A[2..0] B[2..0] CLK[2..0] CLOCK CONTROLLER DMA XIN32 XOUT32 USART3 ANALOG TO DIGITAL CONVERTER DMA GNDCORE DMA SCAN[7..0] DMA EXTINT[7..0] USART0 USART2 DMA INTERRUPT CONTROLLER USART1 DMA MULTIMEDIA CARD & MEMORY STICK INTERFACE DMA DATA[15..0] PA PB PC PX DMA CLK DMA PBA PB CMD[1..0] 64 KB SRAM S DMACA AES FAST GPIO GENERAL PURPOSE IOs USB_VBIAS USB_VBUS DMFS, DMHS DPFS, DPHS AVR32UC CPU FLASH CONTROLLER JTAG INTERFACE EXTERNAL BUS INTERFACE (SDRAM, STATIC MEMORY, COMPACT FLASH & NAND FLASH) TCK TDO TDI TMS Block Diagram MEMORY INTERFACE Figure 2-1. PBB 2.1 AUDIO BITSTREAM DAC SLEEP CONTROLLER RESET CONTROLLER AD[7..0] DATA[1..0] DATAN[1..0] TIMER/COUNTER 0/1 4 32072H-AVR32-10/2012 AT32UC3A3 2.2 Configuration Summary The table below lists all AT32UC3A3/A4 memory and package configurations: Table 2-1. Configuration Summary Feature AT32UC3A3256/128/64 AT32UC3A4256/128/64 Flash 256/128/64 KB SRAM 64 KB HSB RAM 64 KB EBI Full Nand flash only GPIO 110 70 External Interrupts 8 TWI 2 USART 4 Peripheral DMA Channels 8 Generic DMA Channels 4 SPI 2 MCI slots 1 MMC/SD slot + 1 SD slot 2 MMC/SD slots High Speed USB 1 AES (S option) 1 SSC 1 Audio Bitstream DAC 1 Timer/Counter Channels 6 Watchdog Timer 1 Real-Time Clock Timer 1 Power Manager 1 Oscillators PLL 80-240 MHz (PLL0/PLL1) Crystal Oscillators 0.4-20 MHz (OSC0/OSC1) Crystal Oscillator 32 KHz (OSC32K) RC Oscillator 115 kHz (RCSYS) 10-bit ADC number of channels 1 8 JTAG 1 Max Frequency Package 84 MHz LQFP144, TFBGA144 VFBGA100 5 32072H-AVR32-10/2012 AT32UC3A3 3. Package and Pinout 3.1 Package The device pins are multiplexed with peripheral functions as described in the Peripheral Multiplexing on I/O Line section. Figure 3-1. TFBGA144 Pinout (top view) A B C D E F G H J K L M 1 2 3 4 5 6 7 8 9 PX40 PB00 PA28 PA27 PB03 PA29 PC02 PC04 PC05 PX10 PB11 PA31 PB02 VDDIO PB04 PC03 PX09 PX35 GNDIO PB01 PX16 PX13 PA30 PB08 PX08 PX37 PX36 PX47 PX19 PX12 PB10 PX38 VDDIO PX54 PX53 VDDIO PX15 PX39 PX07 PX06 PX49 PX48 PX00 PX05 PX59 PX50 PX01 VDDIO PX58 PX04 PX02 PX03 10 11 12 DPHS VDDIO USB_VBIAS DMFS DMHS USB_VBUS GNDPLL PA09 DPFS GNDCORE PA08 PA10 PA02 PA26 PA11 PB07 PB06 PB09 VDDIN PA25 PA07 VDDCORE PA12 GNDIO GNDIO PA06 PA04 PA05 PA13 PA16 PX51 GNDIO GNDIO PA23 PA24 PA03 PA00 PA01 PX57 VDDIO PC01 PA17 VDDIO PA21 PA22 VDDANA PB05 PX34 PX56 PX55 PA14 PA15 PA19 PA20 TMS TDO RESET_N PX44 GNDIO PX46 PC00 PX17 PX52 PA18 PX27 GNDIO PX29 TCK PX11 GNDIO PX45 PX20 VDDIO PX18 PX43 VDDIN PX26 PX28 GNDANA TDI PX22 PX41 PX42 PX14 PX21 PX23 PX24 PX25 PX32 PX31 PX30 PX33 6 32072H-AVR32-10/2012 AT32UC3A3 LQFP144 Pinout 108 107 106 105 104 103 102 101 100 99 98 97 96 95 94 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 75 74 73 TDI TCK RESET_N TDO TMS VDDIO GNDIO PA15 PA14 PC01 PC00 PX31 PX30 PX33 PX29 PX32 PX25 PX28 PX26 PX27 PX43 PX52 PX24 PX23 PX18 PX17 GNDIO VDDIO PX21 PX55 PX56 PX51 PX57 PX50 PX46 PX20 Figure 3-2. PA21 PA22 PA23 PA24 PA20 PA19 PA18 PA17 GNDANA VDDANA PA25 PA26 PB05 PA00 PA01 PA05 PA03 PA04 PA06 PA16 PA13 VDDIO GNDIO PA12 PA07 PB06 PB07 PA11 PA08 PA10 PA09 GNDCORE VDDCORE VDDIN VDDIN GNDPLL 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 50 49 48 47 46 45 44 43 42 41 40 39 38 37 PX22 PX41 PX45 PX42 PX14 PX11 PX44 GNDIO VDDIO PX03 PX02 PX34 PX04 PX01 PX05 PX58 PX59 PX00 PX07 PX06 PX39 PX38 PX08 PX09 VDDIO GNDIO PX54 PX37 PX36 PX49 PX53 PX48 PX15 PX47 PX35 PX10 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 PX40 PX19 PX12 PX13 PX16 PB11 PB00 PA31 PA28 PB01 PA27 PB02 PB03 PA29 PB04 VDDIO GNDIO PC03 PC02 PB09 PB10 PA02 PA30 PC04 PC05 PB08 VDDIO DPFS DMFS GNDIO DPHS DMHS GNDIO USB_VBIAS VDDIO USB_VBUS 7 32072H-AVR32-10/2012 AT32UC3A3 Figure 3-3. VFBGA100 Pinout (top view) A B C D E F G H J K 1 2 3 4 5 6 7 8 PA28 PA27 PB04 PA30 PC02 PC03 PC05 DPHS DMHS USB_VBUS PB00 PB01 PB02 PA29 VDDIO VDDIO PC04 DPFS DMFS GNDPLL PB11 PA31 GNDIO PB03 PB09 PB08 USB_VBIAS GNDIO PA11 PA10 PX12 PX10 PX13 PX16/ PX53(1) PB10 PB07 PB06 PA09 VDDIN VDDIN PA02/ PX47(1) GNDIO PX08 PX09 VDDIO GNDIO PA16 PA06/ PA13(1) PA04 VDDCORE PX19/ PX59(1) VDDIO PX06 PX07 GNDIO VDDIO PA26/ PB05(1) PA08 PA03 GNDCORE PX05 PX01 PX02 PX00 PX30 PA23/ PX46(1) PA12/ PA25(1) PA00/ PA18(1) PA05 PA01/ PA17(1) PX04 PX21 GNDIO PX25 PX31 PA22/ PX20(1) TMS GNDANA PA20/ PX18(1) PA07/ PA19(1) PX03 PX24 PX26 PX29 VDDIO VDDANA PA15/ PX45(1) TDO RESET_N PA24/ PX17(1) PX23 PX27 PX28 PX15/ PX32(1) PC00/ PX14(1) PC01 PA14/ PX11(1) TDI Note: 9 TCK 10 PA21/ PX22(1) 1. Those balls are physically connected to 2 GPIOs. Software must managed carrefully the GPIO configuration to avoid electrical conflict 8 32072H-AVR32-10/2012 AT32UC3A3 3.2 Peripheral Multiplexing on I/O lines 3.2.1 Multiplexed Signals Each GPIO line can be assigned to one of the peripheral functions. The following table describes the peripheral signals multiplexed to the GPIO lines. Note that GPIO 44 is physically implemented in silicon but it must be kept unused and configured in input mode. Table 3-1. GPIO Controller Function Multiplexing GPIO function G P BGA QFP BGA 144 144 100 122 (1) G11 G12 123 G8 G10 (1) (1) PIN I Type PIN O Supply (2) A B C PA00 0 VDDIO x3 USART0 - RTS TC0 - CLK1 SPI1 - NPCS[3] PA01 1 VDDIO x1 USART0 - CTS TC0 - A1 USART2 - RTS D8 15 PA02 2 VDDIO x1 USART0 - CLK TC0 - B1 SPI0 - NPCS[0] G10 125 F9 PA03 3 VDDIO x1 USART0 - RXD EIC - EXTINT[4] ABDAC - DATA[0] F9 126 E9 PA04 4 VDDIO x1 USART0 - TXD EIC - EXTINT[5] ABDAC - DATAN[0] F10 124 G9 PA05 5 VDDIO x1 USART1 - RXD TC1 - CLK0 USB - ID E1 (1) F8 127 PA06 6 VDDIO x1 USART1 - TXD TC1 - CLK1 USB - VBOF E10 133 H10(1) PA07 7 VDDIO x1 SPI0 - NPCS[3] ABDAC - DATAN[0] USART1 - CLK C11 137 F8 PA08 8 VDDIO x3 SPI0 - SPCK ABDAC - DATA[0] TC1 - B1 B12 139 D8 PA09 9 VDDIO x2 SPI0 - NPCS[0] EIC - EXTINT[6] TC1 - A1 C12 138 C10 PA10 10 VDDIO x2 SPI0 - MOSI USB - VBOF TC1 - B0 D10 136 C9 PA11 11 VDDIO x2 SPI0 - MISO USB - ID TC1 - A2 (1) PA12 12 VDDIO x1 USART1 - CTS SPI0 - NPCS[2] TC1 - A0 (1) PA13 13 VDDIO x1 USART1 - RTS SPI0 - NPCS[1] EIC - EXTINT[7] (1) E12 F11 132 129 E8 G7 E8 J6 100 K7 PA14 14 VDDIO x1 SPI0 - NPCS[1] TWIMS0 - TWALM TWIMS1 - TWCK J7 101 J7(1) PA15 15 VDDIO x1 MCI - CMD[1] SPI1 - SPCK TWIMS1 - TWD F12 128 E7 PA16 16 VDDIO x1 MCI - DATA[11] SPI1 - MOSI TC1 - CLK2 PA17 17 VDDANA x1 MCI - DATA[10] SPI1 - NPCS[1] ADC - AD[7] H7 116 G10 (1) (1) K8 115 G8 PA18 18 VDDANA x1 MCI - DATA[9] SPI1 - NPCS[2] ADC - AD[6] J8 114 H10(1) PA19 19 VDDANA x1 MCI - DATA[8] SPI1 - MISO ADC - AD[5] J9 113 H9(1) PA20 20 VDDANA x1 EIC - NMI SSC - RX_FRAME_SYNC ADC - AD[4] USB - ID H9 109 (1) PA21 21 VDDANA x1 ADC - AD[0] EIC - EXTINT[0] (1) K10 H10 110 H6 PA22 22 VDDANA x1 ADC - AD[1] EIC - EXTINT[1] USB - VBOF G8 111 G6(1) PA23 23 VDDANA x1 ADC - AD[2] EIC - EXTINT[2] ABDAC - DATA[1] G9 112 J10(1) PA24 24 VDDANA x1 ADC - AD[3] EIC - EXTINT[3] ABDAC - DATAN[1] 119 (1) PA25 25 VDDIO x1 TWIMS0 - TWD TWIMS1 - TWALM USART1 - DCD E9 G7 (1) D D9 120 F7 ) PA26 26 VDDIO x1 TWIMS0 - TWCK USART2 - CTS USART1 - DSR A4 26 A2 PA27 27 VDDIO x2 MCI - CLK SSC - RX_DATA USART3 - RTS MSI - SCLK A3 28 A1 PA28 28 VDDIO x1 MCI - CMD[0] SSC - RX_CLOCK USART3 - CTS MSI - BS A6 23 B4 PA29 29 VDDIO x1 MCI - DATA[0] USART3 - TXD TC0 - CLK0 MSI - DATA[0] 9 32072H-AVR32-10/2012 AT32UC3A3 Table 3-1. GPIO Controller Function Multiplexing GPIO function G P PIN BGA QFP BGA 144 144 100 PIN O Supply (2) A B C D C7 14 A4 PA30 30 VDDIO x1 MCI - DATA[1] USART3 - CLK DMACA - DMAACK[0] MSI - DATA[1] B3 29 C2 PA31 31 VDDIO x1 MCI - DATA[2] USART2 - RXD DMACA - DMARQ[0] MSI - DATA[2] A2 30 B1 PB00 32 VDDIO x1 MCI - DATA[3] USART2 - TXD ADC - TRIGGER MSI - DATA[3] C4 27 B2 PB01 33 VDDIO x1 MCI - DATA[4] ABDAC - DATA[1] EIC - SCAN[0] MSI - INS B4 25 B3 PB02 34 VDDIO x1 MCI - DATA[5] ABDAC - DATAN[1] EIC - SCAN[1] A5 24 C4 PB03 35 VDDIO x1 MCI - DATA[6] USART2 - CLK EIC - SCAN[2] I Type B6 22 A3 PB04 36 VDDIO x1 MCI - DATA[7] USART3 - RXD EIC - SCAN[3] H12 121 F7(1) PB05 37 VDDIO x3 USB - ID TC0 - A0 EIC - SCAN[4] D12 134 D7 PB06 38 VDDIO x1 USB - VBOF TC0 - B0 EIC - SCAN[5] D11 135 D6 PB07 39 VDDIO x3 SPI1 - SPCK SSC - TX_CLOCK EIC - SCAN[6] C8 11 C6 PB08 40 VDDIO x2 SPI1 - MISO SSC - TX_DATA EIC - SCAN[7] E7 17 C5 PB09 41 VDDIO x2 SPI1 - NPCS[0] SSC - RX_DATA EBI - NCS[4] D7 16 D5 PB10 42 VDDIO x2 SPI1 - MOSI SSC - RX_FRAME_SYNC EBI - NCS[5] B2 31 C1 PB11 43 VDDIO x1 USART1 - RXD SSC - TX_FRAME_SYNC PM - GCLK[1] (1) K5 98 PC00 45 VDDIO x1 H6 99 K6 PC01 46 VDDIO x1 A7 18 A5 PC02 47 VDDIO x1 B7 19 A6 PC03 48 VDDIO x1 A8 13 B7 PC04 49 VDDIO x1 A9 12 A7 PC05 50 VDDIO x1 G1 55 G4 PX00 51 VDDIO x2 EBI - DATA[10] USART0 - RXD USART1 - RI H1 59 G2 PX01 52 VDDIO x2 EBI - DATA[9] USART0 - TXD USART1 - DTR PM - GCLK[0] K5 J2 62 G3 PX02 53 VDDIO x2 EBI - DATA[8] USART0 - CTS K1 63 J1 PX03 54 VDDIO x2 EBI - DATA[7] USART0 - RTS J1 60 H1 PX04 55 VDDIO x2 EBI - DATA[6] USART1 - RXD G2 58 G1 PX05 56 VDDIO x2 EBI - DATA[5] USART1 - TXD F3 53 F3 PX06 57 VDDIO x2 EBI - DATA[4] USART1 - CTS F2 54 F4 PX07 58 VDDIO x2 EBI - DATA[3] USART1 - RTS D1 50 E3 PX08 59 VDDIO x2 EBI - DATA[2] USART3 - RXD C1 49 E4 PX09 60 VDDIO x2 EBI - DATA[1] USART3 - TXD B1 37 D2 PX10 61 VDDIO x2 EBI - DATA[0] USART2 - RXD L1 67 K7(1) PX11 62 VDDIO x2 EBI - NWE1 USART2 - TXD D6 34 D1 PX12 63 VDDIO x2 EBI - NWE0 USART2 - CTS MCI - CLK C6 33 D3 PX13 64 VDDIO x2 EBI - NRD USART2 - RTS MCI - CLK (1) M4 68 K5 PX14 65 VDDIO x2 EBI - NCS[1] E6 40 K4(1) PX15 66 VDDIO x2 EBI - ADDR[19] USART3 - RTS TC0 - B0 C5 32 D4(1) PX16 67 VDDIO x2 EBI - ADDR[18] USART3 - CTS TC0 - A1 83 (1) PX17 68 VDDIO x2 EBI - ADDR[17] DMACA - DMARQ[1] TC0 - B1 K6 J10 TC0 - A0 10 32072H-AVR32-10/2012 AT32UC3A3 Table 3-1. GPIO Controller Function Multiplexing GPIO function G P BGA QFP BGA 144 144 100 L6 D5 84 35 I Type PIN O Supply (2) A B C (1) PX18 69 VDDIO x2 EBI - ADDR[16] DMACA - DMAACK[1] TC0 - A2 (1) PX19 70 VDDIO x2 EBI - ADDR[15] EIC - SCAN[0] TC0 - B2 H9 F1 PIN (1) L4 73 PX20 71 VDDIO x2 EBI - ADDR[14] EIC - SCAN[1] TC0 - CLK0 M5 80 H2 PX21 72 VDDIO x2 EBI - ADDR[13] EIC - SCAN[2] TC0 - CLK1 M1 72 K10(1) PX22 73 VDDIO x2 EBI - ADDR[12] EIC - SCAN[3] TC0 - CLK2 M6 85 K1 PX23 74 VDDIO x2 EBI - ADDR[11] EIC - SCAN[4] SSC - TX_CLOCK H6 M7 86 J2 PX24 75 VDDIO x2 EBI - ADDR[10] EIC - SCAN[5] SSC - TX_DATA M8 92 H4 PX25 76 VDDIO x2 EBI - ADDR[9] EIC - SCAN[6] SSC - RX_DATA L9 90 J3 PX26 77 VDDIO x2 EBI - ADDR[8] EIC - SCAN[7] SSC - RX_FRAME_SYNC K9 89 K2 PX27 78 VDDIO x2 EBI - ADDR[7] SPI0 - MISO SSC - TX_FRAME_SYNC SSC - RX_CLOCK L10 91 K3 PX28 79 VDDIO x2 EBI - ADDR[6] SPI0 - MOSI K11 94 J4 PX29 80 VDDIO x2 EBI - ADDR[5] SPI0 - SPCK M11 96 G5 PX30 81 VDDIO x2 EBI - ADDR[4] SPI0 - NPCS[0] M10 97 H5 PX31 82 VDDIO x2 EBI - ADDR[3] SPI0 - NPCS[1] (1) M9 93 PX32 83 VDDIO x2 EBI - ADDR[2] SPI0 - NPCS[2] M12 95 PX33 84 VDDIO x2 EBI - ADDR[1] SPI0 - NPCS[3] J3 61 PX34 85 VDDIO x2 EBI - ADDR[0] SPI1 - MISO PM - GCLK[0] C2 38 PX35 86 VDDIO x2 EBI - DATA[15] SPI1 - MOSI PM - GCLK[1] K4 D3 44 PX36 87 VDDIO x2 EBI - DATA[14] SPI1 - SPCK PM - GCLK[2] D2 45 PX37 88 VDDIO x2 EBI - DATA[13] SPI1 - NPCS[0] PM - GCLK[3] E1 51 PX38 89 VDDIO x2 EBI - DATA[12] SPI1 - NPCS[1] USART1 - DCD F1 52 PX39 90 VDDIO x2 EBI - DATA[11] SPI1 - NPCS[2] USART1 - DSR A1 36 PX40 91 VDDIO x2 M2 71 PX41 92 VDDIO x2 EBI - CAS M3 69 PX42 93 VDDIO x2 EBI - RAS L7 88 PX43 94 VDDIO x2 EBI - SDA10 USART1 - RI K2 66 PX44 95 VDDIO x2 EBI - SDWE USART1 - DTR L3 70 J7(1) PX45 96 VDDIO x3 EBI - SDCK K4 74 G6(1) PX46 97 VDDIO x2 EBI - SDCKE 39 (1) PX47 98 VDDIO x2 EBI - NANDOE ADC - TRIGGER MCI - DATA[11] D4 E1 MCI - CLK F5 41 PX48 99 VDDIO x2 EBI - ADDR[23] USB - VBOF MCI - DATA[10] F4 43 PX49 100 VDDIO x2 EBI - CFRNW USB - ID MCI - DATA[9] G4 75 PX50 101 VDDIO x2 EBI - CFCE2 TC1 - B2 MCI - DATA[8] G5 77 PX51 102 VDDIO x2 EBI - CFCE1 DMACA - DMAACK[0] MCI - DATA[15] PX52 103 VDDIO x2 EBI - NCS[3] DMACA - DMARQ[0] MCI - DATA[14] PX53 104 VDDIO x2 EBI - NCS[2] K7 87 E4 42 E3 46 PX54 105 VDDIO x2 EBI - NWAIT USART3 - TXD MCI - DATA[12] J5 79 PX55 106 VDDIO x2 EBI - ADDR[22] EIC - SCAN[3] USART2 - RXD D4(1) D MCI - DATA[13] 11 32072H-AVR32-10/2012 AT32UC3A3 Table 3-1. GPIO Controller Function Multiplexing GPIO function G P BGA QFP BGA 144 144 100 J4 PIN I Type PIN O Supply (2) A B C 78 PX56 107 VDDIO x2 EBI - ADDR[21] EIC - SCAN[2] USART2 - TXD H4 76 PX57 108 VDDIO x2 EBI - ADDR[20] EIC - SCAN[1] USART3 - RXD H3 57 EIC - SCAN[0] USART3 - TXD G3 56 F1(1) PX58 109 VDDIO x2 EBI - NCS[0] PX59 110 VDDIO x2 EBI - NANDWE Note: D MCI - CMD[1] 1. Those balls are physically connected to 2 GPIOs. Software must managed carrefully the GPIO configuration to avoid electrical conflict. 2. Refer to "Electrical Characteristics" on page 960 for a description of the electrical properties of the pad types used.. 3.2.2 Peripheral Functions Each GPIO line can be assigned to one of several peripheral functions. The following table describes how the various peripheral functions are selected. The last listed function has priority in case multiple functions are enabled on the same pin. Table 3-2. 3.2.3 Peripheral Functions Function Description GPIO Controller Function multiplexing GPIO and GPIO peripheral selection A to D Nexus OCD AUX port connections OCD trace system JTAG port connections JTAG debug port Oscillators OSC0, OSC1, OSC32 Oscillator Pinout The oscillators are not mapped to the normal GPIO functions and their muxings are controlled by registers in the Power Mananger (PM). Please refer to the PM chapter for more information about this. Table 3-3.Oscillator Pinout TFBGA144 QFP144 VFBGA100 Pin name Oscillator pin A7 18 A5 PC02 XIN0 B7 19 A6 PC03 XOUT0 A8 13 B7 PC04 XIN1 A9 12 A7 PC05 XOUT1 PC00 XIN32 PC01 XOUT32 Note: K5 98 H6 99 K5 (1) K6 1. This ball is physically connected to 2 GPIOs. Software must managed carrefully the GPIO configuration to avoid electrical conflict 12 32072H-AVR32-10/2012 AT32UC3A3 3.2.4 JTAG port connections Table 3-4. 3.2.5 JTAG Pinout TFBGA144 QFP144 VFBGA100 Pin name JTAG pin K12 107 K9 TCK TCK L12 108 K8 TDI TDI J11 105 J8 TDO TDO J10 104 H7 TMS TMS Nexus OCD AUX port connections If the OCD trace system is enabled, the trace system will take control over a number of pins, irrespective of the GPIO configuration. Three differents OCD trace pin mappings are possible, depending on the configuration of the OCD AXS register. For details, see the AVR32 UC Technical Reference Manual. Table 3-5. Nexus OCD AUX port connections Pin AXS=0 AXS=1 AXS=2 EVTI_N PB05 PA08 PX00 MDO[5] PA00 PX56 PX06 MDO[4] PA01 PX57 PX05 MDO[3] PA03 PX58 PX04 MDO[2] PA16 PA24 PX03 MDO[1] PA13 PA23 PX02 MDO[0] PA12 PA22 PX01 MSEO[1] PA10 PA07 PX08 MSEO[0] PA11 PX55 PX07 MCKO PB07 PX00 PB09 EVTO_N PB06 PB06 PB06 13 32072H-AVR32-10/2012 AT32UC3A3 3.3 Signal Descriptions The following table gives details on signal name classified by peripheral. Table 3-6. Signal Description List Signal Name Function Type Active Level Comments Power VDDIO I/O Power Supply Power 3.0 to 3.6V VDDANA Analog Power Supply Power 3.0 to 3.6V VDDIN Voltage Regulator Input Supply Power 3.0 to 3.6V VDDCORE Voltage Regulator Output for Digital Supply Power Output 1.65 to 1.95 V GNDANA Analog Ground Ground GNDIO I/O Ground Ground GNDCORE Digital Ground Ground GNDPLL PLL Ground Ground Clocks, Oscillators, and PLL's XIN0, XIN1, XIN32 Crystal 0, 1, 32 Input Analog XOUT0, XOUT1, XOUT32 Crystal 0, 1, 32 Output Analog JTAG TCK Test Clock Input TDI Test Data In Input TDO Test Data Out TMS Test Mode Select Output Input Auxiliary Port - AUX MCKO Trace Data Output Clock Output MDO[5:0] Trace Data Output Output MSEO[1:0] Trace Frame Control Output EVTI_N Event In EVTO_N Event Out Input Low Output Low Power Manager - PM GCLK[3:0] Generic Clock Pins Output 14 32072H-AVR32-10/2012 AT32UC3A3 Table 3-6. Signal Description List Signal Name Function Type Active Level RESET_N Reset Pin Input Low Comments DMA Controller - DMACA (optional) DMAACK[1:0] DMA Acknowledge DMARQ[1:0] DMA Requests Output Input External Interrupt Controller - EIC EXTINT[7:0] External Interrupt Pins Input SCAN[7:0] Keypad Scan Pins NMI Non-Maskable Interrupt Pin Output Input Low General Purpose Input/Output pin - GPIOA, GPIOB, GPIOC, GPIOX PA[31:0] Parallel I/O Controller GPIO port A I/O PB[11:0] Parallel I/O Controller GPIO port B I/O PC[5:0] Parallel I/O Controller GPIO port C I/O PX[59:0] Parallel I/O Controller GPIO port X I/O External Bus Interface - EBI ADDR[23:0] Address Bus Output CAS Column Signal Output Low CFCE1 Compact Flash 1 Chip Enable Output Low CFCE2 Compact Flash 2 Chip Enable Output Low CFRNW Compact Flash Read Not Write Output DATA[15:0] Data Bus NANDOE NAND Flash Output Enable Output Low NANDWE NAND Flash Write Enable Output Low NCS[5:0] Chip Select Output Low NRD Read Signal Output Low NWAIT External Wait Signal Input Low NWE0 Write Enable 0 Output Low NWE1 Write Enable 1 Output Low RAS Row Signal Output Low I/O 15 32072H-AVR32-10/2012 AT32UC3A3 Table 3-6. Signal Description List Signal Name Function Type SDA10 SDRAM Address 10 Line Output SDCK SDRAM Clock Output SDCKE SDRAM Clock Enable Output SDWE SDRAM Write Enable Output Active Level Comments Low MultiMedia Card Interface - MCI CLK Multimedia Card Clock Output CMD[1:0] Multimedia Card Command I/O DATA[15:0] Multimedia Card Data I/O Memory Stick Interface - MSI SCLK Memory Stick Clock Output BS Memory Stick Command I/O DATA[3:0] Multimedia Card Data I/O Serial Peripheral Interface - SPI0, SPI1 MISO Master In Slave Out I/O MOSI Master Out Slave In I/O NPCS[3:0] SPI Peripheral Chip Select I/O SPCK Clock Low Output Synchronous Serial Controller - SSC RX_CLOCK SSC Receive Clock I/O RX_DATA SSC Receive Data Input RX_FRAME_SYNC SSC Receive Frame Sync I/O TX_CLOCK SSC Transmit Clock I/O TX_DATA SSC Transmit Data Output TX_FRAME_SYNC SSC Transmit Frame Sync I/O Timer/Counter - TC0, TC1 A0 Channel 0 Line A I/O A1 Channel 1 Line A I/O A2 Channel 2 Line A I/O 16 32072H-AVR32-10/2012 AT32UC3A3 Table 3-6. Signal Description List Signal Name Function Type B0 Channel 0 Line B I/O B1 Channel 1 Line B I/O B2 Channel 2 Line B I/O CLK0 Channel 0 External Clock Input Input CLK1 Channel 1 External Clock Input Input CLK2 Channel 2 External Clock Input Input Active Level Comments Two-wire Interface - TWI0, TWI1 TWCK Serial Clock I/O TWD Serial Data I/O TWALM SMBALERT signal I/O Universal Synchronous Asynchronous Receiver Transmitter - USART0, USART1, USART2, USART3 CLK Clock I/O CTS Clear To Send DCD Data Carrier Detect Only USART1 DSR Data Set Ready Only USART1 DTR Data Terminal Ready Only USART1 RI Ring Indicator Only USART1 RTS Request To Send RXD Receive Data Input TXD Transmit Data Output Input Output Analog to Digital Converter - ADC AD0 - AD7 Analog input pins Analog input Audio Bitstream DAC (ABDAC) DATA0-DATA1 D/A Data out Output DATAN0-DATAN1 D/A Data inverted out Output Universal Serial Bus Device - USB DMFS USB Full Speed Data - Analog DPFS USB Full Speed Data + Analog 17 32072H-AVR32-10/2012 AT32UC3A3 Table 3-6. Signal Description List Signal Name Function Type DMHS USB High Speed Data - Analog DPHS USB High Speed Data + Analog USB_VBIAS USB VBIAS reference Analog USB_VBUS USB VBUS signal Output VBOF USB VBUS on/off bus power control port Output ID ID Pin fo the USB bus Active Level Comments Connect to the ground through a 6810 ohms (+/- 1%) resistor in parallel with a 10pf capacitor. If USB hi-speed feature is not required, leave this pin unconnected to save power Input 18 32072H-AVR32-10/2012 AT32UC3A3 3.4 3.4.1 I/O Line Considerations JTAG Pins TMS and TDI pins have pull-up resistors. TDO pin is an output, driven at up to VDDIO, and has no pull-up resistor. 3.4.2 RESET_N Pin The RESET_N pin is a schmitt input and integrates a permanent pull-up resistor to VDDIO. As the product integrates a power-on reset cell, the RESET_N pin can be left unconnected in case no reset from the system needs to be applied to the product. 3.4.3 TWI Pins When these pins are used for TWI, the pins are open-drain outputs with slew-rate limitation and inputs with inputs with spike filtering. When used as GPIO pins or used for other peripherals, the pins have the same characteristics as other GPIO pins. 3.4.4 GPIO Pins All the I/O lines integrate a programmable pull-up resistor. Programming of this pull-up resistor is performed independently for each I/O line through the I/O Controller. After reset, I/O lines default as inputs with pull-up resistors disabled, except when indicated otherwise in the column "Reset State" of the I/O Controller multiplexing tables. 19 32072H-AVR32-10/2012 AT32UC3A3 3.5 3.5.1 Power Considerations Power Supplies The AT32UC3A3 has several types of power supply pins: * * * * VDDIO: Powers I/O lines. Voltage is 3.3V nominal VDDANA: Powers the ADC. Voltage is 3.3V nominal VDDIN: Input voltage for the voltage regulator. Voltage is 3.3V nominal VDDCORE: Output voltage from regulator for filtering purpose and provides the supply to the core, memories, and peripherals. Voltage is 1.8V nominal The ground pin GNDCORE is common to VDDCORE and VDDIN. The ground pin for VDDANA is GNDANA. The ground pins for VDDIO are GNDIO. Refer to Electrical Characteristics chapter for power consumption on the various supply pins. 3.5.2 Voltage Regulator The AT32UC3A3 embeds a voltage regulator that converts from 3.3V to 1.8V with a load of up to 100 mA. The regulator takes its input voltage from VDDIN, and supplies the output voltage on VDDCORE and powers the core, memories and peripherals. Adequate output supply decoupling is mandatory for VDDCORE to reduce ripple and avoid oscillations. The best way to achieve this is to use two capacitors in parallel between VDDCORE and GNDCORE: * One external 470pF (or 1nF) NPO capacitor (COUT1) should be connected as close to the chip as possible. * One external 2.2F (or 3.3F) X7R capacitor (COUT2). Adequate input supply decoupling is mandatory for VDDIN in order to improve startup stability and reduce source voltage drop. The input decoupling capacitor should be placed close to the chip, e.g., two capacitors can be used in parallel (1nF NPO and 4.7F X7R). 3.3V VDDIN CIN2 CIN1 1.8V 1.8V Regulator VDDCORE COUT2 COUT1 For decoupling recommendations for VDDIO and VDDANA please refer to the Schematic checklist. 20 32072H-AVR32-10/2012 AT32UC3A3 4. Processor and Architecture Rev: 1.4.2.0 This chapter gives an overview of the AVR32UC CPU. AVR32UC is an implementation of the AVR32 architecture. A summary of the programming model, instruction set, and MPU is presented. For further details, see the AVR32 Architecture Manual and the AVR32UC Technical Reference Manual. 4.1 Features * 32-bit load/store AVR32A RISC architecture - - - - - 15 general-purpose 32-bit registers 32-bit Stack Pointer, Program Counter and Link Register reside in register file Fully orthogonal instruction set Privileged and unprivileged modes enabling efficient and secure Operating Systems Innovative instruction set together with variable instruction length ensuring industry leading code density - DSP extention with saturating arithmetic, and a wide variety of multiply instructions * 3-stage pipeline allows one instruction per clock cycle for most instructions - Byte, halfword, word and double word memory access - Multiple interrupt priority levels * MPU allows for operating systems with memory protection 4.2 AVR32 Architecture AVR32 is a high-performance 32-bit RISC microprocessor architecture, designed for cost-sensitive embedded applications, with particular emphasis on low power consumption and high code density. In addition, the instruction set architecture has been tuned to allow a variety of microarchitectures, enabling the AVR32 to be implemented as low-, mid-, or high-performance processors. AVR32 extends the AVR family into the world of 32- and 64-bit applications. Through a quantitative approach, a large set of industry recognized benchmarks has been compiled and analyzed to achieve the best code density in its class. In addition to lowering the memory requirements, a compact code size also contributes to the core's low power characteristics. The processor supports byte and halfword data types without penalty in code size and performance. Memory load and store operations are provided for byte, halfword, word, and double word data with automatic sign- or zero extension of halfword and byte data. The C-compiler is closely linked to the architecture and is able to exploit code optimization features, both for size and speed. In order to reduce code size to a minimum, some instructions have multiple addressing modes. As an example, instructions with immediates often have a compact format with a smaller immediate, and an extended format with a larger immediate. In this way, the compiler is able to use the format giving the smallest code size. Another feature of the instruction set is that frequently used instructions, like add, have a compact format with two operands as well as an extended format with three operands. The larger format increases performance, allowing an addition and a data move in the same instruction in a single cycle. Load and store instructions have several different formats in order to reduce code size and speed up execution. 21 32072H-AVR32-10/2012 AT32UC3A3 The register file is organized as sixteen 32-bit registers and includes the Program Counter, the Link Register, and the Stack Pointer. In addition, register R12 is designed to hold return values from function calls and is used implicitly by some instructions. 4.3 The AVR32UC CPU The AVR32UC CPU targets low- and medium-performance applications, and provides an advanced OCD system, no caches, and a Memory Protection Unit (MPU). Java acceleration hardware is not implemented. AVR32UC provides three memory interfaces, one High Speed Bus master for instruction fetch, one High Speed Bus master for data access, and one High Speed Bus slave interface allowing other bus masters to access data RAMs internal to the CPU. Keeping data RAMs internal to the CPU allows fast access to the RAMs, reduces latency, and guarantees deterministic timing. Also, power consumption is reduced by not needing a full High Speed Bus access for memory accesses. A dedicated data RAM interface is provided for communicating with the internal data RAMs. A local bus interface is provided for connecting the CPU to device-specific high-speed systems, such as floating-point units and fast GPIO ports. This local bus has to be enabled by writing the LOCEN bit in the CPUCR system register. The local bus is able to transfer data between the CPU and the local bus slave in a single clock cycle. The local bus has a dedicated memory range allocated to it, and data transfers are performed using regular load and store instructions. Details on which devices that are mapped into the local bus space is given in the Memories chapter of this data sheet. Figure 4-1 on page 23 displays the contents of AVR32UC. 22 32072H-AVR32-10/2012 AT32UC3A3 OCD interface Reset interface Overview of the AVR32UC CPU Interrupt controller interface Figure 4-1. OCD system Power/ Reset control AVR32UC CPU pipeline MPU 4.3.1 CPU Local Bus master Data RAM interface High Speed Bus slave CPU Local Bus High Speed Bus master High Speed Bus High Speed Bus High Speed Bus master High Speed Bus Data memory controller Instruction memory controller Pipeline Overview AVR32UC has three pipeline stages, Instruction Fetch (IF), Instruction Decode (ID), and Instruction Execute (EX). The EX stage is split into three parallel subsections, one arithmetic/logic (ALU) section, one multiply (MUL) section, and one load/store (LS) section. Instructions are issued and complete in order. Certain operations require several clock cycles to complete, and in this case, the instruction resides in the ID and EX stages for the required number of clock cycles. Since there is only three pipeline stages, no internal data forwarding is required, and no data dependencies can arise in the pipeline. Figure 4-2 on page 24 shows an overview of the AVR32UC pipeline stages. 23 32072H-AVR32-10/2012 AT32UC3A3 Figure 4-2. The AVR32UC Pipeline Multiply unit MUL IF ID Pref etch unit Decode unit Regf ile Read A LU LS 4.3.2 Regf ile w rite A LU unit Load-store unit AVR32A Microarchitecture Compliance AVR32UC implements an AVR32A microarchitecture. The AVR32A microarchitecture is targeted at cost-sensitive, lower-end applications like smaller microcontrollers. This microarchitecture does not provide dedicated hardware registers for shadowing of register file registers in interrupt contexts. Additionally, it does not provide hardware registers for the return address registers and return status registers. Instead, all this information is stored on the system stack. This saves chip area at the expense of slower interrupt handling. Upon interrupt initiation, registers R8-R12 are automatically pushed to the system stack. These registers are pushed regardless of the priority level of the pending interrupt. The return address and status register are also automatically pushed to stack. The interrupt handler can therefore use R8-R12 freely. Upon interrupt completion, the old R8-R12 registers and status register are restored, and execution continues at the return address stored popped from stack. The stack is also used to store the status register and return address for exceptions and scall. Executing the rete or rets instruction at the completion of an exception or system call will pop this status register and continue execution at the popped return address. 4.3.3 Java Support AVR32UC does not provide Java hardware acceleration. 4.3.4 Memory Protection The MPU allows the user to check all memory accesses for privilege violations. If an access is attempted to an illegal memory address, the access is aborted and an exception is taken. The MPU in AVR32UC is specified in the AVR32UC Technical Reference manual. 4.3.5 Unaligned Reference Handling AVR32UC does not support unaligned accesses, except for doubleword accesses. AVR32UC is able to perform word-aligned st.d and ld.d. Any other unaligned memory access will cause an address exception. Doubleword-sized accesses with word-aligned pointers will automatically be performed as two word-sized accesses. 24 32072H-AVR32-10/2012 AT32UC3A3 The following table shows the instructions with support for unaligned addresses. All other instructions require aligned addresses. Table 4-1. 4.3.6 Instructions with Unaligned Reference Support Instruction Supported alignment ld.d Word st.d Word Unimplemented Instructions The following instructions are unimplemented in AVR32UC, and will cause an Unimplemented Instruction Exception if executed: * All SIMD instructions * All coprocessor instructions if no coprocessors are present * retj, incjosp, popjc, pushjc * tlbr, tlbs, tlbw * cache 4.3.7 CPU and Architecture Revision Three major revisions of the AVR32UC CPU currently exist. The Architecture Revision field in the CONFIG0 system register identifies which architecture revision is implemented in a specific device. AVR32UC CPU revision 3 is fully backward-compatible with revisions 1 and 2, ie. code compiled for revision 1 or 2 is binary-compatible with revision 3 CPUs. 25 32072H-AVR32-10/2012 AT32UC3A3 4.4 4.4.1 Programming Model Register File Configuration The AVR32UC register file is shown below. Figure 4-3. The AVR32UC Register File Application Supervisor INT0 Bit 31 Bit 31 Bit 31 Bit 0 Bit 0 INT1 Bit 0 INT2 Bit 31 Bit 0 INT3 Bit 31 Bit 0 Bit 31 Bit 0 Exception NMI Bit 31 Bit 31 Bit 0 Secure Bit 0 Bit 31 Bit 0 PC LR SP_APP R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SYS R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 PC LR SP_SEC R12 R11 R10 R9 R8 INT0PC R7 INT1PC R6 FINTPC R5 SMPC R4 R3 R2 R1 R0 SR SR SR SR SR SR SR SR SR SS_STATUS SS_ADRF SS_ADRR SS_ADR0 SS_ADR1 SS_SP_SYS SS_SP_APP SS_RAR SS_RSR 4.4.2 Status Register Configuration The Status Register (SR) is split into two halfwords, one upper and one lower, see Figure 4-4 on page 26 and Figure 4-5 on page 27. The lower word contains the C, Z, N, V, and Q condition code flags and the R, T, and L bits, while the upper halfword contains information about the mode and state the processor executes in. Refer to the AVR32 Architecture Manual for details. Figure 4-4. The Status Register High Halfword Bit 31 Bit 16 - LC 1 - - DM D - M2 M1 M0 EM I3M I2M FE I1M I0M GM 0 0 0 0 0 0 0 0 0 1 1 0 0 0 0 1 Bit name Initial value Global Interrupt Mask Interrupt Level 0 Mask Interrupt Level 1 Mask Interrupt Level 2 Mask Interrupt Level 3 Mask Exception Mask Mode Bit 0 Mode Bit 1 Mode Bit 2 Reserved Debug State Debug State Mask Reserved 26 32072H-AVR32-10/2012 AT32UC3A3 Figure 4-5. The Status Register Low Halfword Bit 15 Bit 0 - T - - - - - - - - L Q V N Z C Bit name 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Initial value Carry Zero Sign Overflow Saturation Lock Reserved Scratch Reserved 4.4.3 4.4.3.1 Processor States Normal RISC State The AVR32 processor supports several different execution contexts as shown in Table 4-2 on page 27. Table 4-2. Overview of Execution Modes, their Priorities and Privilege Levels. Priority Mode Security Description 1 Non Maskable Interrupt Privileged Non Maskable high priority interrupt mode 2 Exception Privileged Execute exceptions 3 Interrupt 3 Privileged General purpose interrupt mode 4 Interrupt 2 Privileged General purpose interrupt mode 5 Interrupt 1 Privileged General purpose interrupt mode 6 Interrupt 0 Privileged General purpose interrupt mode N/A Supervisor Privileged Runs supervisor calls N/A Application Unprivileged Normal program execution mode Mode changes can be made under software control, or can be caused by external interrupts or exception processing. A mode can be interrupted by a higher priority mode, but never by one with lower priority. Nested exceptions can be supported with a minimal software overhead. When running an operating system on the AVR32, user processes will typically execute in the application mode. The programs executed in this mode are restricted from executing certain instructions. Furthermore, most system registers together with the upper halfword of the status register cannot be accessed. Protected memory areas are also not available. All other operating modes are privileged and are collectively called System Modes. They have full access to all privileged and unprivileged resources. After a reset, the processor will be in supervisor mode. 4.4.3.2 Debug State The AVR32 can be set in a debug state, which allows implementation of software monitor routines that can read out and alter system information for use during application development. This implies that all system and application registers, including the status registers and program counters, are accessible in debug state. The privileged instructions are also available. 27 32072H-AVR32-10/2012 AT32UC3A3 All interrupt levels are by default disabled when debug state is entered, but they can individually be switched on by the monitor routine by clearing the respective mask bit in the status register. Debug state can be entered as described in the AVR32UC Technical Reference Manual. Debug state is exited by the retd instruction. 4.4.4 System Registers The system registers are placed outside of the virtual memory space, and are only accessible using the privileged mfsr and mtsr instructions. The table below lists the system registers specified in the AVR32 architecture, some of which are unused in AVR32UC. The programmer is responsible for maintaining correct sequencing of any instructions following a mtsr instruction. For detail on the system registers, refer to the AVR32UC Technical Reference Manual. Table 4-3. System Registers Reg # Address Name Function 0 0 SR Status Register 1 4 EVBA Exception Vector Base Address 2 8 ACBA Application Call Base Address 3 12 CPUCR CPU Control Register 4 16 ECR Exception Cause Register 5 20 RSR_SUP Unused in AVR32UC 6 24 RSR_INT0 Unused in AVR32UC 7 28 RSR_INT1 Unused in AVR32UC 8 32 RSR_INT2 Unused in AVR32UC 9 36 RSR_INT3 Unused in AVR32UC 10 40 RSR_EX Unused in AVR32UC 11 44 RSR_NMI Unused in AVR32UC 12 48 RSR_DBG Return Status Register for Debug mode 13 52 RAR_SUP Unused in AVR32UC 14 56 RAR_INT0 Unused in AVR32UC 15 60 RAR_INT1 Unused in AVR32UC 16 64 RAR_INT2 Unused in AVR32UC 17 68 RAR_INT3 Unused in AVR32UC 18 72 RAR_EX Unused in AVR32UC 19 76 RAR_NMI Unused in AVR32UC 20 80 RAR_DBG Return Address Register for Debug mode 21 84 JECR Unused in AVR32UC 22 88 JOSP Unused in AVR32UC 23 92 JAVA_LV0 Unused in AVR32UC 24 96 JAVA_LV1 Unused in AVR32UC 25 100 JAVA_LV2 Unused in AVR32UC 28 32072H-AVR32-10/2012 AT32UC3A3 Table 4-3. System Registers (Continued) Reg # Address Name Function 26 104 JAVA_LV3 Unused in AVR32UC 27 108 JAVA_LV4 Unused in AVR32UC 28 112 JAVA_LV5 Unused in AVR32UC 29 116 JAVA_LV6 Unused in AVR32UC 30 120 JAVA_LV7 Unused in AVR32UC 31 124 JTBA Unused in AVR32UC 32 128 JBCR Unused in AVR32UC 33-63 132-252 Reserved Reserved for future use 64 256 CONFIG0 Configuration register 0 65 260 CONFIG1 Configuration register 1 66 264 COUNT Cycle Counter register 67 268 COMPARE Compare register 68 272 TLBEHI Unused in AVR32UC 69 276 TLBELO Unused in AVR32UC 70 280 PTBR Unused in AVR32UC 71 284 TLBEAR Unused in AVR32UC 72 288 MMUCR Unused in AVR32UC 73 292 TLBARLO Unused in AVR32UC 74 296 TLBARHI Unused in AVR32UC 75 300 PCCNT Unused in AVR32UC 76 304 PCNT0 Unused in AVR32UC 77 308 PCNT1 Unused in AVR32UC 78 312 PCCR Unused in AVR32UC 79 316 BEAR Bus Error Address Register 80 320 MPUAR0 MPU Address Register region 0 81 324 MPUAR1 MPU Address Register region 1 82 328 MPUAR2 MPU Address Register region 2 83 332 MPUAR3 MPU Address Register region 3 84 336 MPUAR4 MPU Address Register region 4 85 340 MPUAR5 MPU Address Register region 5 86 344 MPUAR6 MPU Address Register region 6 87 348 MPUAR7 MPU Address Register region 7 88 352 MPUPSR0 MPU Privilege Select Register region 0 89 356 MPUPSR1 MPU Privilege Select Register region 1 90 360 MPUPSR2 MPU Privilege Select Register region 2 91 364 MPUPSR3 MPU Privilege Select Register region 3 29 32072H-AVR32-10/2012 AT32UC3A3 Table 4-3. 4.5 System Registers (Continued) Reg # Address Name Function 92 368 MPUPSR4 MPU Privilege Select Register region 4 93 372 MPUPSR5 MPU Privilege Select Register region 5 94 376 MPUPSR6 MPU Privilege Select Register region 6 95 380 MPUPSR7 MPU Privilege Select Register region 7 96 384 MPUCRA Unused in this version of AVR32UC 97 388 MPUCRB Unused in this version of AVR32UC 98 392 MPUBRA Unused in this version of AVR32UC 99 396 MPUBRB Unused in this version of AVR32UC 100 400 MPUAPRA MPU Access Permission Register A 101 404 MPUAPRB MPU Access Permission Register B 102 408 MPUCR MPU Control Register 103-191 448-764 Reserved Reserved for future use 192-255 768-1020 IMPL IMPLEMENTATION DEFINED Exceptions and Interrupts AVR32UC incorporates a powerful exception handling scheme. The different exception sources, like Illegal Op-code and external interrupt requests, have different priority levels, ensuring a welldefined behavior when multiple exceptions are received simultaneously. Additionally, pending exceptions of a higher priority class may preempt handling of ongoing exceptions of a lower priority class. When an event occurs, the execution of the instruction stream is halted, and execution control is passed to an event handler at an address specified in Table 4-4 on page 33. Most of the handlers are placed sequentially in the code space starting at the address specified by EVBA, with four bytes between each handler. This gives ample space for a jump instruction to be placed there, jumping to the event routine itself. A few critical handlers have larger spacing between them, allowing the entire event routine to be placed directly at the address specified by the EVBA-relative offset generated by hardware. All external interrupt sources have autovectored interrupt service routine (ISR) addresses. This allows the interrupt controller to directly specify the ISR address as an address relative to EVBA. The autovector offset has 14 address bits, giving an offset of maximum 16384 bytes. The target address of the event handler is calculated as (EVBA | event_handler_offset), not (EVBA + event_handler_offset), so EVBA and exception code segments must be set up appropriately. The same mechanisms are used to service all different types of events, including external interrupt requests, yielding a uniform event handling scheme. An interrupt controller does the priority handling of the external interrupts and provides the autovector offset to the CPU. 4.5.1 System Stack Issues Event handling in AVR32UC uses the system stack pointed to by the system stack pointer, SP_SYS, for pushing and popping R8-R12, LR, status register, and return address. Since event code may be timing-critical, SP_SYS should point to memory addresses in the IRAM section, since the timing of accesses to this memory section is both fast and deterministic. 30 32072H-AVR32-10/2012 AT32UC3A3 The user must also make sure that the system stack is large enough so that any event is able to push the required registers to stack. If the system stack is full, and an event occurs, the system will enter an UNDEFINED state. 4.5.2 Exceptions and Interrupt Requests When an event other than scall or debug request is received by the core, the following actions are performed atomically: 1. The pending event will not be accepted if it is masked. The I3M, I2M, I1M, I0M, EM, and GM bits in the Status Register are used to mask different events. Not all events can be masked. A few critical events (NMI, Unrecoverable Exception, TLB Multiple Hit, and Bus Error) can not be masked. When an event is accepted, hardware automatically sets the mask bits corresponding to all sources with equal or lower priority. This inhibits acceptance of other events of the same or lower priority, except for the critical events listed above. Software may choose to clear some or all of these bits after saving the necessary state if other priority schemes are desired. It is the event source's responsability to ensure that their events are left pending until accepted by the CPU. 2. When a request is accepted, the Status Register and Program Counter of the current context is stored to the system stack. If the event is an INT0, INT1, INT2, or INT3, registers R8-R12 and LR are also automatically stored to stack. Storing the Status Register ensures that the core is returned to the previous execution mode when the current event handling is completed. When exceptions occur, both the EM and GM bits are set, and the application may manually enable nested exceptions if desired by clearing the appropriate bit. Each exception handler has a dedicated handler address, and this address uniquely identifies the exception source. 3. The Mode bits are set to reflect the priority of the accepted event, and the correct register file bank is selected. The address of the event handler, as shown in Table 4-4, is loaded into the Program Counter. The execution of the event handler routine then continues from the effective address calculated. The rete instruction signals the end of the event. When encountered, the Return Status Register and Return Address Register are popped from the system stack and restored to the Status Register and Program Counter. If the rete instruction returns from INT0, INT1, INT2, or INT3, registers R8-R12 and LR are also popped from the system stack. The restored Status Register contains information allowing the core to resume operation in the previous execution mode. This concludes the event handling. 4.5.3 Supervisor Calls The AVR32 instruction set provides a supervisor mode call instruction. The scall instruction is designed so that privileged routines can be called from any context. This facilitates sharing of code between different execution modes. The scall mechanism is designed so that a minimal execution cycle overhead is experienced when performing supervisor routine calls from timecritical event handlers. The scall instruction behaves differently depending on which mode it is called from. The behaviour is detailed in the instruction set reference. In order to allow the scall routine to return to the correct context, a return from supervisor call instruction, rets, is implemented. In the AVR32UC CPU, scall and rets uses the system stack to store the return address and the status register. 4.5.4 Debug Requests The AVR32 architecture defines a dedicated Debug mode. When a debug request is received by the core, Debug mode is entered. Entry into Debug mode can be masked by the DM bit in the 31 32072H-AVR32-10/2012 AT32UC3A3 status register. Upon entry into Debug mode, hardware sets the SR[D] bit and jumps to the Debug Exception handler. By default, Debug mode executes in the exception context, but with dedicated Return Address Register and Return Status Register. These dedicated registers remove the need for storing this data to the system stack, thereby improving debuggability. The mode bits in the status register can freely be manipulated in Debug mode, to observe registers in all contexts, while retaining full privileges. Debug mode is exited by executing the retd instruction. This returns to the previous context. 4.5.5 Entry Points for Events Several different event handler entry points exists. In AVR32UC, the reset address is 0x8000_0000. This places the reset address in the boot flash memory area. TLB miss exceptions and scall have a dedicated space relative to EVBA where their event handler can be placed. This speeds up execution by removing the need for a jump instruction placed at the program address jumped to by the event hardware. All other exceptions have a dedicated event routine entry point located relative to EVBA. The handler routine address identifies the exception source directly. AVR32UC uses the ITLB and DTLB protection exceptions to signal a MPU protection violation. ITLB and DTLB miss exceptions are used to signal that an access address did not map to any of the entries in the MPU. TLB multiple hit exception indicates that an access address did map to multiple TLB entries, signalling an error. All external interrupt requests have entry points located at an offset relative to EVBA. This autovector offset is specified by an external Interrupt Controller. The programmer must make sure that none of the autovector offsets interfere with the placement of other code. The autovector offset has 14 address bits, giving an offset of maximum 16384 bytes. Special considerations should be made when loading EVBA with a pointer. Due to security considerations, the event handlers should be located in non-writeable flash memory, or optionally in a privileged memory protection region if an MPU is present. If several events occur on the same instruction, they are handled in a prioritized way. The priority ordering is presented in Table 4-4. If events occur on several instructions at different locations in the pipeline, the events on the oldest instruction are always handled before any events on any younger instruction, even if the younger instruction has events of higher priority than the oldest instruction. An instruction B is younger than an instruction A if it was sent down the pipeline later than A. The addresses and priority of simultaneous events are shown in Table 4-4. Some of the exceptions are unused in AVR32UC since it has no MMU, coprocessor interface, or floating-point unit. 32 32072H-AVR32-10/2012 AT32UC3A3 Table 4-4. Priority and Handler Addresses for Events Priority Handler Address Name Event source Stored Return Address 1 0x8000_0000 Reset External input Undefined 2 Provided by OCD system OCD Stop CPU OCD system First non-completed instruction 3 EVBA+0x00 Unrecoverable exception Internal PC of offending instruction 4 EVBA+0x04 TLB multiple hit MPU 5 EVBA+0x08 Bus error data fetch Data bus First non-completed instruction 6 EVBA+0x0C Bus error instruction fetch Data bus First non-completed instruction 7 EVBA+0x10 NMI External input First non-completed instruction 8 Autovectored Interrupt 3 request External input First non-completed instruction 9 Autovectored Interrupt 2 request External input First non-completed instruction 10 Autovectored Interrupt 1 request External input First non-completed instruction 11 Autovectored Interrupt 0 request External input First non-completed instruction 12 EVBA+0x14 Instruction Address CPU PC of offending instruction 13 EVBA+0x50 ITLB Miss MPU 14 EVBA+0x18 ITLB Protection MPU PC of offending instruction 15 EVBA+0x1C Breakpoint OCD system First non-completed instruction 16 EVBA+0x20 Illegal Opcode Instruction PC of offending instruction 17 EVBA+0x24 Unimplemented instruction Instruction PC of offending instruction 18 EVBA+0x28 Privilege violation Instruction PC of offending instruction 19 EVBA+0x2C Floating-point UNUSED 20 EVBA+0x30 Coprocessor absent Instruction PC of offending instruction 21 EVBA+0x100 Supervisor call Instruction PC(Supervisor Call) +2 22 EVBA+0x34 Data Address (Read) CPU PC of offending instruction 23 EVBA+0x38 Data Address (Write) CPU PC of offending instruction 24 EVBA+0x60 DTLB Miss (Read) MPU 25 EVBA+0x70 DTLB Miss (Write) MPU 26 EVBA+0x3C DTLB Protection (Read) MPU PC of offending instruction 27 EVBA+0x40 DTLB Protection (Write) MPU PC of offending instruction 28 EVBA+0x44 DTLB Modified UNUSED 33 32072H-AVR32-10/2012 AT32UC3A3 4.6 Module Configuration All AT32UC3A3 parts implement the CPU and Architecture Revision 2. 34 32072H-AVR32-10/2012 AT32UC3A3 5. Memories 5.1 Embedded Memories * Internal High-Speed Flash - 256KBytes (AT32UC3A3256/S) - 128Kbytes (AT32UC3A3128/S) - 64Kbytes (AT32UC3A364/S) * 0 wait state access at up to 42MHz in worst case conditions * 1 wait state access at up to 84MHz in worst case conditions * Pipelined Flash architecture, allowing burst reads from sequential Flash locations, hiding penalty of 1 wait state access * Pipelined Flash architecture typically reduces the cycle penalty of 1 wait state operation to only 15% compared to 0 wait state operation * 100 000 write cycles, 15-year data retention capability * Sector lock capabilities, Bootloader protection, Security Bit * 32 Fuses, Erased During Chip Erase * User page for data to be preserved during Chip Erase * Internal High-Speed SRAM - 64KBytes, Single-cycle access at full speed on CPU Local Bus and accessible through the High Speed Bud (HSB) matrix - 2x32KBytes, accessible independently through the High Speed Bud (HSB) matrix 5.2 Physical Memory Map The System Bus is implemented as a bus matrix. All system bus addresses are fixed, and they are never remapped in any way, not even in boot. Note that AVR32 UC CPU uses unsegmented translation, as described in the AVR32UC Technical Architecture Manual. The 32-bit physical address space is mapped as follows: Table 5-1. AT32UC3A3A4 Physical Memory Map Size Size Size AT32UC3A3256S AT32UC3A3256 AT32UC3A4256S AT32UC3A4256 AT32UC3A3128S AT32UC3A3128 AT32UC3A4128S AT32UC3A4128 AT32UC3A364S AT32UC3A364 AT32UC3A464S AT32UC3A464 Device Start Address Embedded CPU SRAM 0x00000000 64KByte 64KByte 64KByte Embedded Flash 0x80000000 256KByte 128KByte 64KByte EBI SRAM CS0 0xC0000000 16MByte 16MByte 16MByte EBI SRAM CS2 0xC8000000 16MByte 16MByte 16MByte EBI SRAM CS3 0xCC000000 16MByte 16MByte 16MByte EBI SRAM CS4 0xD8000000 16MByte 16MByte 16MByte EBI SRAM CS5 0xDC000000 16MByte 16MByte 16MByte EBI SRAM CS1 /SDRAM CS0 0xD0000000 128MByte 128MByte 128MByte USB Data 0xE0000000 64KByte 64KByte 64KByte 35 32072H-AVR32-10/2012 AT32UC3A3 Table 5-1. 5.3 AT32UC3A3A4 Physical Memory Map Size Size Size Device Start Address AT32UC3A3256S AT32UC3A3256 AT32UC3A4256S AT32UC3A4256 AT32UC3A3128S AT32UC3A3128 AT32UC3A4128S AT32UC3A4128 AT32UC3A364S AT32UC3A364 AT32UC3A464S AT32UC3A464 HRAMC0 0xFF000000 32KByte 32KByte 32KByte HRAMC1 0xFF008000 32KByte 32KByte 32KByte HSB-PB Bridge A 0xFFFF0000 64KByte 64KByte 64KByte HSB-PB Bridge B 0xFFFE0000 64KByte 64KByte 64KByte Peripheral Address Map Table 5-2. Peripheral Address Mapping Address Peripheral Name 0xFF100000 DMACA DMA Controller - DMACA 0xFFFD0000 AES Advanced Encryption Standard - AES USB USB 2.0 Device and Host Interface - USB 0xFFFE0000 0xFFFE1000 HMATRIX HSB Matrix - HMATRIX FLASHC Flash Controller - FLASHC 0xFFFE1400 0xFFFE1C00 SMC Static Memory Controller - SMC 0xFFFE2000 SDRAMC SDRAM Controller - SDRAMC ECCHRS Error code corrector Hamming and Reed Solomon ECCHRS BUSMON Bus Monitor module - BUSMON MCI Mulitmedia Card Interface - MCI MSI Memory Stick Interface - MSI 0xFFFE2400 0xFFFE2800 0xFFFE4000 0xFFFE8000 0xFFFF0000 PDCA Peripheral DMA Controller - PDCA INTC Interrupt controller - INTC 0xFFFF0800 36 32072H-AVR32-10/2012 AT32UC3A3 Table 5-2. Peripheral Address Mapping 0xFFFF0C00 PM Power Manager - PM RTC Real Time Counter - RTC WDT Watchdog Timer - WDT EIC External Interrupt Controller - EIC 0xFFFF0D00 0xFFFF0D30 0xFFFF0D80 0xFFFF1000 GPIO 0xFFFF1400 General Purpose Input/Output Controller - GPIO USART0 Universal Synchronous/Asynchronous Receiver/Transmitter - USART0 USART1 Universal Synchronous/Asynchronous Receiver/Transmitter - USART1 USART2 Universal Synchronous/Asynchronous Receiver/Transmitter - USART2 USART3 Universal Synchronous/Asynchronous Receiver/Transmitter - USART3 0xFFFF1800 0xFFFF1C00 0xFFFF2000 0xFFFF2400 SPI0 Serial Peripheral Interface - SPI0 SPI1 Serial Peripheral Interface - SPI1 0xFFFF2800 0xFFFF2C00 TWIM0 Two-wire Master Interface - TWIM0 TWIM1 Two-wire Master Interface - TWIM1 0xFFFF3000 0xFFFF3400 SSC Synchronous Serial Controller - SSC TC0 Timer/Counter - TC0 ADC Analog to Digital Converter - ADC 0xFFFF3800 0xFFFF3C00 0xFFFF4000 ABDAC Audio Bitstream DAC - ABDAC 0xFFFF4400 TC1 Timer/Counter - TC1 37 32072H-AVR32-10/2012 AT32UC3A3 Table 5-2. Peripheral Address Mapping 0xFFFF5000 TWIS0 Two-wire Slave Interface - TWIS0 TWIS1 Two-wire Slave Interface - TWIS1 0xFFFF5400 5.4 CPU Local Bus Mapping Some of the registers in the GPIO module are mapped onto the CPU local bus, in addition to being mapped on the Peripheral Bus. These registers can therefore be reached both by accesses on the Peripheral Bus, and by accesses on the local bus. Mapping these registers on the local bus allows cycle-deterministic toggling of GPIO pins since the CPU and GPIO are the only modules connected to this bus. Also, since the local bus runs at CPU speed, one write or read operation can be performed per clock cycle to the local busmapped GPIO registers. The following GPIO registers are mapped on the local bus: Table 5-3. Local Bus Mapped GPIO Registers Port Register Mode Local Bus Address Access 0 Output Driver Enable Register (ODER) WRITE 0x40000040 Write-only SET 0x40000044 Write-only CLEAR 0x40000048 Write-only TOGGLE 0x4000004C Write-only WRITE 0x40000050 Write-only SET 0x40000054 Write-only CLEAR 0x40000058 Write-only TOGGLE 0x4000005C Write-only Pin Value Register (PVR) - 0x40000060 Read-only Output Driver Enable Register (ODER) WRITE 0x40000140 Write-only SET 0x40000144 Write-only CLEAR 0x40000148 Write-only TOGGLE 0x4000014C Write-only WRITE 0x40000150 Write-only SET 0x40000154 Write-only CLEAR 0x40000158 Write-only TOGGLE 0x4000015C Write-only - 0x40000160 Read-only Output Value Register (OVR) 1 Output Value Register (OVR) Pin Value Register (PVR) 38 32072H-AVR32-10/2012 AT32UC3A3 Table 5-3. Local Bus Mapped GPIO Registers Port Register Mode Local Bus Address Access 2 Output Driver Enable Register (ODER) WRITE 0x40000240 Write-only SET 0x40000244 Write-only CLEAR 0x40000248 Write-only TOGGLE 0x4000024C Write-only WRITE 0x40000250 Write-only SET 0x40000254 Write-only CLEAR 0x40000258 Write-only TOGGLE 0x4000025C Write-only Pin Value Register (PVR) - 0x40000260 Read-only Output Driver Enable Register (ODER) WRITE 0x40000340 Write-only SET 0x40000344 Write-only CLEAR 0x40000348 Write-only TOGGLE 0x4000034C Write-only WRITE 0x40000350 Write-only SET 0x40000354 Write-only CLEAR 0x40000358 Write-only TOGGLE 0x4000035C Write-only - 0x40000360 Read-only Output Value Register (OVR) 3 Output Value Register (OVR) Pin Value Register (PVR) 39 32072H-AVR32-10/2012 AT32UC3A3 6. Boot Sequence This chapter summarizes the boot sequence of the AT32UC3A3/A4. The behavior after powerup is controlled by the Power Manager. For specific details, refer to Section 7. "Power Manager (PM)" on page 41. 6.1 Starting of Clocks After power-up, the device will be held in a reset state by the Power-On Reset circuitry, until the power has stabilized throughout the device. Once the power has stabilized, the device will use the internal RC Oscillator as clock source. On system start-up, the PLLs are disabled. All clocks to all modules are running. No clocks have a divided frequency, all parts of the system receives a clock with the same frequency as the internal RC Oscillator. 6.2 Fetching of Initial Instructions After reset has been released, the AVR32 UC CPU starts fetching instructions from the reset address, which is 0x8000_0000. This address points to the first address in the internal Flash. The internal Flash uses VDDIO voltage during read and write operations. BOD33 monitors this voltage and maintains the device under reset until VDDIO reaches the minimum voltage, preventing any spurious execution from flash. The code read from the internal Flash is free to configure the system to use for example the PLLs, to divide the frequency of the clock routed to some of the peripherals, and to gate the clocks to unused peripherals. When powering up the device, there may be a delay before the voltage has stabilized, depending on the rise time of the supply used. The CPU can start executing code as soon as the supply is above the POR threshold, and before the supply is stable. Before switching to a high-speed clock source, the user should use the BOD to make sure the VDDCORE is above the minimumlevel (1.62V). 40 32072H-AVR32-10/2012 AT32UC3A3 7. Power Manager (PM) Rev: 2.3.1.0 7.1 Features * * * * * * * * * * * * * 7.2 Controls integrated oscillators and PLLs Generates clocks and resets for digital logic Supports 2 crystal oscillators 0.4-20MHz Supports 2 PLLs 40-240MHz Supports 32KHz ultra-low power oscillator Integrated low-power RC oscillator On-the fly frequency change of CPU, HSB, PBA, and PBB clocks Sleep modes allow simple disabling of logic clocks, PLLs, and oscillators Module-level clock gating through maskable peripheral clocks Wake-up from internal or external interrupts Generic clocks with wide frequency range provided Automatic identification of reset sources Controls brownout detector (BOD and BOD33), RC oscillator, and bandgap voltage reference through control and calibration registers Overview The Power Manager (PM) controls the oscillators and PLLs, and generates the clocks and resets in the device. The PM controls two fast crystal oscillators, as well as two PLLs, which can multiply the clock from either oscillator to provide higher frequencies. Additionally, a low-power 32KHz oscillator is used to generate the real-time counter clock for high accuracy real-time measurements. The PM also contains a low-power RC oscillator with fast start-up time, which can be used to clock the digital logic. The provided clocks are divided into synchronous and generic clocks. The synchronous clocks are used to clock the main digital logic in the device, namely the CPU, and the modules and peripherals connected to the HSB, PBA, and PBB buses. The generic clocks are asynchronous clocks, which can be tuned precisely within a wide frequency range, which makes them suitable for peripherals that require specific frequencies, such as timers and communication modules. The PM also contains advanced power-saving features, allowing the user to optimize the power consumption for an application. The synchronous clocks are divided into three clock domains, one for the CPU and HSB, one for modules on the PBA bus, and one for modules on the PBB bus.The three clocks can run at different speeds, so the user can save power by running peripherals at a relatively low clock, while maintaining a high CPU performance. Additionally, the clocks can be independently changed on-the-fly, without halting any peripherals. This enables the user to adjust the speed of the CPU and memories to the dynamic load of the application, without disturbing or re-configuring active peripherals. Each module also has a separate clock, enabling the user to switch off the clock for inactive modules, to save further power. Additionally, clocks and oscillators can be automatically switched off during idle periods by using the sleep instruction on the CPU. The system will return to normal on occurrence of interrupts. The Power Manager also contains a Reset Controller, which collects all possible reset sources, generates hard and soft resets, and allows the reset source to be identified by software. 41 32072H-AVR32-10/2012 AT32UC3A3 7.3 Block Diagram Figure 7-1. Power Manager Block Diagram RCSYS O scillator 0 Synchronous Clock Generator Synchronous clocks CPU, HSB, PBA, PBB G eneric Clock G enerator Generic clocks PLL0 PLL1 O scillator 1 32 KHz O scillator OSC /PLL Control signals CLK_32 RC O scillator Slow clock O scillator and PLL Control Startup Counter Voltage Regulator Interrupts fuses Sleep Controller Sleep instruction Reset Controller resets Calibration Registers Brown-O ut Detector Power-O n Detector Other reset sources External Reset Pad 42 32072H-AVR32-10/2012 AT32UC3A3 7.4 7.4.1 Product Dependencies I/O Lines The PM provides a number of generic clock outputs, which can be connected to output pins, multiplexed with I/O lines. The user must first program the I/O controller to assign these pins to their peripheral function. If the I/O pins of the PM are not used by the application, they can be used for other purposes by the I/O controller. 7.4.2 Interrupt The PM interrupt line is connected to one of the internal sources of the interrupt controller. Using the PM interrupt requires the interrupt controller to be programmed first. 7.5 7.5.1 Functional Description Slow Clock The slow clock is generated from an internal RC oscillator which is always running, except in Static mode. The slow clock can be used for the main clock in the device, as described in Section 7.5.5. The slow clock is also used for the Watchdog Timer and measuring various delays in the Power Manager. The RC oscillator has a 3 cycles startup time, and is always available when the CPU is running. The RC oscillator operates at approximately 115 kHz. Software can change RC oscillator calibration through the use of the RCCR register. Please see the Electrical Characteristics section for details. RC oscillator can also be used as the RTC clock when crystal accuracy is not required. 7.5.2 Oscillator 0 and 1 Operation The two main oscillators are designed to be used with an external crystal and two biasing capacitors, as shown in Figure 7-2 on page 44. Oscillator 0 can be used for the main clock in the device, as described in Section 7.5.5. Both oscillators can be used as source for the generic clocks, as described in Section 7.5.8. The oscillators are disabled by default after reset. When the oscillators are disabled, the XIN and XOUT pins can be used as general purpose I/Os. When the oscillators are configured to use an external clock, the clock must be applied to the XIN pin while the XOUT pin can be used as a general purpose I/O. The oscillators can be enabled by writing to the OSCnEN bits in MCCTRL. Operation mode (external clock or crystal) is chosen by writing to the MODE field in OSCCTRLn. Oscillators are automatically switched off in certain sleep modes to reduce power consumption, as described in Section 7.5.7. After a hard reset, or when waking up from a sleep mode that disabled the oscillators, the oscillators may need a certain amount of time to stabilize on the correct frequency. This start-up time can be set in the OSCCTRLn register. The PM masks the oscillator outputs during the start-up time, to ensure that no unstable clocks propagate to the digital logic. The OSCnRDY bits in POSCSR are automatically set and cleared according to the status of the oscillators. A zero to one transition on these bits can also be configured to generate an interrupt, as described in Section 7.6.7. 43 32072H-AVR32-10/2012 AT32UC3A3 Figure 7-2. Oscillator Connections C2 XO UT XIN C1 7.5.3 32 KHz Oscillator Operation The 32 KHz oscillator operates as described for Oscillator 0 and 1 above. The 32 KHz oscillator is used as source clock for the Real-Time Counter. The oscillator is disabled by default, but can be enabled by writing OSC32EN in OSCCTRL32. The oscillator is an ultra-low power design and remains enabled in all sleep modes except Static mode. While the 32 KHz oscillator is disabled, the XIN32 and XOUT32 pins are available as general purpose I/Os. When the oscillator is configured to work with an external clock (MODE field in OSCCTRL32 register), the external clock must be connected to XIN32 while the XOUT32 pin can be used as a general purpose I/O. The startup time of the 32 KHz oscillator can be set in the OSCCTRL32, after which OSC32RDY in POSCSR is set. An interrupt can be generated on a zero to one transition of OSC32RDY. As a crystal oscillator usually requires a very long startup time (up to 1 second), the 32 KHz oscillator will keep running across resets, except Power-On-Reset. 7.5.4 PLL Operation The device contains two PLLs, PLL0 and PLL1. These are disabled by default, but can be enabled to provide high frequency source clocks for synchronous or generic clocks. The PLLs can take either Oscillator 0 or 1 as reference clock. The PLL output is divided by a multiplication factor, and the PLL compares the resulting clock to the reference clock. The PLL will adjust its output frequency until the two compared clocks are equal, thus locking the output frequency to a multiple of the reference clock frequency. When the PLL is switched on, or when changing the clock source or multiplication factor for the PLL, the PLL is unlocked and the output frequency is undefined. The PLL clock for the digital logic is automatically masked when the PLL is unlocked, to prevent connected digital logic from receiving a too high frequency and thus become unstable. 44 32072H-AVR32-10/2012 AT32UC3A3 Figure 7-3. PLL with Control Logic and Filters PLLMUL Output Divider Osc0 clock Osc1 clock 0 Input Divider 1 PLLOSC 7.5.4.1 Fin PLLDIV PLL Mask PLL clock LOCK PLLEN PLLOPT Enabling the PLL PLLn is enabled by writing the PLLEN bit in the PLLn register. PLLOSC selects Oscillator 0 or 1 as clock source. The PLLMUL and PLLDIV bitfields must be written with the multiplication and division factors, respectively, creating the voltage controlled ocillator frequency fVCO and the PLL frequency fPLL : if PLLDIV > 0 fIN = fOSC/2 * PLLDIV fVCO = (PLLMUL+1)/(PLLDIV) * fOSC if PLLDIV = 0 fIN = fOSC fVCO = 2 * (PLLMUL+1) * fOSC Note: Refer to Electrical Characteristics section for FIN and FVCO frequency range. If PLLOPT[1] field is set to 0: fPLL = fVCO. If PLLOPT[1] field is set to 1: fPLL = fVCO / 2. 45 32072H-AVR32-10/2012 AT32UC3A3 The PLLn:PLLOPT field should be set to proper values according to the PLL operating frequency. The PLLOPT field can also be set to divide the output frequency of the PLLs by 2. The lock signal for each PLL is available as a LOCKn flag in POSCSR. An interrupt can be generated on a 0 to 1 transition of these bits. 7.5.5 Synchronous Clocks The slow clock (default), Oscillator 0, or PLL0 provide the source for the main clock, which is the common root for the synchronous clocks for the CPU/HSB, PBA, and PBB modules. The main clock is divided by an 8-bit prescaler, and each of these four synchronous clocks can run from any tapping of this prescaler, or the undivided main clock, as long as fCPU fPBA,B,. The synchronous clock source can be changed on-the fly, responding to varying load in the application. The clock domains can be shut down in sleep mode, as described in Section 7.5.7. Additionally, the clocks for each module in the four domains can be individually masked, to avoid power consumption in inactive modules. Figure 7-4. Synchronous Clock Generation Sleep Controller Sleep instruction 0 Main clock Slow clock Osc0 clock PLL0 clock Prescaler CPUDIV MCSEL 7.5.5.1 Mask 1 CPU clocks HSB clocks CPUMASK PBAclocks PBB clocks CPUSEL Selecting PLL or oscillator for the main clock The common main clock can be connected to the slow clock, Oscillator 0, or PLL0. By default, the main clock will be connected to the slow clock. The user can connect the main clock to Oscillator 0 or PLL0 by writing the MCSEL field in the Main Clock Control Register (MCCTRL). This must only be done after that unit has been enabled, otherwise a deadlock will occur. Care should also be taken that the new frequency of the synchronous clocks does not exceed the maximum frequency for each clock domain. 46 32072H-AVR32-10/2012 AT32UC3A3 7.5.5.2 Selecting synchronous clock division ratio The main clock feeds an 8-bit prescaler, which can be used to generate the synchronous clocks. By default, the synchronous clocks run on the undivided main clock. The user can select a prescaler division for the CPU clock by writing CKSEL.CPUDIV to 1 and CPUSEL to the prescaling value, resulting in a CPU clock frequency: f CPU = f main 2 ( CPUSEL + 1 ) Similarly, the clock for the PBA, and PBB can be divided by writing their respective fields. To ensure correct operation, frequencies must be selected so that fCPU fPBA,B. Also, frequencies must never exceed the specified maximum frequency for each clock domain. CKSEL can be written without halting or disabling peripheral modules. Writing CKSEL allows a new clock setting to be written to all synchronous clocks at the same time. It is possible to keep one or more clocks unchanged by writing the same value a before to the xxxDIV and xxxSEL fields. This way, it is possible to e.g. scale CPU and HSB speed according to the required performance, while keeping the PBA and PBB frequency constant. For modules connected to the HSB bus, the PB clock frequency must be set to the same frequency than the CPU clock. 7.5.5.3 7.5.6 Clock ready flag There is a slight delay from CKSEL is written and the new clock setting becomes effective. During this interval, the Clock Ready (CKRDY) flag in ISR will read as 0. If IER.CKRDY is written to one, the Power Manager interrupt can be triggered when the new clock setting is effective. CKSEL must not be re-written while CKRDY is zero, or the system may become unstable or hang. Peripheral Clock Masking By default, the clock for all modules are enabled, regardless of which modules are actually being used. It is possible to disable the clock for a module in the CPU, HSB, PBA, or PBB clock domain by writing the corresponding bit in the Clock Mask register (CPU/HSB/PBA/PBB) to 0. When a module is not clocked, it will cease operation, and its registers cannot be read or written. The module can be re-enabled later by writing the corresponding mask bit to 1. A module may be connected to several clock domains, in which case it will have several mask bits. Table 7-7 on page 58 contains the list of implemented maskable clocks. 7.5.6.1 Cautionary note The OCD clock must never be switched off if the user wishes to debug the device with a JTAG debugger. Note that clocks should only be switched off if it is certain that the module will not be used. Switching off the clock for the internal RAM will cause a problem if the stack is mapped there. Switching off the clock to the Power Manager (PM), which contains the mask registers, or the corresponding PBx bridge, will make it impossible to write the mask registers again. In this case, they can only be re-enabled by a system reset. 47 32072H-AVR32-10/2012 AT32UC3A3 7.5.6.2 7.5.7 Mask ready flag Due to synchronization in the clock generator, there is a slight delay from a mask register is written until the new mask setting goes into effect. When clearing mask bits, this delay can usually be ignored. However, when setting mask bits, the registers in the corresponding module must not be written until the clock has actually be re-enabled. The status flag MSKRDY in ISR provides the required mask status information. When writing either mask register with any value, this bit is cleared. The bit is set when the clocks have been enabled and disabled according to the new mask setting. Optionally, the Power Manager interrupt can be enabled by writing the MSKRDY bit in IER. Sleep Modes In normal operation, all clock domains are active, allowing software execution and peripheral operation. When the CPU is idle, it is possible to switch off the CPU clock and optionally other clock domains to save power. This is activated by the sleep instruction, which takes the sleep mode index number as argument. 7.5.7.1 Entering and exiting sleep modes The sleep instruction will halt the CPU and all modules belonging to the stopped clock domains. The modules will be halted regardless of the bit settings of the mask registers. Oscillators and PLLs can also be switched off to save power. Some of these modules have a relatively long start-up time, and are only switched off when very low power consumption is required. The CPU and affected modules are restarted when the sleep mode is exited. This occurs when an interrupt triggers. Note that even if an interrupt is enabled in sleep mode, it may not trigger if the source module is not clocked. 7.5.7.2 Supported sleep modes The following sleep modes are supported. These are detailed in Table 7-1 on page 49. * Idle: The CPU is stopped, the rest of the chip is operating. Wake-up sources are any interrupt. * Frozen: The CPU and HSB modules are stopped, peripherals are operating. Wake-up sources are any interrupt from PB modules. * Standby: All synchronous clocks are stopped, but oscillators and PLLs are running, allowing quick wake-up to normal mode. Wake-up sources are RTC or external interrupt. * Stop: As Standby, but Oscillator 0 and 1, and the PLLs are stopped. 32 KHz (if enabled) and RC oscillators and RTC/WDT still operate. Wake-up sources are RTC, external interrupt, or external reset pin. * DeepStop: All synchronous clocks, Oscillator 0 and 1 and PLL 0 and 1 are stopped. 32 KHz oscillator can run if enabled. RC oscillator still operates. Bandgap voltage reference, BOD and BOD33 are turned off. Wake-up sources are RTC, external interrupt (EIC) or external reset pin. * Static: All oscillators, including 32 KHz and RC oscillator are stopped. Bandgap voltage reference, BOD and BOD33 detectors are turned off. Wake-up sources are external interrupt (EIC) in asynchronous mode only or external reset pin. 48 32072H-AVR32-10/2012 AT32UC3A3 Table 7-1. Sleep Modes Osc0,1 PLL0,1, SYSTIMER Osc32 RCSYS BOD & BOD33 & Bandgap Voltage Regulator Index Sleep Mode CPU HSB PBA,B GCLK 0 Idle Stop Run Run Run Run Run On Full power 1 Frozen Stop Stop Run Run Run Run On Full power 2 Standby Stop Stop Stop Run Run Run On Full power 3 Stop Stop Stop Stop Stop Run Run On Low power 4 DeepStop Stop Stop Stop Stop Run Run Off Low power 5 Static Stop Stop Stop Stop Stop Stop Off Low power The power level of the internal voltage regulator is also adjusted according to the sleep mode to reduce the internal regulator power consumption. 7.5.7.3 Precautions when entering sleep mode Modules communicating with external circuits should normally be disabled before entering a sleep mode that will stop the module operation. This prevents erratic behavior when entering or exiting sleep mode. Please refer to the relevant module documentation for recommended actions. Communication between the synchronous clock domains is disturbed when entering and exiting sleep modes. This means that bus transactions are not allowed between clock domains affected by the sleep mode. The system may hang if the bus clocks are stopped in the middle of a bus transaction. The CPU is automatically stopped in a safe state to ensure that all CPU bus operations are complete when the sleep mode goes into effect. Thus, when entering Idle mode, no further action is necessary. When entering a sleep mode (except Idle mode), all HSB masters must be stopped before entering the sleep mode. Also, if there is a chance that any PB write operations are incomplete, the CPU should perform a read operation from any register on the PB bus before executing the sleep instruction. This will stall the CPU while waiting for any pending PB operations to complete. When entering a sleep mode deeper or equal to DeepStop, the VBus asynchronous interrupt should be disabled (USBCON.VBUSTE = 0). 7.5.7.4 Wake Up The USB can be used to wake up the part from sleep modes through register AWEN of the Power Manager. 7.5.8 Generic Clocks Timers, communication modules, and other modules connected to external circuitry may require specific clock frequencies to operate correctly. The Power Manager contains an implementation defined number of generic clocks that can provide a wide range of accurate clock frequencies. 49 32072H-AVR32-10/2012 AT32UC3A3 Each generic clock module runs from either Oscillator 0 or 1, or PLL0 or 1. The selected source can optionally be divided by any even integer up to 512. Each clock can be independently enabled and disabled, and is also automatically disabled along with peripheral clocks by the Sleep Controller. Figure 7-5. Generic Clock Generation Sleep Controller 0 Osc0 clock Osc1 clock PLL0 clock PLL1 clock Divider Generic Clock 1 1 PLLSEL OSCSEL 7.5.8.1 Mask 0 DIV DIVEN CEN Enabling a generic clock A generic clock is enabled by writing the CEN bit in GCCTRL to 1. Each generic clock can use either Oscillator 0 or 1 or PLL0 or 1 as source, as selected by the PLLSEL and OSCSEL bits. The source clock can optionally be divided by writing DIVEN to 1 and the division factor to DIV, resulting in the output frequency: f GCLK = f SRC ( 2 x ( DIV + 1 ) ) 7.5.8.2 Disabling a generic clock The generic clock can be disabled by writing CEN to zero or entering a sleep mode that disables the PB clocks. In either case, the generic clock will be switched off on the first falling edge after the disabling event, to ensure that no glitches occur. If CEN is written to 0, the bit will still read as 1 until the next falling edge occurs, and the clock is actually switched off. When writing CEN to 0, the other bits in GCCTRL should not be changed until CEN reads as 0, to avoid glitches on the generic clock. When the clock is disabled, both the prescaler and output are reset. 7.5.8.3 Changing clock frequency When changing generic clock frequency by writing GCCTRL, the clock should be switched off by the procedure above, before being re-enabled with the new clock source or division setting. This prevents glitches during the transition. 50 32072H-AVR32-10/2012 AT32UC3A3 7.5.8.4 Generic clock implementation The generic clocks are allocated to different functions as shown in Table 7-2 on page 51. Table 7-2. 7.5.9 Generic Clock Allocation Clock number Function 0 GCLK0 pin 1 GCLK1 pin 2 GCLK2 pin 3 GCLK3 pin 4 GCLK_USBB 5 GCLK_ABDAC Divided PB Clocks The clock generator in the Power Manager provides divided PBA and PBB clocks for use by peripherals that require a prescaled PBx clock. This is described in the documentation for the relevant modules. The divided clocks are not directly maskable, but are stopped in sleep modes where the PBx clocks are stopped. 7.5.10 Debug Operation The OCD clock must never be switched off if the user wishes to debug the device with a JTAG debugger. During a debug session, the user may need to halt the system to inspect memory and CPU registers. The clocks normally keep running during this debug operation, but some peripherals may require the clocks to be stopped, e.g. to prevent timer overflow, which would cause the program to fail. For this reason, peripherals on the PBA and PBB buses may use "debug qualified" PBx clocks. This is described in the documentation for the relevant modules. The divided PBx clocks are always debug qualified clocks. Debug qualified PBx clocks are stopped during debug operation. The debug system can optionally keep these clocks running during the debug operation. This is described in the documentation for the On-Chip Debug system. 7.5.11 Reset Controller The Reset Controller collects the various reset sources in the system and generates hard and soft resets for the digital logic. The device contains a Power-On Detector, which keeps the system reset until power is stable. This eliminates the need for external reset circuitry to guarantee stable operation when powering up the device. It is also possible to reset the device by asserting the RESET_N pin. This pin has an internal pullup, and does not need to be driven externally when negated. Table 7-4 on page 53 lists these and other reset sources supported by the Reset Controller. 51 32072H-AVR32-10/2012 AT32UC3A3 Figure 7-6. Reset Controller Block Diagram R C _R CAU SE RESET_N CPU, HSB, PBA, PBB P o w e r-O n D e te c to r R eset C o n tro lle r B ro w n o u t D e te c to r O C D , R T C /W D T , C lo c k G e n e ra to r JTA G OCD WDT In addition to the listed reset types, the JTAG can keep parts of the device statically reset through the JTAG Reset Register. See JTAG documentation for details. Table 7-3. Reset Description Reset source Description Power-on Reset Supply voltage below the power-on reset detector threshold voltage External Reset RESET_N pin asserted Brownout Reset Supply voltage below the brownout reset detector threshold voltage CPU Error Caused by an illegal CPU access to external memory while in Supervisor mode Watchdog Timer See watchdog timer documentation. OCD See On-Chip Debug documentation When a reset occurs, some parts of the chip are not necessarily reset, depending on the reset source. Only the Power On Reset (POR) will force a reset of the whole chip. 52 32072H-AVR32-10/2012 AT32UC3A3 Table 7-4 on page 53 lists parts of the device that are reset, depending on the reset source. Table 7-4. Effect of the Different Reset Events Power-On Reset External Reset Watchdog Reset BOD Reset BOD33 Reset CPU Error Reset OCD Reset CPU/HSB/PBA/PBB (excluding Power Manager) Y Y Y Y Y Y Y 32 KHz oscillator Y N N N N N N RTC control register Y N N N N N N GPLP registers Y N N N N N N Watchdog control register Y Y N Y Y Y Y Voltage calibration register Y N N N N N N RCSYS Calibration register Y N N N N N N BOD control register Y Y N N N N N BOD33 control register Y Y N N N N N Bandgap control register Y Y N N N N N Clock control registers Y Y Y Y Y Y Y Osc0/Osc1 and control registers Y Y Y Y Y Y Y PLL0/PLL1 and control registers Y Y Y Y Y Y Y OCD system and OCD registers Y Y N Y Y Y N The cause of the last reset can be read from the RCAUSE register. This register contains one bit for each reset source, and can be read during the boot sequence of an application to determine the proper action to be taken. 7.5.11.1 Power-On detector The Power-On Detector monitors the VDDCORE supply pin and generates a reset when the device is powered on. The reset is active until the supply voltage from the linear regulator is above the power-on threshold level. The reset will be re-activated if the voltage drops below the power-on threshold level. See Electrical Characteristics for parametric details. 7.5.11.2 Brown-Out detector The Brown-Out Detector (BOD) monitors the VDDCORE supply pin and compares the supply voltage to the brown-out detection level, as set in BOD.LEVEL. The BOD is disabled by default, but can be enabled either by software or by flash fuses. The Brown-Out Detector can either generate an interrupt or a reset when the supply voltage is below the brown-out detection level. In any case, the BOD output is available in bit POSCSR.BODDET bit. Note that any change to the BOD.LEVEL field of the BOD register should be done with the BOD deactivated to avoid spurious reset or interrupt. See Electrical Characteristics chapter for parametric details. 53 32072H-AVR32-10/2012 AT32UC3A3 7.5.11.3 Brown-Out detector 3V3 The Brown-Out Detector 3V3 (BOD33) monitors one VDDIO supply pin and compares the supply voltage to the brown-out detection 3V3 level, which is typically calibrated at 2V7. The BOD33 is enabled by default, but can be disabled by software. The Brown-Out Detector 3V3 can either generate an interrupt or a reset when the supply voltage is below the brown-out detection3V3 level. In any case, the BOD33 output is available in bit POSCSR.BOD33DET bit. Note that any change to the BOD33.LEVEL field of the BOD33 register should be done with the BOD33 deactivated to avoid spurious reset or interrupt. The BOD33.LEVEL default value is calibrated to 2V7 See Electrical Characteristics chapter for parametric details. Table 7-5. 7.5.11.4 7.5.12 VDDIO pin monitored by BOD33 TFBGA144 QFP144 VFBGA100 H5 81 E5 External reset The external reset detector monitors the state of the RESET_N pin. By default, a low level on this pin will generate a reset. Calibration Registers The Power Manager controls the calibration of the RC oscillator, voltage regulator, bandgap voltage reference through several calibrations registers. Those calibration registers are loaded after a Power On Reset with default values stored in factory-programmed flash fuses. Although it is not recommended to override default factory settings, it is still possible to override these default values by writing to those registers. To prevent unexpected writes due to software bugs, write access to these registers is protected by a "key". First, a write to the register must be made with the field "KEY" equal to 0x55 then a second write must be issued with the "KEY" field equal to 0xAA. 54 32072H-AVR32-10/2012 AT32UC3A3 7.6 User Interface Table 7-6. PM Register Memory Map Offset Register Register Name Access Reset State 0x000 Main Clock Control MCCTRL Read/Write 0x00000000 0x0004 Clock Select CKSEL Read/Write 0x00000000 0x008 CPU Mask CPUMASK Read/Write 0x00000003 0x00C HSB Mask HSBMASK Read/Write 0x00000FFF 0x010 PBA Mask PBAMASK Read/Write 0x001FFFFF 0x014 PBB Mask PBBMASK Read/Write 0x000003FF 0x020 PLL0 Control PLL0 Read/Write 0x00000000 0x024 PLL1 Control PLL1 Read/Write 0x00000000 0x028 Oscillator 0 Control Register OSCCTRL0 Read/Write 0x00000000 0x02C Oscillator 1 Control Register OSCCTRL1 Read/Write 0x00000000 0x030 Oscillator 32 Control Register OSCCTRL32 Read/Write 0x00000000 0x040 PM Interrupt Enable Register IER Write-only 0x00000000 0x044 PM Interrupt Disable Register IDR Write-only 0x00000000 0x048 PM Interrupt Mask Register IMR Read-only 0x00000000 0x04C PM Interrupt Status Register ISR Read-only 0x00000000 00050 PM Interrupt Clear Register ICR Write-only 0x00000000 0x054 Power and Oscillators Status Register POSCSR Read/Write 0x00000000 0x060 Generic Clock Control 0 GCCTRL0 Read/Write 0x00000000 0x064 Generic Clock Control 1 GCCTRL1 Read/Write 0x00000000 0x068 Generic Clock Control 2 GCCTRL2 Read/Write 0x00000000 0x06C Generic Clock Control 3 GCCTRL3 Read/Write 0x00000000 0x070 Generic Clock Control 4 GCCTRL4 Read/Write 0x00000000 0x074 Generic Clock Control 5 GCCTRL5 Read/Write 0x00000000 0x0C0 RC Oscillator Calibration Register RCCR Read/Write Factory settings 0x0C4 Bandgap Calibration Register BGCR Read/Write Factory settings 0x0C8 Linear Regulator Calibration Register VREGCR Read/Write Factory settings 0x0D0 BOD Level Register BOD Read/Write BOD fuses in Flash 0x0D4 BOD33 Level Register BOD33 Read/Write 0x0140 Reset Cause Register RCAUSE Read/Write Latest Reset Source 0x0144 Asynchronous Wake Enable Register AWEN Read/Write 0x00000000 0x200 General Purpose Low-Power register GPLP Read/Write 0x00000000 BOD33 reset enable BOD33 LEVEL=2V7 55 32072H-AVR32-10/2012 AT32UC3A3 7.6.1 Name: Main Clock Control Register MCCTRL Access Type: Read/Write Offset: 0x00 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - OSC1EN OSC0EN MCSEL * OSC1EN: Oscillator 1 Enable 1: Oscillator 1 is enabled 0: Oscillator 1 is disabled * OSC0EN: Oscillator 0 Enable 1: Oscillator 0 is enabled 0: Oscillator 0 is disabled * MCSEL: Main Clock Select This field contains the clock selected as the main clock. MCSEL Selected Clock 0b00 Slow Clock 0b01 Oscillator 0 0b10 PLL 0 0b11 Reserved 56 32072H-AVR32-10/2012 AT32UC3A3 7.6.2 Name: Clock Select Register CKSEL Access Type: Read/Write Offset: 0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 PBBDIV - - - - 23 22 21 20 19 PBADIV - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 CPUDIV - - - - PBBSEL 18 17 16 PBASEL CPUSEL * PBBDIV: PBB Division Enable PBBDIV = 0: PBB clock equals main clock. PBBDIV = 1: PBB clock equals main clock divided by 2(PBBSEL+1). * PBADIV, PBASEL: PBA Division and Clock Select PBADIV = 0: PBA clock equals main clock. PBADIV = 1: PBA clock equals main clock divided by 2(PBASEL+1). * CPUDIV, CPUSEL: CPU/HSB Division and Clock Select CPUDIV = 0: CPU/HSB clock equals main clock. CPUDIV = 1: CPU/HSB clock equals main clock divided by 2(CPUSEL+1). Note that if xxxDIV is written to 0, xxxSEL should also be written to 0 to ensure correct operation. Also note that writing this register clears POSCSR.CKRDY. The register must not be re-written until CKRDY goes high. 57 32072H-AVR32-10/2012 AT32UC3A3 7.6.3 Name: Clock Mask Registers CPU/HSB/PBA/PBBMASK Access Type: Read/Write Offset: 0x08-0x14 Reset Value: 0x00000003/0x00000FFF/0x001FFFFF/0x000003FF 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 MASK[31:24] 23 22 21 20 MASK[23:16] 15 14 13 12 MASK[15:8] 7 6 5 4 MASK[7:0] * MASK: Clock Mask If bit n is written to zero, the clock for module n is stopped. If bit n is writen to one, the clock for module n is enabled according to the current power mode. The number of implemented bits in each mask register, as well as which module clock is controlled by each bit, is shown in Table 7-7 on page 58. Table 7-7. Maskable module clocks in AT32UC3A3. Bit CPUMASK HSBMASK PBAMASK PBBMASK 0 - FLASHC INTC HMATRIX 1 OCD(1) PBA Bridge I/O USBB 2 - PBB Bridge PDCA FLASHC 3 - USBB PM/RTC/EIC SMC 4 - PDCA ADC SDRAMC 5 - EBI SPI0 ECCHRS 6 - PBC Bridge SPI1 MCI 7 - DMACA TWIM0 BUSMON 8 - BUSMON TWIM1 MSI 9 - HRAMC0 TWIS0 AES 10 - HRAMC1 TWIS1 - 11 - (2) USART0 - 12 - - USART1 - 13 - - USART2 - 14 - - USART3 - 15 - - SSC - 58 32072H-AVR32-10/2012 AT32UC3A3 Table 7-7. Maskable module clocks in AT32UC3A3. Bit CPUMASK HSBMASK PBAMASK PBBMASK 16 SYSTIMER (compare/count registers clk) - TC0 - 17 - - TC1 - 18 - - ABDAC - - (2) - 19 - 20 - - (2) 31:21 - - - Note: 1. This bit must be set to one if the user wishes to debug the device with a JTAG debugger. 2. This bits must be set to one 59 32072H-AVR32-10/2012 AT32UC3A3 7.6.4 Name: PLL Control Registers PLL0,1 Access Type: Read/Write Offset: 0x20-0x24 Reset Value: 0x00000000 31 30 29 28 PLLTEST - 23 22 21 20 - - - - 15 14 13 12 - - - - 7 6 5 4 - - - 27 26 25 24 18 17 16 9 8 1 0 PLLOSC PLLEN PLLCOUNT 19 PLLMUL 11 10 PLLDIV 3 PLLOPT 2 * PLLTEST: PLL Test Reserved for internal use. Always write to 0. * PLLCOUNT: PLL Count Specifies the number of slow clock cycles before ISR.LOCKn will be set after PLLn has been written, or after PLLn has been automatically re-enabled after exiting a sleep mode. * PLLMUL: PLL Multiply Factor * PLLDIV: PLL Division Factor These fields determine the ratio of the PLL output frequency to the source oscillator frequency. Formula is detallied in Section 7.5.4.1 * PLLOPT: PLL Option Select the operating range for the PLL. PLLOPT[0]: Select the VCO frequency range PLLOPT[1]: Enable the extra output divider PLLOPT[2]: Disable the Wide-Bandwidth mode (Wide-Bandwidth mode allows a faster startup time and out-of-lock time) Description PLLOPT[0]: VCO frequency PLLOPT[1]: Output divider PLLOPT[2] 0 80MHz0) 1. Wait until THR contains a valid data byte, stretching low period of TWCK. SR.TXRDY indicates the state of THR. Software or the Peripheral DMA Controller must write the data byte to THR. 2. Transmit this data byte 3. Decrement NBYTES 4. If (NBYTES==0) and STOP=1, transmit STOP condition Writing CMDR with START=STOP=1 and NBYTES=0 will generate a transmission with no data bytes, ie START, SADR+W, STOP. 480 32072H-AVR32-10/2012 AT32UC3A3 TWI transfers require the slave to acknowledge each received data byte. During the acknowledge clock pulse (9th pulse), the master releases the data line (HIGH), enabling the slave to pull it down in order to generate the acknowledge. The master polls the data line during this clock pulse and sets the Data Acknowledge bit (DNACK) in the Status Register if the slave does not acknowledge the data byte. As with the other status bits, an interrupt can be generated if enabled in the Interrupt Enable Register (IER). TXRDY is used as Transmit Ready for the Peripheral DMA Controller transmit channel. The end of a command is marked when the TWIM sets the SR.CCOMP bit. See Figure 23-6 and Figure 23-7. Figure 23-6. Master Write with One Data Byte TWD S DADR W A DATA A P SR.IDLE TXRDY Write THR (DATA) NBYTES set to 1 STOP sent automatically (ACK received and NBYTES=0) Figure 23-7. Master Write with Multiple Data Bytes TWD S DADR W A DATAn A DATAn+5 A DATAn+m A P SR.IDLE TXRDY Write THR (DATAn) NBYTES set to n 23.8.4 Write THR (DATAn+1) Write THR (DATAn+m) Last data sent STOP sent automatically (ACK received and NBYTES=0) Master Receiver Mode A START condition is transmitted and master receiver mode is initiated when the bus is free and CMDR has been written with START=1 and READ=1. START and SADR+R will then be transmitted. During the address acknowledge clock pulse (9th pulse), the master releases the data line (HIGH), enabling the slave to pull it down in order to acknowledge the address. The master polls the data line during this clock pulse and sets the Address Not Acknowledged bit (ANAK) in the Status Register if no slave acknowledges the address. After the address phase, the following is repeated: while (NBYTES>0) 481 32072H-AVR32-10/2012 AT32UC3A3 1. Wait until RHR is empty, stretching low period of TWCK. SR.RXRDY indicates the state of RHR. Software or the Peripheral DMA Controller must read any data byte present in RHR. 2. Release TWCK generating a clock that the slave uses to transmit a data byte. 3. Place the received data byte in RHR, set RXRDY. 4. If NBYTES=0, generate a NAK after the data byte, otherwise generate an ACK. 5. Decrement NBYTES 6. If (NBYTES==0) and STOP=1, transmit STOP condition. Writing CMDR with START=STOP=1 and NBYTES=0 will generate a transmission with no data bytes, ie START, DADR+R, STOP The TWI transfers require the master to acknowledge each received data byte. During the acknowledge clock pulse (9th pulse), the slave releases the data line (HIGH), enabling the master to pull it down in order to generate the acknowledge. All data bytes except the last are acknowledged by the master. Not acknowledging the last byte informs the slave that the transfer is finished. RXRDY is used as Receive Ready for the Peripheral DMA Controller receive channel. Figure 23-8. Master Read with One Data Byte TWD S DADR R A DATA N P SR.IDLE RXRDY Write START & STOP bit NBYTES set to 1 Read RHR Figure 23-9. Master Read with Multiple Data Bytes TWD S DADR R A DATAn A DATAn+1 DATAn+m-1 A DATAn+m N P SR.IDLE RXRDY Write START + STOP bit NBYTES set to m Read RHR DATAn Read RHR DATAn+m-2 Read RHR DATAn+m-1 Read RHR DATAn+m Send STOP When NBYTES=0 482 32072H-AVR32-10/2012 AT32UC3A3 23.8.5 Using the Peripheral DMA Controller The use of the Peripheral DMA Controller significantly reduces the CPU load. The user can set up ring buffers for the Peripheral DMA Controller, containing data to transmit or free buffer space to place received data. To assure correct behavior, respect the following programming sequences: 23.8.5.1 Data Transmit with the Peripheral DMA Controller 1. Initialize the transmit Peripheral DMA Controller (memory pointers, size, etc.). 2. Configure the TWIM (ADR, NBYTES, etc.). 3. Start the transfer by enabling the Peripheral DMA Controller to transmit. 4. Wait for the Peripheral DMA Controller end-of-transmit flag. 5. Disable the Peripheral DMA Controller. 23.8.5.2 Data Receive with the Peripheral DMA Controller 1. Initialize the receive Peripheral DMA Controller (memory pointers, size, etc.). 2. Configure the TWIM (ADR, NBYTES, etc.). 3. Start the transfer by enabling the Peripheral DMA Controller to receive. 4. Wait for the Peripheral DMA Controller end-of-receive flag. 5. Disable the Peripheral DMA Controller. 23.8.6 Multi-master Mode More than one master may access the bus at the same time without data corruption by using arbitration. Arbitration starts as soon as two or more masters place information on the bus at the same time, and stops (arbitration is lost) for the master that intends to send a logical one while the other master sends a logical zero. As soon as arbitration is lost by a master, it stops sending data and listens to the bus in order to detect a STOP. The SR.ARBLST flag will be set. When the STOP is detected, the master who lost arbitration may reinitiate the data transfer. Arbitration is illustrated in Figure 23-11. If the user starts a transfer and if the bus is busy, the TWIM automatically waits for a STOP condition on the bus before initiating the transfer (see Figure 23-10). Note: The state of the bus (busy or free) is not indicated in the user interface. 483 32072H-AVR32-10/2012 AT32UC3A3 Figure 23-10. User Sends Data While the Bus is Busy TWCK START sent by the TWI STOP sent by the master DATA sent by a master TWD DATA sent by the TWI Bus is busy Bus is free Transfer is kept TWI DATA transfer A transfer is programmed (DADR + W + START + Write THR) Bus is considered as free Transfer is initiated Figure 23-11. Arbitration Cases TWCK TWD TWCK Data from a Master S 1 0 0 1 1 Data from TWI S 1 0 TWD S 1 0 0 1 P Arbitration is lost TWI stops sending data 1 1 Data from the master P Arbitration is lost S 1 0 S 1 0 0 1 1 S 1 0 1 1 The master stops sending data 0 1 Data from the TWI ARBLST Bus is busy Transfer is kept TWI DATA transfer A transfer is programmed (DADR + W + START + Write THR) 23.8.7 Bus is free Transfer is stopped Transfer is programmed again (DADR + W + START + Write THR) Bus is considered as free Transfer is initiated Combined Transfers CMDR and NCMDR may be used to generate longer sequences of connected transfers, since generation of START and/or STOP conditions is programmable on a per-command basis. Writing NCMDR with START=1 when the previous transfer was written with STOP=0 will cause a REPEATED START on the bus. The ability to generate such connected transfers allows arbitrary transfer lengths, since it is legal to write CMDR with both START=0 and STOP=0. If this is done in master receiver mode, the CMDR.ACKLAST bit must also be controlled. 484 32072H-AVR32-10/2012 AT32UC3A3 As for single data transfers, the TXRDY and RXRDY bits in the Status Register indicates when data to transmit can be written to THR, or when received data can be read from RHR. Transfer of data to THR and from RHR can also be done automatically by DMA, see Section 23.8.5 23.8.7.1 Write Followed by Write Consider the following transfer: START, DADR+W, DATA+A, DATA+A, REPSTART, DADR+W, DATA+A, DATA+A, STOP. To generate this transfer: 1. Write CMDR with START=1, STOP=0, DADR, NBYTES=2 and READ=0. 2. Write NCMDR with START=1, STOP=1, DADR, NBYTES=2 and READ=0. 3. Wait until SR.TXRDY==1, then write first data byte to transfer to THR. 4. Wait until SR.TXRDY==1, then write second data byte to transfer to THR. 5. Wait until SR.TXRDY==1, then write third data byte to transfer to THR. 6. Wait until SR.TXRDY==1, then write fourth data byte to transfer to THR. 23.8.7.2 Read Followed by Read Consider the following transfer: START, DADR+R, DATA+A, DATA+NA, REPSTART, DADR+R, DATA+A, DATA+NA, STOP. To generate this transfer: 1. Write CMDR with START=1, STOP=0, DADR, NBYTES=2 and READ=1. 2. Write NCMDR with START=1, STOP=1, DADR, NBYTES=2 and READ=1. 3. Wait until SR.RXRDY==1, then read first data byte received from RHR. 4. Wait until SR.RXRDY==1, then read second data byte received from RHR. 5. Wait until SR.RXRDY==1, then read third data byte received from RHR. 6. Wait until SR.RXRDY==1, then read fourth data byte received from RHR. If combining several transfers, without any STOP or REPEATED START between them, remember to write a one to the ACKLAST bit in CMDR to keep from ending each of the partial transfers with a NACK. 23.8.7.3 Write Followed by Read Consider the following transfer: START, DADR+W, DATA+A, DATA+A, REPSTART, DADR+R, DATA+A, DATA+NA, STOP. 485 32072H-AVR32-10/2012 AT32UC3A3 Figure 23-12. Combining a Write and Read Transfer THR DATA0 DATA1 RHR TWD DATA2 S DADR W A DATA0 A DATA1 NA Sr R DADR A DATA2 A DATA3 DATA3 A P SR.IDLE 1 TXRDY RXRDY To generate this transfer: 1. Write CMDR with START=1, STOP=0, DADR, NBYTES=2 and READ=0. 2. Write NCMDR with START=1, STOP=1, DADR, NBYTES=2 and READ=1. 3. Wait until SR.TXRDY==1, then write first data byte to transfer to THR. 4. Wait until SR.TXRDY==1, then write second data byte to transfer to THR. 5. Wait until SR.RXRDY==1, then read first data byte received from RHR. 6. Wait until SR.RXRDY==1, then read second data byte received from RHR. 23.8.7.4 Read Followed by Write Consider the following transfer: START, DADR+R, DATA+A, DATA+NA, REPSTART, DADR+W, DATA+A, DATA+A, STOP. Figure 23-13. Combining a Read and Write Transfer THR DATA2 RHR TWD DATA0 S SADR R A DATA0 A DATA3 DATA1 A DATA3 1 Sr DADR W A DATA2 A DATA3 SR.IDLE TXRDY RXRDY NA P 2 Read TWI_RHR To generate this transfer: 1. Write CMDR with START=1, STOP=0, DADR, NBYTES=2 and READ=1. 2. Write NCMDR with START=1, STOP=1, DADR, NBYTES=2 and READ=0. 3. Wait until SR.RXRDY==1, then read first data byte received from RHR. 4. Wait until SR.RXRDY==1, then read second data byte received from RHR. 5. Wait until SR.TXRDY==1, then write first data byte to transfer to THR. 6. Wait until SR.TXRDY==1, then write second data byte to transfer to THR. 486 32072H-AVR32-10/2012 AT32UC3A3 23.8.8 Ten Bit Addressing Writing a one to CMDR.TENBIT enables 10-bit addressing in hardware. Performing transfers with 10-bit addressing is similar to transfers with 7-bit addresses, except that bits 9:7 of CMDR.SADR must be written appropriately. In Figure 23-14 and Figure 23-15, the grey boxes represent signals driven by the master, the white boxes are driven by the slave. 23.8.8.1 Master Transmitter To perform a master transmitter transfer: 1. Write CMDR with TENBIT=1, REPSAME=0, READ=0, START=1, STOP=1 and the desired address and NBYTES value. Figure 23-14. A Write Transfer with 10-bit Addressing 1 1 S 23.8.8.2 1 1 0 X SLAVE ADDRESS 1st 7 bits X 0 RW A1 SLAVE ADDRESS 2nd byte A2 DATA A DATA AA P Master Receiver When using master receiver mode with 10-bit addressing, CMDR.REPSAME must also be controlled. CMDR.REPSAME must be written to one when the address phase of the transfer should consist of only 1 address byte (the 11110xx byte) and not 2 address bytes. The IC standard specifies that such addressing is required when addressing a slave for reads using 10-bit addressing. To perform a master receiver transfer: 1. Write CMDR with TENBIT=1, REPSAME=0, READ=0, START=1, STOP=0, NBYTES=0 and the desired address. 2. Write NCMDR with TENBIT=1, REPSAME=1, READ=1, START=1, STOP=1 and the desired address and NBYTES value. Figure 23-15. A Read Transfer with 10-bit Addressing 1 S 23.8.9 1 1 1 0 X X SLAVE ADDRESS 1st 7 bits 1 0 RW A1 SLAVE ADDRESS 2nd byte A2 Sr 1 1 1 0 X SLAVE ADDRESS 1st 7 bits X 1 RW A3 DATA A DATA A P SMBus Mode SMBus mode is enabled and disabled by writing to the SMEN and SMDIS bits in CR. SMBus mode operation is similar to IC operation with the following exceptions: * Only 7-bit addressing can be used. * The SMBus standard describes a set of timeout values to ensure progress and throughput on the bus. These timeout values must be written into SMBTR. * Transmissions can optionally include a CRC byte, called Packet Error Check (PEC). * A dedicated bus line, SMBALERT, allows a slave to get a master's attention. * A set of addresses have been reserved for protocol handling, such as Alert Response Address (ARA) and Host Header (HH) Address. 487 32072H-AVR32-10/2012 AT32UC3A3 23.8.9.1 Packet Error Checking Each SMBus transfer can optionally end with a CRC byte, called the PEC byte. Writing a one to CMDR.PECEN enables automatic PEC handling in the current transfer. Transfers with and without PEC can freely be intermixed in the same system, since some slaves may not support PEC. The PEC LFSR is always updated on every bit transmitted or received, so that PEC handling on combined transfers will be correct. In master transmitter mode, the master calculates a PEC value and transmits it to the slave after all data bytes have been transmitted. Upon reception of this PEC byte, the slave will compare it to the PEC value it has computed itself. If the values match, the data was received correctly, and the slave will return an ACK to the master. If the PEC values differ, data was corrupted, and the slave will return a NACK value. The DNAK bit in SR reflects the state of the last received ACK/NACK value. Some slaves may not be able to check the received PEC in time to return a NACK if an error occurred. In this case, the slave should always return an ACK after the PEC byte, and some other mechanism must be implemented to verify that the transmission was received correctly. In master receiver mode, the slave calculates a PEC value and transmits it to the master after all data bytes have been transmitted. Upon reception of this PEC byte, the master will compare it to the PEC value it has computed itself. If the values match, the data was received correctly. If the PEC values differ, data was corrupted, and SR.PECERR is set. In master receiver mode, the PEC byte is always followed by a NACK transmitted by the master, since it is the last byte in the transfer. The PEC byte is automatically inserted in a master transmitter transmission if PEC is enabled when NBYTES reaches zero. The PEC byte is identified in a master receiver transmission if PEC is enabled when NBYTES reaches zero. NBYTES must therefore be written with the total number of data bytes in the transmission, including the PEC byte. In combined transfers, the PECEN bit should only be written to one in the last of the combined transfers. Consider the following transfer: S, ADR+W, COMMAND_BYTE, ACK, SR, ADR+R, DATA_BYTE, ACK, PEC_BYTE, NACK, P This transfer is generated by writing two commands to the command registers. The first command is a write with NBYTES=1 and PECEN=0, and the second is a read with NBYTES=2 and PECEN=1. Writing a one to the STOP bit in CR will place a STOP condition on the bus after the current byte. No PEC byte will be sent in this case. 23.8.9.2 Timeouts The TLOWS and TLOWM fields in SMBTR configure the SMBus timeout values. If a timeout occurs, the master will transmit a STOP condition and leave the bus. The SR.TOUT bit is set. 23.8.9.3 SMBus ALERT Signal A slave can get the master's attention by pulling the TWALM line low. The TWIM will then set the SR.SMBALERT bit. This can be set up to trigger an interrupt, and software can then take the appropriate action, as defined in the SMBus standard. 488 32072H-AVR32-10/2012 AT32UC3A3 23.8.10 Identifying Bus Events This chapter lists the different bus events, and how they affect bits in the TWIM registers. This is intended to help writing drivers for the TWIM. Table 23-5. Bus Events Event Effect Master transmitter has sent a data byte SR.THR is cleared. Master receiver has received a data byte SR.RHR is set. Start+Sadr sent, no ack received from slave SR.ANAK is set. SR.CCOMP not set. CMDR.VALID remains set. STOP automatically transmitted on bus. Data byte sent to slave, no ack received from slave SR.DNAK is set. SR.CCOMP not set. CMDR.VALID remains set. STOP automatically transmitted on bus. Arbitration lost SR.ARBLST is set. SR.CCOMP not set. CMDR.VALID remains set. TWCK and TWD immediately released to a pulled-up state. SMBus Alert received SR.SMBALERT is set. SMBus timeout received SR.SMBTOUT is set. SR.CCOMP not set. CMDR.VALID remains set. STOP automatically transmitted on bus. Master transmitter receives SMBus PEC Error SR.DNAK is set. SR.CCOMP not set. CMDR.VALID remains set. STOP automatically transmitted on bus. Master receiver discovers SMBus PEC Error SR.PECERR is set. SR.CCOMP not set. CMDR.VALID remains set. STOP automatically transmitted on bus. CR.STOP is written by user SR.STOP is set. SR.CCOMP set. CMDR.VALID remains set. STOP transmitted on bus after current byte transfer has finished. 489 32072H-AVR32-10/2012 AT32UC3A3 23.9 User Interface Table 23-6. Note: TWIM Register Memory Map Offset Register Register Name Access Reset 0x00 Control Register CR Write-only 0x00000000 0x04 Clock Waveform Generator Register CWGR Read/Write 0x00000000 0x08 SMBus Timing Register SMBTR Read/Write 0x00000000 0x0C Command Register CMDR Read/Write 0x00000000 0x10 Next Command Register NCMDR Read/Write 0x00000000 0x14 Receive Holding Register RHR Read-only 0x00000000 0x18 Transmit Holding Register THR Write-only 0x00000000 0x1C Status Register SR Read-only 0x00000002 0x20 Interrupt Enable Register IER Write-only 0x00000000 0x24 Interrupt Disable Register IDR Write-only 0x00000000 0x28 Interrupt Mask Register IMR Read-only 0x00000000 0x2C Status Clear Register SCR Write-only 0x00000000 0x30 Parameter Register PR Read-only -(1) 0x34 Version Register VR Read-only -(1) 1. The reset values for these registers are device specific. Please refer to the Module Configuration section at the end of this chapter. 490 32072H-AVR32-10/2012 AT32UC3A3 23.9.1 Name: Control Register CR Access Type: Write-only Offset: 0x00 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - STOP 7 6 5 4 3 2 1 0 SWRST - SMDIS SMEN - - MDIS MEN * STOP: Stop the Current Transfer Writing a one to this bit terminates the current transfer, sending a STOP condition after the shifter has become idle. If there are additional pending transfers, they will have to be explicitly restarted by software after the STOP condition has been successfully sent. Writing a zero to this bit has no effect. * SWRST: Software Reset If the TWIM master interface is enabled, writing a one to this bit resets the TWIM. All transfers are halted immediately, possibly violating the bus semantics. If the TWIM master interface is not enabled, it must first be enabled before writing a one to this bit. Writing a zero to this bit has no effect. * SMDIS: SMBus Disable Writing a one to this bit disables SMBus mode. Writing a zero to this bit has no effect. * SMEN: SMBus Enable Writing a one to this bit enables SMBus mode. Writing a zero to this bit has no effect. * MDIS: Master Disable Writing a one to this bit disables the master interface. Writing a zero to this bit has no effect. * MEN: Master Enable Writing a one to this bit enables the master interface. Writing a zero to this bit has no effect. 491 32072H-AVR32-10/2012 AT32UC3A3 23.9.2 Name: Clock Waveform Generator Register CWGR Access Type: Read/Write Offset: 0x04 Reset Value: 0x00000000 31 30 - 23 29 28 27 26 EXP 22 21 25 24 DATA 20 19 18 17 16 11 10 9 8 3 2 1 0 STASTO 15 14 13 12 HIGH 7 6 5 4 LOW * EXP: Clock Prescaler Used to specify how to prescale the TWCK clock. Counters are prescaled according to the following formula f CLK_TWIM f PRESCALER = ------------------------( EXP + 1 ) 2 * DATA: Data Setup and Hold Cycles Clock cycles for data setup and hold count. Prescaled by CWGR.EXP. Used to time THD_DAT, TSU_DAT. * STASTO: START and STOP Cycles Clock cycles in clock high count. Prescaled by CWGR.EXP. Used to time THD_STA, TSU_STA, TSU_STO * HIGH: Clock High Cycles Clock cycles in clock high count. Prescaled by CWGR.EXP. Used to time THIGH. * LOW: Clock Low Cycles Clock cycles in clock low count. Prescaled by CWGR.EXP. Used to time TLOW, TBUF. 492 32072H-AVR32-10/2012 AT32UC3A3 23.9.3 Name: SMBus Timing Register SMBTR Access Type: Read/Write Offset: 0x08 Reset Value: 0x00000000 31 30 29 28 EXP 23 22 21 20 27 26 25 24 - - - - 19 18 17 16 11 10 9 8 3 2 1 0 THMAX 15 14 13 12 TLOWM 7 6 5 4 TLOWS * EXP: SMBus Timeout Clock Prescaler Used to specify how to prescale the TIM and TLOWM counters in SMBTR. Counters are prescaled according to the following formula f CLKTWIM f prescaled, SMBus = -----------------------( EXP + 1 ) 2 * THMAX: Clock High Maximum Cycles Clock cycles in clock high maximum count. Prescaled by SMBTR.EXP. Used for bus free detection. Used to time THIGH:MAX. NOTE: Uses the prescaler specified by CWGR, NOT the prescaler specified by SMBTR. * TLOWM: Master Clock Stretch Maximum Cycles Clock cycles in master maximum clock stretch count. Prescaled by SMBTR.EXP. Used to time TLOW:MEXT * TLOWS: Slave Clock Stretch Maximum Cycles Clock cycles in slave maximum clock stretch count. Prescaled by SMBTR.EXP. Used to time TLOW:SEXT. 493 32072H-AVR32-10/2012 AT32UC3A3 23.9.4 Name: Command Register CMDR Access Type: Read/Write Offset: 0x0C Reset Value: 0x00000000 31 30 - 23 29 28 - 22 21 20 27 26 25 24 - - ACKLAST PECEN 19 18 17 16 10 9 8 NBYTES 15 14 13 12 11 VALID STOP START REPSAME TENBIT 7 6 5 4 3 SADR[6:0] SADR[9:7] 2 1 0 READ * ACKLAST: ACK Last Master RX Byte 0: Causes the last byte in master receive mode (when NBYTES has reached 0) to be NACKed. This is the standard way of ending a master receiver transfer. 1: Causes the last byte in master receive mode (when NBYTES has reached 0) to be ACKed. Used for performing linked transfers in master receiver mode with no STOP or REPEATED START between the subtransfers. This is needed when more than 255 bytes are to be received in one single transmission. * PECEN: Packet Error Checking Enable 0: Causes the transfer not to use PEC byte verification. The PEC LFSR is still updated for every bit transmitted or received. Must be used if SMBus mode is disabled. 1: Causes the transfer to use PEC. PEC byte generation (if master transmitter) or PEC byte verification (if master receiver) will be performed. * NBYTES: Number of Data Bytes in Transfer The number of data bytes in the transfer. After the specified number of bytes have been transferred, a STOP condition is transmitted if CMDR.STOP is one. In SMBus mode, if PEC is used, NBYTES includes the PEC byte, i.e. there are NBYTES-1 data bytes and a PEC byte. * VALID: CMDR Valid 0: Indicates that CMDR does not contain a valid command. 1: Indicates that CMDR contains a valid command. This bit is cleared when the command is finished. * STOP: Send STOP Condition 0: Do not transmit a STOP condition after the data bytes have been transmitted. 1: Transmit a STOP condition after the data bytes have been transmitted. * START: Send START Condition 0: The transfer in CMDR should not commence with a START or REPEATED START condition. 1: The transfer in CMDR should commence with a START or REPEATED START condition. If the bus is free when the command is executed, a START condition is used. If the bus is busy, a REPEATED START is used. * REPSAME: Transfer is to Same Address as Previous Address Only used in 10-bit addressing mode, always write to 0 in 7-bit addressing mode. 494 32072H-AVR32-10/2012 AT32UC3A3 Write this bit to one if the command in CMDR performs a repeated start to the same slave address as addressed in the previous transfer in order to enter master receiver mode. Write this bit to zero otherwise. * TENBIT: Ten Bit Addressing Mode 0: Use 7-bit addressing mode. 1: Use 10-bit addressing mode. Must not be used when the TWIM is in SMBus mode. * SADR: Slave Address Address of the slave involved in the transfer. Bits 9-7 are don't care if 7-bit addressing is used. * READ: Transfer Direction 0: Allow the master to transmit data. 1: Allow the master to receive data. 495 32072H-AVR32-10/2012 AT32UC3A3 23.9.5 Name: Next Command Register NCMDR Access Type: Read/Write Offset: 0x10 Reset Value: 0x00000000 31 30 - 29 28 - 23 22 21 20 27 26 25 24 - - ACKLAST PECEN 19 18 17 16 10 9 8 NBYTES 15 14 13 12 11 VALID STOP START REPSAME TENBIT 7 6 5 4 3 SADR[6:0] SADR[9:7] 2 1 0 READ This register is identical to CMDR. When the VALID bit in CMDR becomes 0, the content of NCMDR is copied into CMDR, clearing the VALID bit in NCMDR. If the VALID bit in CMDR is cleared when NCMDR is written, the content is copied immediately. 496 32072H-AVR32-10/2012 AT32UC3A3 23.9.6 Name: Receive Holding Register RHR Access Type: Read-only Offset: 0x14 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 RXDATA * RXDATA: Received Data When the RXRDY bit in the Status Register (SR) is one, this field contains a byte received from the TWI bus. 497 32072H-AVR32-10/2012 AT32UC3A3 23.9.7 Name: Transmit Holding Register THR Access Type: Write-only Offset: 0x18 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 TXDATA * TXDATA: Data to Transmit Write data to be transferred on the TWI bus here. 498 32072H-AVR32-10/2012 AT32UC3A3 23.9.8 Name: Status Register SR Access Type: Read-only Offset: 0x1C Reset Value: 0x00000002 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - MENB 15 14 13 12 11 10 9 8 - STOP PECERR TOUT SMBALERT ARBLST DNAK ANAK 7 6 5 4 3 2 1 0 - - BUSFREE IDLE CCOMP CRDY TXRDY RXRDY * MENB: Master Interface Enable 0: Master interface is disabled. 1: Master interface is enabled. * STOP: Stop Request Accepted This bit is one when a STOP request caused by writing a one to CR.STOP has been accepted, and transfer has stopped. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * PECERR: PEC Error This bit is one when a SMBus PEC error occurred. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * TOUT: Timeout This bit is one when a SMBus timeout occurred. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * SMBALERT: SMBus Alert This bit is one when an SMBus Alert was received. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * ARBLST: Arbitration Lost This bit is one when the actual state of the SDA line did not correspond to the data driven onto it, indicating a higher-priority transmission in progress by a different master. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * DNAK: NAK in Data Phase Received This bit is one when no ACK was received form slave during data transmission. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * ANAK: NAK in Address Phase Received This bit is one when no ACK was received from slave during address phase This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * BUSFREE: Two-wire Bus is Free This bit is one when activity has completed on the two-wire bus. Otherwise, this bit is cleared. 499 32072H-AVR32-10/2012 AT32UC3A3 * IDLE: Master Interface is Idle This bit is one when no command is in progress, and no command waiting to be issued. Otherwise, this bit is cleared. * CCOMP: Command Complete This bit is one when the current command has completed successfully. This bit is zero if the command failed due to conditions such as a NAK receved from slave. This bit is cleared by writing 1 to the corresponding bit in the Status Clear Register (SCR). * CRDY: Ready for More Commands This bit is one when CMDR and/or NCMDR is ready to receive one or more commands. This bit is cleared when this is no longer true. * TXRDY: THR Data Ready This bit is one when THR is ready for one or more data bytes. This bit is cleared when this is no longer true (i.e. THR is full or transmission has stopped). * RXRDY: RHR Data Ready This bit is one when RX data are ready to be read from RHR. This bit is cleared when this is no longer true. 500 32072H-AVR32-10/2012 AT32UC3A3 23.9.9 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x20 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - PECERR TOUT SMBALERT ARBLST DNAK ANAK 7 6 5 4 3 2 1 0 - - BUSFREE IDLE CCOMP CRDY TXRDY RXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR 501 32072H-AVR32-10/2012 AT32UC3A3 23.9.10 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x24 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - PECERR TOUT SMBALERT ARBLST DNAK ANAK 7 6 5 4 3 2 1 0 - - BUSFREE IDLE CCOMP CRDY TXRDY RXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR 502 32072H-AVR32-10/2012 AT32UC3A3 23.9.11 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x28 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - PECERR TOUT SMBALERT ARBLST DNAK ANAK 7 6 5 4 3 2 1 0 - - BUSFREE IDLE CCOMP CRDY TXRDY RXRDY 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. This bit is cleared when the corresponding bit in IDR is written to one. This bit is set when the corresponding bit in IER is written to one. 503 32072H-AVR32-10/2012 AT32UC3A3 23.9.12 Name: Status Clear Register SCR Access Type : Write-only Offset: 0x2C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - STOP PECERR TOUT SMBALERT ARBLST DNAK ANAK 7 6 5 4 3 2 1 0 - - - - CCOMP - - - Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in SR and the corresponding interrupt request. 504 32072H-AVR32-10/2012 AT32UC3A3 23.9.13 Name: Parameter Register (PR) PR Access Type: Read-only Offset: 0x30 Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - - - - - 505 32072H-AVR32-10/2012 AT32UC3A3 23.9.14 Name: Version Register (VR) VR Access Type: Read-only Offset: 0x34 Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 VARIANT 11 10 VERSION [11:8] 3 2 1 0 VERSION [7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION: Version Number Version number of the module. No functionality associated. 506 32072H-AVR32-10/2012 AT32UC3A3 23.10 Module Configuration The specific configuration for each TWIM instance is listed in the following tables.The module bus clocks listed here are connected to the system bus clocks according to the table in the Power Manager section. Table 23-7. Module Clock Name Module name Clock name TWIM0 CLK_TWIM0 TWIM1 CLK_TWIM1 Table 23-8. Register Reset Values Register Reset Value VR 0x00000100 PR 0x00000000 507 32072H-AVR32-10/2012 AT32UC3A3 24. Synchronous Serial Controller (SSC) Rev: 3.2.0.2 24.1 Features * * * * * Provides serial synchronous communication links used in audio and telecom applications Independent receiver and transmitter, common clock divider Interfaced with two Peripheral DMA Controller channels to reduce processor overhead Configurable frame sync and data length Receiver and transmitter can be configured to start automatically or on detection of different events on the frame sync signal * Receiver and transmitter include a data signal, a clock signal and a frame synchronization signal 24.2 Overview The Synchronous Serial Controller (SSC) provides a synchronous communication link with external devices. It supports many serial synchronous communication protocols generally used in audio and telecom applications such as I2S, Short Frame Sync, Long Frame Sync, etc. The SSC consists of a receiver, a transmitter, and a common clock divider. Both the receiver and the transmitter interface with three signals: * the TX_DATA/RX_DATA signal for data * the TX_CLOCK/RX_CLOCK signal for the clock * the TX_FRAME_SYNC/RX_FRAME_SYNC signal for the frame synchronization The transfers can be programmed to start automatically or on different events detected on the Frame Sync signal. The SSC's high-level of programmability and its two dedicated Peripheral DMA Controller channels of up to 32 bits permit a continuous high bit rate data transfer without processor intervention. Featuring connection to two Peripheral DMA Controller channels, the SSC permits interfacing with low processor overhead to the following: * CODEC's in master or slave mode * DAC through dedicated serial interface, particularly I2S * Magnetic card reader 508 32072H-AVR32-10/2012 AT32UC3A3 24.3 Block Diagram Figure 24-1. SSC Block Diagram High Speed Bus Peripheral Bus Bridge Peripheral DMA Controller Peripheral Bus TX_FRAME_SYNC TX_CLOCK TX_DATA Power CLK_SSC Manager SSC Interface I/O Controller RX_FRAME_SYNC RX_CLOCK Interrupt Control RX_DATA SSC Interrupt 24.4 Application Block Diagram Figure 24-2. SSC Application Block Diagram OS or RTOS Driver Power Management Interrupt Management Test Management SSC Serial AUDIO Codec Time Slot Frame Management Management Line Interface 509 32072H-AVR32-10/2012 AT32UC3A3 24.5 I/O Lines Description Table 24-1. 24.6 I/O Lines Description Pin Name Pin Description Type RX_FRAME_SYNC Receiver Frame Synchro Input/Output RX_CLOCK Receiver Clock Input/Output RX_DATA Receiver Data Input TX_FRAME_SYNC Transmitter Frame Synchro Input/Output TX_CLOCK Transmitter Clock Input/Output TX_DATA Transmitter Data Output Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 24.6.1 I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with I/O lines. Before using the SSC receiver, the I/O Controller must be configured to dedicate the SSC receiver I/O lines to the SSC peripheral mode. Before using the SSC transmitter, the I/O Controller must be configured to dedicate the SSC transmitter I/O lines to the SSC peripheral mode. 24.6.2 Clocks The clock for the SSC bus interface (CLK_SSC) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the SSC before disabling the clock, to avoid freezing the SSC in an undefined state. 24.6.3 Interrupts The SSC interrupt request line is connected to the interrupt controller. Using the SSC interrupt requires the interrupt controller to be programmed first. 24.7 Functional Description This chapter contains the functional description of the following: SSC functional block, clock management, data framing format, start, transmitter, receiver, and frame sync. The receiver and the transmitter operate separately. However, they can work synchronously by programming the receiver to use the transmit clock and/or to start a data transfer when transmission starts. Alternatively, this can be done by programming the transmitter to use the receive clock and/or to start a data transfer when reception starts. The transmitter and the receiver can be programmed to operate with the clock signals provided on either the TX_CLOCK or RX_CLOCK pins. This allows the SSC to support many slave-mode data transfers. The maximum clock speed allowed on the TX_CLOCK and RX_CLOCK pins is CLK_SSC divided by two. 510 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-3. SSC Functional Block Diagram Transmitter Clock Output Controller TX_CLOCK Frame Sync Controller TX_FRAME_SYNC TX_CLOCK Input CLK_SSC Clock Divider Transmit Clock TX clock Controller RX clock TX_FRAME_SYNC RX_FRAME_SYNC Start Selector Transmit Shift Register Transmit Holding Register TX_DMA Peripheral Bus TX_DATA Transmit Sync Holding Register Load Shift User Interface Receiver RX_CLOCK Input TX clock TX_FRAME_SYNC RX_FRAME_SYNC Receive Clock RX clock Controller Start Selector Interrupt Control RX_CLOCK Frame Sync Controller RX_FRAME_SYNC Receive Shift Register RX_DMA DMA Clock Output Controller Receive Holding Register RX_DATA Receive Sync Holding Register Load Shift Interrupt Controller 24.7.1 Clock Management The transmitter clock can be generated by: * an external clock received on the TX_CLOCK pin * the receiver clock * the internal clock divider The receiver clock can be generated by: * an external clock received on the RX_CLOCK pin * the transmitter clock * the internal clock divider Furthermore, the transmitter block can generate an external clock on the TX_CLOCK pin, and the receiver block can generate an external clock on the RX_CLOCK pin. This allows the SSC to support many Master and Slave Mode data transfers. 511 32072H-AVR32-10/2012 AT32UC3A3 24.7.1.1 Clock divider Figure 24-4. Divided Clock Block Diagram Clock Divider CMR CLK_SSC /2 12-bit Counter Divided Clock The peripheral clock divider is determined by the 12-bit Clock Divider field (its maximal value is 4095) in the Clock Mode Register (CMR.DIV), allowing a peripheral clock division by up to 8190. The divided clock is provided to both the receiver and transmitter. When this field is written to zero, the clock divider is not used and remains inactive. When CMR.DIV is written to a value equal to or greater than one, the divided clock has a frequency of CLK_SSC divided by two times CMR.DIV. Each level of the divided clock has a duration of the peripheral clock multiplied by CMR.DIV. This ensures a 50% duty cycle for the divided clock regardless of whether the CMR.DIV value is even or odd. Figure 24-5. Divided Clock Generation CLK_SSC Divided Clock DIV = 1 Divided Clock Frequency = CLK_SSC/2 CLK_SSC Divided Clock DIV = 3 Divided Clock Frequency = CLK_SSC/6 Table 24-2. 24.7.1.2 Range of Clock Divider Maximum Minimum CLK_SSC / 2 CLK_SSC / 8190 Transmitter clock management The transmitter clock is generated from the receiver clock, the divider clock, or an external clock scanned on the TX_CLOCK pin. The transmitter clock is selected by writing to the Transmit Clock Selection field in the Transmit Clock Mode Register (TCMR.CKS). The transmit clock can 512 32072H-AVR32-10/2012 AT32UC3A3 be inverted independently by writing a one to the Transmit Clock Inversion bit in TCMR (TCMR.CKI). The transmitter can also drive the TX_CLOCK pin continuously or be limited to the actual data transfer, depending on the Transmit Clock Output Mode Selection field in the TCMR register (TCMR.CKO). The TCMR.CKI bit has no effect on the clock outputs. Writing 0b10 to the TCMR.CKS field to select TX_CLOCK pin and 0b001 to the TCMR.CKO field to select Continuous Transmit Clock can lead to unpredictable results. Figure 24-6. Transmitter Clock Management TX_CLOCK Clock Output Tri-state Controller MUX Receiver Clock Divider Clock Data Transfer CKO CKS 24.7.1.3 INV MUX Tri-state Controller CKI CKG Transmitter Clock Receiver clock management The receiver clock is generated from the transmitter clock, the divider clock, or an external clock scanned on the RX_CLOCK pin. The receive clock is selected by writing to the Receive Clock Selection field in the Receive Clock Mode Register (RCMR.CKS). The receive clock can be inverted independently by writing a one to the Receive Clock Inversion bit in RCMR (RCMR.CKI). The receiver can also drive the RX_CLOCK pin continuously or be limited to the actual data transfer, depending on the Receive Clock Output Mode Selection field in the RCMR register (RCMR.CKO). The RCMR.CKI bit has no effect on the clock outputs. Writing 0b10 to the RCMR.CKS field to select RX_CLOCK pin and 0b001 to the RCMR.CKO field to select Continuous Receive Clock can lead to unpredictable results. 513 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-7. Receiver Clock Management RX_CLOCK Tri-state Controller MUX Clock Output Transmitter Clock Divider Clock Data Transfer CKO CKS 24.7.1.4 INV MUX Tri-state Controller CKI CKG Receiver Clock Serial clock ratio considerations The transmitter and the receiver can be programmed to operate with the clock signals provided on either the TX_CLOCK or RX_CLOCK pins. This allows the SSC to support many slave-mode data transfers. In this case, the maximum clock speed allowed on the RX_CLOCK pin is: - CLK_SSC divided by two if RX_FRAME_SYNC is input. - CLK_SSC divided by three if RX_FRAME_SYNC is output. In addition, the maximum clock speed allowed on the TX_CLOCK pin is: - CLK_SSC divided by six if TX_FRAME_SYNC is input. - CLK_SSC divided by two if TX_FRAME_SYNC is output. 24.7.2 Transmitter Operations A transmitted frame is triggered by a start event and can be followed by synchronization data before data transmission. The start event is configured by writing to the TCMR register. See Section 24.7.4. The frame synchronization is configured by writing to the Transmit Frame Mode Register (TFMR). See Section 24.7.5. To transmit data, the transmitter uses a shift register clocked by the transmitter clock signal and the start mode selected in the TCMR register. Data is written by the user to the Transmit Holding Register (THR) then transferred to the shift register according to the data format selected. When both the THR and the transmit shift registers are empty, the Transmit Empty bit is set in the Status Register (SR.TXEMPTY). When the THR register is transferred in the transmit shift register, the Transmit Ready bit is set in the SR register (SR.TXREADY) and additional data can be loaded in the THR register. 514 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-8. Transmitter Block Diagram CR.TXEN SR.TXEN CR.TXDIS TFMR.DATDEF 1 TX_FRAME_SYNC RX_FRAME_SYNC Transmitter Clock Start Selector TX_DATA 0 TFMR.MSBF Transmit Shift Register 0 TFMR.FSDEN TCMR.STTDLY TFMR.DATLEN 24.7.3 TCMR.STTDLY TFMR.FSDEN TFMR.DATNB THR 1 TSHR TFMR.FSLEN Receiver Operations A received frame is triggered by a start event and can be followed by synchronization data before data transmission. The start event is configured by writing to the RCMR register. See Section 24.7.4. The frame synchronization is configured by writing to the Receive Frame Mode Register (RFMR). See Section 24.7.5. The receiver uses a shift register clocked by the receiver clock signal and the start mode selected in the RCMR register. The data is transferred from the shift register depending on the data format selected. When the receiver shift register is full, the SSC transfers this data in the Receive Holding Register (RHR), the Receive Ready bit is set in the SR register (SR.RXREADY) and the data can be read in the RHR register. If another transfer occurs before a read of the RHR register , the Receive Overrun bit is set in the SR register (SR.OVRUN) and the receiver shift register is transferred to the RHR register. 515 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-9. Receiver Block Diagram RX_CLO C K T ri-sta te C o n tro lle r MUX C lo ck O u tp u t T ra n sm itte r C lo ck D ivid e r C lo ck D a ta T ra n sfe r CKO CKS 24.7.4 IN V MUX T ri-sta te C o n tro lle r CKI CKG R e ce ive r C lo ck Start The transmitter and receiver can both be programmed to start their operations when an event occurs, respectively in the Transmit Start Selection field of the TCMR register (TCMR.START) and in the Receive Start Selection field of the RCMR register (RCMR.START). Under the following conditions the start event is independently programmable: * Continuous: in this case, the transmission starts as soon as a word is written to the THR register and the reception starts as soon as the receiver is enabled * Synchronously with the transmitter/receiver * On detection of a falling/rising edge on TX_FRAME_SYNC/RX_FRAME_SYNC * On detection of a low/high level on TX_FRAME_SYNC/RX_FRAME_SYNC * On detection of a level change or an edge on TX_FRAME_SYNC/RX_FRAME_SYNC A start can be programmed in the same manner on either side of the Transmit/Receive Clock Mode Register (TCMR/RCMR). Thus, the start could be on TX_FRAME_SYNC (transmit) or RX_FRAME_SYNC (receive). Moreover, the receiver can start when data is detected in the bit stream with the compare functions. See Section 24.7.6 for more details on receive compare modes. Detection on TX_FRAME_SYNC input/output is done by the Transmit Frame Sync Output Selection field in the TFMR register (TFMR.FSOS). Similarly, detection on RX_FRAME_SYNC input/output is done by the Receive Frame Output Sync Selection field in the RFMR register (RFMR.FSOS). 516 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-10. Transmit Start Mode TX_CLOCK (Input) TX_FRAME_SYNC (Input) TX_DATA (Output) Start= Low Level on TX_FRAME_SYNC TX_DATA (Output) Start= Falling Edge on TX_FRAME_SYNC X B0 B0 X TX_DATA (Output) Start= High Level on TX_FRAME_SYNC STTDLY B0 X X B0 B1 STTDLY B0 B1 B0 B1 B0 B1 B0 B1 X TX_DATA (Output) Start= Level Change on TX_FRAME_SYNC STTDLY B1 X TX_DATA (Output) Start= Rising Edge on TX_FRAME_SYNC TX_DATA (Output) Start= Any Edge on TX_FRAME_SYNC B1 STTDLY B1 STTDLY STTDLY Figure 24-11. Receive Pulse/Edge Start Modes RX_CLOCK RX_FRAME_SYNC (Input) RX_DATA (Input) X Start = Low Level on RX_FRAME_SYNC RX_DATA (Input) Start = Falling Edge on RX_FRAME_SYNC STTDLY B0 X RX_DATA (Input) B0 B1 STTDLY RX_DATA (Input) B0 B1 B0 B1 B0 B1 B0 B1 X Start = Rising Edge on RX_FRAME_SYNC RX_DATA (Input) X Start = Level Change on RX_FRAME_SYNC RX_DATA (Input) STTDLY X Start = High Level on RX_FRAME_SYNC Start = Any Edge on RX_FRAME_SYNC B1 X B0 STTDLY B1 STTDLY STTDLY 517 32072H-AVR32-10/2012 AT32UC3A3 24.7.5 Frame Sync The transmitter and receiver frame synchro pins, TX_FRAME_SYNC and RX_FRAME_SYNC, can be programmed to generate different kinds of frame synchronization signals. The RFMR.FSOS and TFMR.FSOS fields are used to select the required waveform. * Programmable low or high levels during data transfer are supported. * Programmable high levels before the start of data transfers or toggling are also supported. If a pulse waveform is selected, in reception, the Receive Frame Sync Length High Part and the Receive Frame Sync Length fields in the RFMR register (RFMR.FSLENHI and RFMR.FSLEN) define the length of the pulse, from 1 bit time up to 256 bit time. Reception Pulse Length = ((16 x FSLENHI ) + FSLEN + 1) receive clock periods Similarly, in transmission, the Transmit Frame Sync Length High Part and the Transmit Frame Sync Length fields in the TFMR register (TFMR.FSLENHI and TFMR.FSLEN) define the length of the pulse, from 1 bit up to 256 bit time. Transmission Pulse Length = ((16 x FSLENHI ) + FSLEN + 1) transmit clock periods The periodicity of the RX_FRAME_SYNC and TX_FRAME_SYNC pulse outputs can be configured respectively through the Receive Period Divider Selection field in the RCMR register (RCMR.PERIOD) and the Transmit Period Divider Selection field in the TCMR register (TCMR.PERIOD). 24.7.5.1 Frame sync data Frame Sync Data transmits or receives a specific tag during the Frame Sync signal. During the Frame Sync signal, the receiver can sample the RX_DATA line and store the data in the Receive Sync Holding Register (RSHR) and the transmitter can transfer the Transmit Sync Holding Register (TSHR) in the shifter register. The data length to be sampled in reception during the Frame Sync signal shall be written to the RFMR.FSLENHI and RFMR.FSLEN fields. The data length to be shifted out in transmission during the Frame Sync signal shall be written to the TFMR.FSLENHI and TFMR.FSLEN fields. Concerning the Receive Frame Sync Data operation, if the Frame Sync Length is equal to or lower than the delay between the start event and the actual data reception, the data sampling operation is performed in the RSHR through the receive shift register. The Transmit Frame Sync operation is performed by the transmitter only if the Frame Sync Data Enable bit in TFMR register (TFMR.FSDEN) is written to one. If the Frame Sync length is equal to or lower than the delay between the start event and the actual data transmission, the normal transmission has priority and the data contained in the TSHR is transferred in the transmit register, then shifted out. 24.7.5.2 Frame sync edge detection The Frame Sync Edge detection is configured by writing to the Frame Sync Edge Detection bit in the RFMR/TFMR registers (RFMR.FSEDGE and TFMR.FSEDGE). This sets the Receive Sync 518 32072H-AVR32-10/2012 AT32UC3A3 and Transmit Sync bits in the SR register (SR.RXSYN and SR.TXSYN) on frame synchro edge detection (signals RX_FRAME_SYNC/TX_FRAME_SYNC). 24.7.6 Receive Compare Modes Figure 24-12. Receive Compare Modes RX_CLOCK RX_DATA (Input) CMP0 CMP1 CMP2 Ignored CMP3 B1 B0 B2 Start {FSLENHI,FSLEN} Up to 256 Bits (4 in This Example) 24.7.6.1 24.7.7 STTDLY DATLEN Compare functions Compare 0 can be one start event of the receiver. In this case, the receiver compares at each new sample the last {RFMR.FSLENHI, RFMR.FSLEN} bits received to the {RFMR.FSLENHI, RFMR.FSLEN} lower bits of the data contained in the Receive Compare 0 Register (RC0R). When this start event is selected, the user can program the receiver to start a new data transfer either by writing a new Compare 0, or by receiving continuously until Compare 1 occurs. This selection is done with the Receive Stop Selection bit in the RCMR register (RCMR.STOP). Data Framing Format The data framing format of both the transmitter and the receiver are programmable through the TFMR, TCMR, RFMR, and RCMR registers. In either case, the user can independently select: * the event that starts the data transfer (RCMR.START and TCMR.START) * the delay in number of bit periods between the start event and the first data bit (RCMR.STTDLY and TCMR.STTDLY) * the length of the data (RFMR.DATLEN and TFMR.DATLEN) * the number of data to be transferred for each start event (RFMR.DATNB and TFMR.DATLEN) * the length of synchronization transferred for each start event (RFMR.FSLENHI, RFMR.FSLEN, TFMR.FSLENHI, and TFMR.FSLEN) * the bit sense: most or lowest significant bit first (RFMR.MSBF and TFMR.MSBF) Additionally, the transmitter can be used to transfer synchronization and select the level driven on the TX_DATA pin while not in data transfer operation. This is done respectively by writing to the Frame Sync Data Enable and the Data Default Value bits in the TFMR register (TFMR.FSDEN and TFMR.DATDEF). Table 24-3. Data Framing Format Registers Transmitter Receiver Bit/Field Length TCMR RCMR PERIOD Up to 512 TCMR RCMR START TCMR RCMR STTDLY Comment Frame size Start selection Up to 255 Size of transmit start delay 519 32072H-AVR32-10/2012 AT32UC3A3 Table 24-3. Data Framing Format Registers Transmitter Receiver Bit/Field Length Comment TFMR RFMR DATNB Up to 16 Number of words transmitted in frame TFMR RFMR DATLEN Up to 32 Size of word TFMR RFMR {FSLENHI,FSLEN} Up to 256 Size of Synchro data register TFMR RFMR MSBF Most significant bit first TFMR FSDEN Enable send TSHR TFMR DATDEF Data default value ended Figure 24-13. Transmit and Receive Frame Format in Edge/Pulse Start Modes Start Start PERIOD TX_FRAME_SYNC / (1) RX_FRAME_SYNC FSLEN TX_DATA (If FSDEN = 1) Sync Data From TSHR TX_DATA (If FSDEN = 0) Default From DATDEF Default From DATDEF RX_DATA Sync Data Ignored To RSHR STTDLY Data Data From THR From THR Data Data From THR From THR Data Data To RHR To RHR Default Sync Data From DATDEF Default From DATDEF Ignored Sync Data DATLEN DATLEN DATNB Note: Example of input on falling edge of TX_FRAME_SYNC/RX_FRAME_SYNC. Figure 24-14. Transmit Frame Format in Continuous Mode Start TX_DATA Data Data From THR From THR DATLEN DATLEN Default Start: 1. TXEMPTY set to one 2. Write into the THR Note: STTDLY is written to zero. In this example, THR is loaded twice. FSDEN value has no effect on the transmission. SyncData cannot be output in continuous mode. 520 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-15. Receive Frame Format in Continuous Mode Start = Enable Receiver RX_DATA Note: 24.7.8 Data Data To RHR To RHR DATLEN DATLEN STTDLY is written to zero. Loop Mode The receiver can be programmed to receive transmissions from the transmitter. This is done by writing a one to the Loop Mode bit in RFMR register (RFMR.LOOP). In this case, RX_DATA is connected to TX_DATA, RX_FRAME_SYNC is connected to TX_FRAME_SYNC and RX_CLOCK is connected to TX_CLOCK. 24.7.9 Interrupt Most bits in the SR register have a corresponding bit in interrupt management registers. The SSC can be programmed to generate an interrupt when it detects an event. The interrupt is controlled by writing to the Interrupt Enable Register (IER) and Interrupt Disable Register (IDR). These registers enable and disable, respectively, the corresponding interrupt by setting and clearing the corresponding bit in the Interrupt Mask Register (IMR), which controls the generation of interrupts by asserting the SSC interrupt line connected to the interrupt controller. Figure 24-16. Interrupt Block Diagram IM R IE R ID R C le a r Set T ra n s m itte r TXRDY TXEM PTY TXSYNC In te rru p t C o n tro l S S C In te rru p t R e c e iv e r RXRDY OVRUN RXSYNC 521 32072H-AVR32-10/2012 AT32UC3A3 24.8 SSC Application Examples The SSC can support several serial communication modes used in audio or high speed serial links. Some standard applications are shown in the following figures. All serial link applications supported by the SSC are not listed here. Figure 24-17. Audio Application Block Diagram Clock SCK TX_CLOCK Word Select WS I2S RECEIVER TX_FRAME_SYNC Data SD TX_DATA SSC RX_DATA RX_FRAME_SYNC Clock SCK Word Select WS RX_CLOCK Data SD MSB LSB Left Channel MSB Right Channel Figure 24-18. Codec Application Block Diagram Serial Data Clock (SCLK) TX_CLOCK Frame sync (FSYNC) TX_FRAME_SYNC TX_DATA Serial Data Out CODEC SSC RX_DATA RX_FRAME_SYNC RX_CLOCK Serial Data In Serial Data Clock (SCLK) Frame sync (FSYNC) First Time Slot Dstart Dend Serial Data Out Serial Data In 522 32072H-AVR32-10/2012 AT32UC3A3 Figure 24-19. Time Slot Application Block Diagram SCLK TX_CLOCK FSYNC TX_FRAME_SYNC TX_DATA CODEC First Time Slot Data Out SSC RX_DATA Data in RX_FRAME_SYNC RX_CLOCK CODEC Second Time Slot Serial Data Clock (SCLK) Frame sync (FSYNC) First Time Slot Dstart Second Time Slot Dend Serial Data Out Serial Data In 523 32072H-AVR32-10/2012 AT32UC3A3 24.9 User Interface Table 24-4. SSC Register Memory Map Offset Register Register Name Access Reset 0x00 Control Register CR Write-only 0x00000000 0x04 Clock Mode Register CMR Read/Write 0x00000000 0x10 Receive Clock Mode Register RCMR Read/Write 0x00000000 0x14 Receive Frame Mode Register RFMR Read/Write 0x00000000 0x18 Transmit Clock Mode Register TCMR Read/Write 0x00000000 0x1C Transmit Frame Mode Register TFMR Read/Write 0x00000000 0x20 Receive Holding Register RHR Read-only 0x00000000 0x24 Transmit Holding Register THR Write-only 0x00000000 0x30 Receive Synchronization Holding Register RSHR Read-only 0x00000000 0x34 Transmit Synchronization Holding Register TSHR Read/Write 0x00000000 0x38 Receive Compare 0 Register RC0R Read/Write 0x00000000 0x3C Receive Compare 1 Register RC1R Read/Write 0x00000000 0x40 Status Register SR Read-only 0x000000CC 0x44 Interrupt Enable Register IER Write-only 0x00000000 0x48 Interrupt Disable Register IDR Write-only 0x00000000 0x4C Interrupt Mask Register IMR Read-only 0x00000000 524 32072H-AVR32-10/2012 AT32UC3A3 24.9.1 Name: Control Register CR Access Type: Write-only Offset: 0x00 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 SWRST - - - - - TXDIS TXEN 7 6 5 4 3 2 1 0 - - - - - - RXDIS RXEN * SWRST: Software Reset 1: Writing a one to this bit will perform a software reset. This software reset has priority on any other bit in CR. 0: Writing a zero to this bit has no effect. * TXDIS: Transmit Disable 1: Writing a one to this bit will disable the transmission. If a character is currently being transmitted, the disable occurs at the end of the current character transmission. 0: Writing a zero to this bit has no effect. * TXEN: Transmit Enable 1: Writing a one to this bit will enable the transmission if the TXDIS bit is not written to one. 0: Writing a zero to this bit has no effect. * RXDIS: Receive Disable 1: Writing a one to this bit will disable the reception. If a character is currently being received, the disable occurs at the end of current character reception. 0: Writing a zero to this bit has no effect. * RXEN: Receive Enable 1: Writing a one to this bit will enables the reception if the RXDIS bit is not written to one. 0: Writing a zero to this bit has no effect. 525 32072H-AVR32-10/2012 AT32UC3A3 24.9.2 Name: Clock Mode Register CMR Access Type: Read/Write Offset: 0x04 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - 7 6 5 4 1 0 DIV[11:8] 3 2 DIV[7:0] * DIV[11:0]: Clock Divider The divided clock equals the CLK_SSC divided by two times DIV. The maximum bit rate is CLK_SSC/2. The minimum bit rate is CLK_SSC/(2 x 4095) = CLK_SSC/8190. The clock divider is not active when DIV equals zero. Divided Clock = CLK_SSC ( DIV x 2) 526 32072H-AVR32-10/2012 AT32UC3A3 24.9.3 Name: Receive Clock Mode Register RCMR Access Type: Read/Write Offset: 0x10 Reset value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 1 0 PERIOD 23 22 21 20 STTDLY 15 14 13 12 - - - STOP 7 6 5 4 CKG CKI START 3 CKO 2 CKS * PERIOD: Receive Period Divider Selection This field selects the divider to apply to the selected receive clock in order to generate a periodic Frame Sync Signal. If equal to zero, no signal is generated. If not equal to zero, a signal is generated each 2 x (PERIOD+1) receive clock periods. * STTDLY: Receive Start Delay If STTDLY is not zero, a delay of STTDLY clock cycles is inserted between the start event and the actual start of reception. When the receiver is programmed to start synchronously with the transmitter, the delay is also applied. Note: It is very important that STTDLY be written carefully. If STTDLY must be written, it should be done in relation to Receive Sync Data reception. * STOP: Receive Stop Selection 1: After starting a receive with a Compare 0, the receiver operates in a continuous mode until a Compare 1 is detected. 0: After completion of a data transfer when starting with a Compare 0, the receiver stops the data transfer and waits for a new Compare 0. 527 32072H-AVR32-10/2012 AT32UC3A3 * START: Receive Start Selection START Receive Start 0 Continuous, as soon as the receiver is enabled, and immediately after the end of transfer of the previous data. 1 Transmit start 2 Detection of a low level on RX_FRAME_SYNC signal 3 Detection of a high level on RX_FRAME_SYNC signal 4 Detection of a falling edge on RX_FRAME_SYNC signal 5 Detection of a rising edge on RX_FRAME_SYNC signal 6 Detection of any level change on RX_FRAME_SYNC signal 7 Detection of any edge on RX_FRAME_SYNC signal 8 Compare 0 Others Reserved * CKG: Receive Clock Gating Selection CKG Receive Clock Gating 0 None, continuous clock 1 Receive Clock enabled only if RX_FRAME_SYNC is low 2 Receive Clock enabled only if RX_FRAME_SYNC is high 3 Reserved * CKI: Receive Clock Inversion CKI affects only the receive clock and not the output clock signal. 1: The data inputs (Data and Frame Sync signals) are sampled on receive clock rising edge. The Frame Sync signal output is shifted out on receive clock falling edge. 0: The data inputs (Data and Frame Sync signals) are sampled on receive clock falling edge. The Frame Sync signal output is shifted out on receive clock rising edge. * CKO: Receive Clock Output Mode Selection CKO Receive Clock Output Mode RX_CLOCK pin 0 None 1 Continuous receive clock Output 2 Receive clock only during data transfers Output Others Input-only Reserved * CKS: Receive Clock Selection CKS Selected Receive Clock 0 Divided clock 1 TX_CLOCK clock signal 2 RX_CLOCK pin 3 Reserved 528 32072H-AVR32-10/2012 AT32UC3A3 24.9.4 Name: Receive Frame Mode Register RFMR Access Type: Read/Write Offset: 0x14 Reset value: 0x00000000 31 30 29 28 FSLENHI 23 22 - 21 20 27 26 25 24 - - - FSEDGE 19 18 17 16 9 8 1 0 FSOS FSLEN 15 14 13 12 - - - - 7 6 5 4 MSBF - LOOP 11 10 DATNB 3 2 DATLEN * FSLENHI: Receive Frame Sync Length High Part The four MSB of the FSLEN field. * FSEDGE: Receive Frame Sync Edge Detection Determines which edge on Frame Sync will generate the SR.RXSYN interrupt. FSEDGE Frame Sync Edge Detection 0 Positive edge detection 1 Negative edge detection * FSOS: Receive Frame Sync Output Selection FSOS Selected Receive Frame Sync Signal RX_FRAME_SYNC Pin 0 None 1 Negative Pulse Output 2 Positive Pulse Output 3 Driven Low during data transfer Output 4 Driven High during data transfer Output 5 Toggling at each start of data transfer Output Others Reserved Input-only Undefined * FSLEN: Receive Frame Sync Length This field defines the length of the Receive Frame Sync signal and the number of bits sampled and stored in the RSHR register. When this mode is selected by the RCMR.START field, it also determines the length of the sampled data to be compared to the Compare 0 or Compare 1 register. Note: The four most significant bits for this field are located in the FSLENHI field. The pulse length is equal to ({FSLENHI,FSLEN} + 1) receive clock periods. Thus, if {FSLENHI,FSLEN} is zero, the Receive Frame Sync signal is generated during one receive clock period. 529 32072H-AVR32-10/2012 AT32UC3A3 * DATNB: Data Number per Frame This field defines the number of data words to be received after each transfer start, which is equal to (DATNB + 1). * MSBF: Most Significant Bit First 1: The most significant bit of the data register is sampled first in the bit stream. 0: The lowest significant bit of the data register is sampled first in the bit stream. * LOOP: Loop Mode 1: RX_DATA is driven by TX_DATA, RX_FRAME_SYNC is driven by TX_FRAME_SYNC and TX_CLOCK drives RX_CLOCK. 0: Normal operating mode. * DATLEN: Data Length The bit stream contains (DATLEN + 1) data bits. This field also defines the transfer size performed by the Peripheral DMA Controller assigned to the receiver. DATLEN 0 Transfer Size Forbidden value 1-7 Data transfer are in bytes 8-15 Data transfer are in halfwords Others Data transfer are in words 530 32072H-AVR32-10/2012 AT32UC3A3 24.9.5 Name: Transmit Clock Mode Register TCMR Access Type: Read/Write Offset: 0x18 Reset value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 1 0 PERIOD 23 22 21 20 STTDLY 15 14 13 12 - - - - 7 6 5 4 CKG CKI START 3 CKO 2 CKS * PERIOD: Transmit Period Divider Selection This field selects the divider to apply to the selected transmit clock in order to generate a periodic Frame Sync Signal. If equal to zero, no signal is generated. If not equal to zero, a signal is generated each 2 x (PERIOD+1) transmit clock periods. * STTDLY: Transmit Start Delay If STTDLY is not zero, a delay of STTDLY clock cycles is inserted between the start event and the actual start of transmission. When the transmitter is programmed to start synchronously with the receiver, the delay is also applied. Note: STTDLY must be written carefully, in relation to Transmit Sync Data transmission. * START: Transmit Start Selection START Transmit Start 0 Continuous, as soon as a word is written to the THR Register (if Transmit is enabled), and immediately after the end of transfer of the previous data. 1 Receive start 2 Detection of a low level on TX_FRAME_SYNC signal 3 Detection of a high level on TX_FRAME_SYNC signal 4 Detection of a falling edge on TX_FRAME_SYNC signal 5 Detection of a rising edge on TX_FRAME_SYNC signal 6 Detection of any level change on TX_FRAME_SYNC signal 7 Detection of any edge on TX_FRAME_SYNC signal Others Reserved 531 32072H-AVR32-10/2012 AT32UC3A3 * CKG: Transmit Clock Gating Selection CKG Transmit Clock Gating 0 None, continuous clock 1 Transmit Clock enabled only if TX_FRAME_SYNC is low 2 Transmit Clock enabled only if TX_FRAME_SYNC is high 3 Reserved * CKI: Transmit Clock Inversion CKI affects only the Transmit Clock and not the output clock signal. 1: The data outputs (Data and Frame Sync signals) are shifted out on transmit clock rising edge. The Frame sync signal input is sampled on transmit clock falling edge. 0: The data outputs (Data and Frame Sync signals) are shifted out on transmit clock falling edge. The Frame sync signal input is sampled on transmit clock rising edge. * CKO: Transmit Clock Output Mode Selection CKO Transmit Clock Output Mode TX_CLOCK pin 0 None 1 Continuous transmit clock Output 2 Transmit clock only during data transfers Output Others Input-only Reserved * CKS: Transmit Clock Selection CKS Selected Transmit Clock 0 Divided Clock 1 RX_CLOCK clock signal 2 TX_CLOCK Pin 3 Reserved 532 32072H-AVR32-10/2012 AT32UC3A3 24.9.6 Name: Transmit Frame Mode Register TFMR Access Type: Read/Write Offset: 0x1C Reset value: 0x00000000 31 30 29 28 FSLENHI 23 22 21 FSDEN 20 27 26 25 24 - - - FSEDGE 19 18 17 16 9 8 1 0 FSOS FSLEN 15 14 13 12 - - - - 7 6 5 4 MSBF - DATDEF 11 10 DATNB 3 2 DATLEN * FSLENHI: Transmit Frame Sync Length High Part The four MSB of the FSLEN field. * FSEDGE: Transmit Frame Sync Edge Detection Determines which edge on Frame Sync will generate the SR.TXSYN interrupt. FSEDGE Frame Sync Edge Detection 0 Positive Edge Detection 1 Negative Edge Detection * FSDEN: Transmit Frame Sync Data Enable 1: TSHR value is shifted out during the transmission of the Transmit Frame Sync signal. 0: The TX_DATA line is driven with the default value during the Transmit Frame Sync signal. * FSOS: Transmit Frame Sync Output Selection FSOS Selected Transmit Frame Sync Signal TX_FRAME_SYNC Pin 0 None 1 Negative Pulse Output 2 Positive Pulse Output 3 Driven Low during data transfer Output 4 Driven High during data transfer Output 5 Toggling at each start of data transfer Output Others Reserved Input-only Undefined * FSLEN: Transmit Frame Sync Length This field defines the length of the Transmit Frame Sync signal and the number of bits shifted out from the TSHR register if TFMR.FSDEN is equal to one. Note: The four most significant bits for this field are located in the FSLENHI field. 533 32072H-AVR32-10/2012 AT32UC3A3 * * * * The pulse length is equal to ({FSLENHI,FSLEN} + 1) transmit clock periods, i.e., the pulse length can range from 1 to 256 transmit clock periods. If {FSLENHI,FSLEN} is zero, the Transmit Frame Sync signal is generated during one transmit clock period. DATNB: Data Number per Frame This field defines the number of data words to be transferred after each transfer start, which is equal to (DATNB + 1). MSBF: Most Significant Bit First 1: The most significant bit of the data register is shifted out first in the bit stream. 0: The lowest significant bit of the data register is shifted out first in the bit stream. DATDEF: Data Default Value This bit defines the level driven on the TX_DATA pin while out of transmission. Note that if the pin is defined as multi-drive by the I/O Controller, the pin is enabled only if the TX_DATA output is one. 1: The level driven on the TX_DATA pin while out of transmission is one. 0: The level driven on the TX_DATA pin while out of transmission is zero. DATLEN: Data Length The bit stream contains (DATLEN + 1) data bits. This field also defines the transfer size performed by the Peripheral DMA Controller assigned to the transmitter. DATLEN 0 Transfer Size Forbidden value (1-bit data length is not supported) 1-7 Data transfer are in bytes 8-15 Data transfer are in halfwords Others Data transfer are in words 534 32072H-AVR32-10/2012 AT32UC3A3 24.9.7 Name: Receive Holding Register RHR Access Type: Read-only Offset: 0x20 Reset value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RDAT[31:24] 23 22 21 20 RDAT[23:16] 15 14 13 12 RDAT[15:8] 7 6 5 4 RDAT[7:0] * RDAT: Receive Data Right aligned regardless of the number of data bits defined by the RFMR.DATLEN field. 535 32072H-AVR32-10/2012 AT32UC3A3 24.9.8 Name: Transmit Holding Register THR Access Type: Write-only Offset: 0x24 Reset value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 TDAT[31:24] 23 22 21 20 TDAT[23:16] 15 14 13 12 TDAT[15:8] 7 6 5 4 TDAT[7:0] * TDAT: Transmit Data Right aligned regardless of the number of data bits defined by the TFMR.DATLEN field. 536 32072H-AVR32-10/2012 AT32UC3A3 24.9.9 Name: Receive Synchronization Holding Register RSHR Access Type: Read-only Offset: 0x30 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 RSDAT[15:8] 7 6 5 4 RSDAT[7:0] * RSDAT: Receive Synchronization Data 537 32072H-AVR32-10/2012 AT32UC3A3 24.9.10 Name: Transmit Synchronization Holding Register TSHR Access Type: Read/Write Offset: 0x34 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 TSDAT[15:8] 7 6 5 4 TSDAT[7:0] * TSDAT: Transmit Synchronization Data 538 32072H-AVR32-10/2012 AT32UC3A3 24.9.11 Name: Receive Compare 0 Register RC0R Access Type: Read/Write Offset: 0x38 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 CP0[15:8] 7 6 5 4 CP0[7:0] * CP0: Receive Compare Data 0 539 32072H-AVR32-10/2012 AT32UC3A3 24.9.12 Name: Receive Compare 1 Register RC1R Access Type: Read/Write Offset: 0x3C Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 CP1[[15:8] 7 6 5 4 CP1[7:0] * CP1: Receive Compare Data 1 540 32072H-AVR32-10/2012 AT32UC3A3 24.9.13 Name: Status Register SR Access Type: Read-only Offset: 0x40 Reset value: 0x000000CC 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - RXEN TXEN 15 14 13 12 11 10 9 8 - - - - RXSYN TXSYN CP1 CP0 7 6 5 4 3 2 1 0 - - OVRUN RXRDY - - TXEMPTY TXRDY * RXEN: Receive Enable This bit is set when the CR.RXEN bit is written to one. This bit is cleared when no data are being processed and the CR.RXDIS bit has been written to one. * TXEN: Transmit Enable This bit is set when the CR.TXEN bit is written to one. This bit is cleared when no data are being processed and the CR.TXDIS bit has been written to one. * RXSYN: Receive Sync This bit is set when a Receive Sync has occurred. This bit is cleared when the SR register is read. * TXSYN: Transmit Sync This bit is set when a Transmit Sync has occurred. This bit is cleared when the SR register is read. * CP1: Compare 1 This bit is set when compare 1 has occurred. This bit is cleared when the SR register is read. * CP0: Compare 0 This bit is set when compare 0 has occurred. This bit is cleared when the SR register is read. * OVRUN: Receive Overrun This bit is set when data has been loaded in the RHR register while previous data has not yet been read. This bit is cleared when the SR register is read. * RXRDY: Receive Ready This bit is set when data has been received and loaded in the RHR register. This bit is cleared when the RHR register is empty. * TXEMPTY: Transmit Empty This bit is set when the last data written in the THR register has been loaded in the TSR register and last data loaded in the TSR register has been transmitted. This bit is cleared when data remains in the THR register or is currently transmitted from the TSR register. 541 32072H-AVR32-10/2012 AT32UC3A3 * TXRDY: Transmit Ready This bit is set when the THR register is empty. This bit is cleared when data has been loaded in the THR register and is waiting to be loaded in the TSR register. 542 32072H-AVR32-10/2012 AT32UC3A3 24.9.14 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x44 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - RXSYN TXSYN CP1 CP0 7 6 5 4 3 2 1 0 - - OVRUN RXRDY - - TXEMPTY TXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. 543 32072H-AVR32-10/2012 AT32UC3A3 24.9.15 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x48 Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - RXSYN TXSYN CP1 CP0 7 6 5 4 3 2 1 0 - - OVRUN RXRDY - - TXEMPTY TXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. 544 32072H-AVR32-10/2012 AT32UC3A3 24.9.16 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x4C Reset value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - RXSYN TXSYN CP1 CP0 7 6 5 4 3 2 1 0 - - OVRUN RXRDY - - TXEMPTY TXRDY 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is set when the corresponding bit in IER is written to one. 545 32072H-AVR32-10/2012 AT32UC3A3 25. Universal Synchronous Asynchronous Receiver Transmitter (USART) Rev: 4.2.0.6 25.1 Features * Configurable baud rate generator * 5- to 9-bit full-duplex, synchronous and asynchronous, serial communication * * * * * * * 25.2 - 1, 1.5, or 2 stop bits in asynchronous mode, and 1 or 2 in synchronous mode - Parity generation and error detection - Framing- and overrun error detection - MSB- or LSB-first - Optional break generation and detection - Receiver frequency oversampling by 8 or 16 times - Optional RTS-CTS hardware handshaking - Optional DTR-DSR-DCD-RI modem signal management - Receiver Time-out and transmitter Timeguard - Optional Multidrop mode with address generation and detection RS485 with line driver control ISO7816, T=0 and T=1 protocols for Interfacing with smart cards - , NACK handling, and customizable error counter IrDA modulation and demodulation - Communication at up to 115.2Kbit/s SPI Mode - Master or slave - Configurable serial clock phase and polarity - CLK SPI serial clock frequency up to a quarter of the CLK_USART internal clock frequency LIN Mode - Compliant with LIN 1.3 and LIN 2.0 specifications - Master or slave - Processing of Frames with up to 256 data bytes - Configurable response data length, optionally defined automatically by the Identifier - Self synchronization in slave node configuration - Automatic processing and verification of the "Break Field" and "Sync Field" - The "Break Field" is detected even if it is partially superimposed with a data byte - Optional, automatic identifier parity management - Optional, automatic checksum management - Supports both "Classic" and "Enhanced" checksum types - Full LIN error checking and reporting - Frame Slot Mode: the master allocates slots to scheduled frames automatically. - Wakeup signal generation Test Modes - Automatic echo, remote- and local loopback Supports two Peripheral DMA Controller channels - Buffer transfers without processor intervention Overview The Universal Synchronous Asynchronous Receiver Transmitter (USART) provides a full duplex, universal, synchronous/asynchronous serial link. Data frame format is widely configurable, including basic length, parity, and stop bit settings, maximizing standards support. The receiver implements parity-, framing-, and overrun error detection, and can handle un-fixed 546 32072H-AVR32-10/2012 AT32UC3A3 frame lengths with the time-out feature. The USART supports several operating modes, providing an interface to RS485, LIN, and SPI buses, with ISO7816 T=0 and T=1 smart card slots, infrared transceivers, and modem port connections. Communication with slow and remote devices is eased by the timeguard. Duplex multidrop communication is supported by address and data differentiation through the parity bit. The hardware handshaking feature enables an out-of-band flow control, automatically managing RTS and CTS pins. The Peripheral DMA Controller connection enables memory transactions, and the USART supports chained buffer management without processor intervention. Automatic echo, remote-, and local loopback test modes are also supported. 25.3 Block Diagram Figure 25-1. USART Block Diagram Peripheral DMA Controller Channel Channel USART I/O Controller RXD Receiver RTS Interrupt Controller USART Interrupt TXD Transmitter CTS DTR CLK_USART Power Manager DIV Modem Signals Control CLK_USART/DIV DSR DCD RI BaudRate Generator CLK User Interface Peripheral bus 547 32072H-AVR32-10/2012 AT32UC3A3 Table 25-1. 25.4 SPI Operating Mode PIN USART SPI Slave SPI Master RXD RXD MOSI MISO TXD TXD MISO MOSI RTS RTS - CS CTS CTS CS - I/O Lines Description Table 25-2. I/O Lines Description Name Description Type CLK Serial Clock I/O TXD Transmit Serial Data or Master Out Slave In (MOSI) in SPI master mode or Master In Slave Out (MISO) in SPI slave mode Output RXD Receive Serial Data or Master In Slave Out (MISO) in SPI master mode or Master Out Slave In (MOSI) in SPI slave mode Input RI Ring Indicator Input Low DSR Data Set Ready Input Low DCD Data Carrier Detect Input Low DTR Data Terminal Ready Output Low CTS Clear to Send or Slave Select (NSS) in SPI slave mode Input Low RTS Request to Send or Slave Select (NSS) in SPI master mode Output Low 25.5 Active Level Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 25.5.1 I/O Lines The USART pins may be multiplexed with the I/O Controller lines. The user must first configure the I/O Controller to assign these pins to their peripheral functions. Unused I/O lines may be used for other purposes. To prevent the TXD line from falling when the USART is disabled, the use of an internal pull-up is required. If the hardware handshaking feature or modem mode is used, the internal pull-up on RTS must also be enabled. All the pins of the modems may or may not be implemented on the USART. On USARTs not equipped with the corresponding pins, the associated control bits and statuses have no effect on the behavior of the USART. 548 32072H-AVR32-10/2012 AT32UC3A3 25.5.2 Clocks The clock for the USART bus interface (CLK_USART) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the USART before disabling the clock, to avoid freezing the USART in an undefined state. 25.5.3 Interrupts The USART interrupt request line is connected to the interrupt controller. Using the USART interrupt requires the interrupt controller to be programmed first. 549 32072H-AVR32-10/2012 AT32UC3A3 25.6 25.6.1 Functional Description USART Operating Modes The USART can operate in several modes: * Normal * RS485, described in Section 25.6.5 "RS485 Mode" on page 560 * Hardware handshaking, described in Section 25.6.6 "Hardware Handshaking" on page 561 * Modem, described in Section 25.6.7 "Modem Mode" on page 562 * ISO7816, described in Section 25.6.8 "ISO7816 Mode" on page 563 * IrDA, described in Section 25.6.9 "IrDA Mode" on page 566 * LIN Master, described in Section 25.6.10 "LIN Mode" on page 568 * LIN Slave, described in Section 25.6.10 "LIN Mode" on page 568 * SPI Master, described in Section 25.6.15 "SPI Mode" on page 580 * SPI Slave, described in Section 25.6.15 "SPI Mode" on page 580 The operating mode is selected by writing to the Mode field in the "Mode Register" (MR.MODE). Table 25-3. MR.MODE MR.MODE Mode of the USART 0x0 Normal 0x1 RS485 0x2 Hardware Handshaking 0x3 Modem 0x4 IS07816 Protocol: T = 0 0x6 IS07816 Protocol: T = 1 0x8 IrDA 0xA LIN Master 0xB LIN Slave 0xE SPI Master 0xF SPI Slave Others Reserved In addition, Synchronous or Asynchronous mode is selected by writing to the Synchronous Mode Select bit in MR (MR.SYNC). By default, MR.MODE and MR.SYNC are both zero, and the USART operates in Normal Asynchronous mode. 25.6.2 Basic Operation To start using the USART, the user must perform the following steps: 1. Configure the baud rate by writing to the Baud Rate Generator Register (BRGR) as described in "Baud Rate Generator" on page 558 2. Select the operating mode by writing to the relevant fields in the Mode Regiser (MR) 3. Enable the transmitter and/or receiver, by writing a one to CR.TXEN and/or CR.RXEN respectively 550 32072H-AVR32-10/2012 AT32UC3A3 4. Check that CSR.TXRDY and/or CSR.RXRDY is one before writing to THR and/or reading from RHR respectively 25.6.2.1 Receiver and Transmitter Control After a reset, the transceiver is disabled. The receiver/transmitter is enabled by writing a one to the Receiver Enable/Transmitter Enable bit in the Control Register (CR.RXEN/CR.TXEN) respectively. They may be enabled together and can be configured both before and after they have been enabled. The user can reset the USART receiver/transmitter at any time by writing a one to the Reset Receiver/Reset Transmitter bit (CR.RSTRX/CR.RSTTX) respectively. This software reset clears status bits and resets internal state machines, immediately halting any communication. The user interface configuration registers will retain their values. The user can disable the receiver/transmitter by writing a one to either the Receiver Disable, or Transmitter Disable bit (CR.RXDIS, or CR.TXDIS). If the receiver is disabled during a character reception, the USART will wait for the current character to be received before disabling. If the transmitter is disabled during transmission, the USART will wait until both the current character and the character stored in the Transmitter Holding Register (THR) are transmitted before disabling. If a timeguard has been implemented it will remain functional during the transmission. 25.6.2.2 Transmitter Operations The transmitter operates equally in both Synchronous and Asynchronous operating modes (MR.SYNC). One start bit, up to 9 data bits, an optional parity bit, and up to two stop bits are successively shifted out on the TXD pin at each falling edge of the serial clock. The number of data bits is selected by the Character Length field (MR.CHRL) and the 9-bit Character Length bit in the Mode Register (MR.MODE9). Nine bits are selected by writing a one to MR.MODE9, overriding any value in MR.CHRL. The parity bit configuration is selected in the MR.PAR field. The Most Significant Bit First bit (MR.MSBF) selects which data bit to send first. The number of stop bits is selected by the MR.NBSTOP field. The 1.5 stop bit configuration is only supported in asynchronous mode. Figure 25-2. Character Transmit Example: 8-bit, Parity Enabled One Stop Baud Rate Clock TXD Start Bit D0 D1 D2 D3 D4 D5 D6 D7 Parity Bit Stop Bit The characters are sent by writing to the Character to be Transmitted field (THR.TXCHR). The transmitter status can be read from the Transmitter Ready and Transmitter Empty bits in the Channel Status Register (CSR.TXRDY/CSR.TXEMPTY). CSR.TXRDY is set when THR is empty. CSR.TXEMPTY is set when both THR and the transmit shift register are empty (transmission complete). An interrupt request is generated if the corresponding bit in the Interrupt Mask Register (IMR) is set (IMR.TXRDY/IMR.TXEMPTY). Both CSR.TXRDY and CSR.TXEMPTY are cleared when the transmitter is disabled. CSR.TXRDY and CSR.TXEMPY can also be cleared by writing a one to the Start Break bit in CR (CR.STTBRK). Writing a character to THR while CSR.TXRDY is zero has no effect and the written character will be lost. 551 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-3. Transmitter Status Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Start D0 Bit Bit Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Write THR TXRDY TXEMPTY 25.6.2.3 Asynchronous Receiver If the USART is configured in an asynchronous operating mode (MR.SYNC is zero), the receiver will oversample the RXD input line by either 8 or 16 times the Baud Rate Clock, as selected by the Oversampling Mode bit (MR.OVER). If the line is zero for half a bit period (four or eight consecutive samples, respectively), a start bit will be assumed, and the following 8th or 16th sample will determine the logical value on the line, resulting in bit values being determined at the middle of the bit period. The number of data bits, endianess, parity mode, and stop bits are selected by the same bits and fields as for the transmitter (MR.CHRL, MR.MODE9, MR.MSBF, MR.PAR, and MR.NBSTOP). The synchronization mechanism will only consider one stop bit, regardless of the used protocol, and when the first stop bit has been sampled, the receiver will automatically begin looking for a new start bit, enabling resynchronization even if there is a protocol mismatch. Figure 25-4 and Figure 25-5 illustrate start bit detection and character reception in asynchronous mode. Figure 25-4. Asynchronous Start Bit Detection Baud Rate Clock Sampling Clock (x16) RXD Sampling 1 2 3 4 5 6 7 8 1 2 3 4 2 3 4 Start Detection 5 6 7 8 9 10 11 12 13 14 15 16 D0 Sampling RXD Sampling 1 2 3 4 5 6 7 0 1 Start Rejection 552 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-5. Asynchronous Mode Character Reception Example: 8-bit, Parity Enabled Baud Rate Clock RXD Start Detection 16 16 16 16 16 16 16 16 16 16 samples samples samples samples samples samples samples samples samples samples D0 25.6.2.4 D1 D2 D3 D4 D5 D6 D7 Parity Bit Stop Bit Synchronous Receiver In synchronous mode (MR.SYNC is one), the receiver samples the RXD signal on each rising edge of the Baud Rate Clock, as illustrated in Figure 25-6. If a low level is detected, it is considered as a start bit. Configuration bits and fields are the same as in asynchronous mode. Figure 25-6. Synchronous Mode Character Reception Example: 8-bit, Parity Enabled 1 Stop Baud Rate Clock RXD Sampling Start D0 D1 D2 D3 D4 D5 D6 Stop Bit D7 Parity Bit Figure 25-7. Receiver Status Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Start D0 Bit Bit Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit RSTSTA = 1 Write CR Read RHR RXRDY OVRE 25.6.2.5 Receiver Operations When a character reception is completed, it is transferred to the Received Character field in the Receive Holding Register (RHR.RXCHR), and the Receiver Ready bit in the Channel Status Register (CSR.RXRDY) is set. An interrupt request is generated if the Receiver Ready bit in the 553 32072H-AVR32-10/2012 AT32UC3A3 Interrupt Mask Register (IMR.RXRDY) is set. If CSR.RXRDY is already set, RHR will be overwritten and the Overrun Error bit (CSR.OVRE) is set. An interrupt request is generated if the Overrun Error bit in IMR is set. Reading RHR will clear CSR.RXRDY, and writing a one to the Reset Status bit in the Control Register (CR.RSTSTA) will clear CSR.OVRE. Refer to Figure 257. 25.6.3 25.6.3.1 Other Considerations Parity The USART supports five parity modes, selected by MR.PAR: * Even parity * Odd parity * Parity forced to zero (space) * Parity forced to one (mark) * No parity The PAR field also enables the Multidrop mode, see "Multidrop Mode" on page 555. If even parity is selected (MR.PAR is 0x0), the parity bit will be zero if there is an even number of ones in the data character, and one if there is an odd number. For odd parity the reverse applies. If space or mark parity is chosen (MR.PAR is 0x2 or 0x3, respectively), the parity bit will always be a zero or one, respectively. See Table 25-4. Table 25-4. Parity Bit Examples Parity Mode Alphanum Character Hex Bin Odd Even Mark Space None A 0x41 0100 0001 1 0 1 0 - V 0x56 0101 0110 1 0 1 0 - R 0x52 0101 0010 0 1 1 0 - The receiver will report parity errors in CSR.PARE, unless parity is disabled. An interrupt request is generated if the PARE bit in the Interrupt Mask Register is set (IMR.PARE). Writing a one to CR.RSTSTA will clear CSR.PARE. See Figure 25-8. Figure 25-8. Parity Error Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Bad Stop Parity Bit Bit RSTSTA = 1 Write CR PARE RXRDY 554 32072H-AVR32-10/2012 AT32UC3A3 25.6.3.2 Multidrop Mode If MR.PAR is either 0x6 or 0x7, the USART runs in Multidrop mode. This mode differentiates data and address characters. Data has the parity bit zero and addresses have a one. By writing a one to the Send Address bit (CR.SENDA) the user will cause the next character written to THR to be transmitted as an address. Receiving a character with a one as parity bit will report parity error by setting CSR.PARE. An interrupt request is generated if the PARE bit in the Interrupt Mask Register is set (IMR.PARE). 25.6.3.3 Transmitter Timeguard The timeguard feature enables the USART to interface slow devices by inserting an idle state on the TXD line in between two characters. This idle state corresponds to a long stop bit, whose duration is selected by the Timeguard Value field in the Transmitter Timeguard Register (TTGR.TG). The transmitter will hold the TXD line high for TTGR.TG bit periods, in addition to the number of stop bits. As illustrated in Figure 25-9, the behavior of TXRDY and TXEMPTY is modified when TG has a non-zero value. If a pending character has been written to THR, the CSR.TXRDY bit will not be set until this characters start bit has been sent. CSR.TXEMPTY will remain low until the timeguard transmission has completed. Figure 25-9. Timeguard Operation TG = 4 TG = 4 Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Write THR TXRDY TXEMPTY Table 25-5. Maximum Baud Rate Dependent Timeguard Durations Baud Rate (bit/sec) Bit time (s) Timeguard (ms) 1 200 833 212.50 9 600 104 26.56 14400 69.4 17.71 19200 52.1 13.28 28800 34.7 8.85 33400 29.9 7.63 56000 17.9 4.55 57600 17.4 4.43 115200 8.7 2.21 555 32072H-AVR32-10/2012 AT32UC3A3 25.6.3.4 Receiver Time-out The Time-out Value field in the Receiver Time-out Register (RTOR.TO) enables handling of variable-length frames by detection of selectable idle durations on the RXD line. The value written to TO is loaded to a decremental counter, and unless it is zero, a time-out will occur when the amount of inactive bit periods matches the initial counter value. If a time-out has not occurred, the counter will reload and restart every time a new character arrives. A time-out sets the Receiver Time-out bit in CSR (CSR.TIMEOUT). An interrupt request is generated if the Receiver Time-out bit in the Interrupt Mask Register (IMR.TIMEOUT) is set. Clearing TIMEOUT can be done in two ways: * Writing a one to the Start Time-out bit (CR.STTTO). This also aborts count down until the next character has been received. * Writing a one to the Reload and Start Time-out bit (CR.RETTO). This also reloads the counter and restarts count down immediately. Figure 25-10. Receiver Time-out Block Diagram TO Baud Rate Clock 1 D Q Clock 16-bit Time-out Counter 16-bit Value = STTTO Character Received Load Clear TIMEOUT 0 RETTO Table 25-6. Maximum Time-out Period Baud Rate (bit/sec) Bit Time (s) Time-out (ms) 600 1 667 109 225 1 200 833 54 613 2 400 417 27 306 4 800 208 13 653 9 600 104 6 827 14400 69 4 551 19200 52 3 413 28800 35 2 276 33400 30 1 962 56000 18 1 170 57600 17 1 138 200000 5 328 556 32072H-AVR32-10/2012 AT32UC3A3 25.6.3.5 Framing Error The receiver is capable of detecting framing errors. A framing error has occurred if a stop bit reads as zero. This can occur if the transmitter and receiver are not synchronized. A framing error is reported by CSR.FRAME as soon as the error is detected, at the middle of the stop bit. An interrupt request is generated if the Framing Error bit in the Interrupt Mask Register (IMR.FRAME) is set. CSR.FRAME is cleared by writing a one to CR.RSTSTA. Figure 25-11. Framing Error Status Baud Rate Clock RXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit RSTSTA = 1 Write CR FRAME RXRDY 25.6.3.6 Transmit Break When CSR.TXRDY is set, the user can request the transmitter to generate a break condition on the TXD line by writing a one to the Start Break bit (CR.STTBRK). The break is treated as a normal 0x00 character transmission, clearing CSR.TXRDY and CSR.TXEMPTY, but with zeroes for preambles, start, parity, stop, and time guard bits. Writing a one to the Stop Break bit (CR.STTBRK) will stop the generation of new break characters, and send ones for TG duration or at least 12 bit periods, ensuring that the receiver detects end of break, before resuming normal operation. Figure 25-12 illustrates CR.STTBRK and CR.STPBRK effect on the TXD line. Writing to CR.STTBRK and CR.STPBRK simultaneously can lead to unpredictable results. Writes to THR before a pending break has started will be ignored. Figure 25-12. Break Transmission Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 STTBRK = 1 D6 D7 Parity Stop Bit Bit Break Transmission End of Break STPBRK = 1 Write CR TXRDY TXEMPTY 557 32072H-AVR32-10/2012 AT32UC3A3 25.6.3.7 25.6.4 Receive Break A break condition is assumed when incoming data, parity, and stop bits are zero. This corresponds to a framing error, but CSR.FRAME will remain zero while the Break Received/End of Break bit (CSR.RXBRK) is set. An interrupt request is generated if the Breadk Received/End of Break bit in the Interrupt Mask Register is set (IMR.RXBRK). Writing a one to CR.RSTSTA will clear CSR.RXBRK. An end of break will also set CSR.RXBRK, and is assumed when TX is high for at least 2/16 of a bit period in asynchronous mode, or when a high level is sampled in synchronous mode. Baud Rate Generator The baud rate generator provides the bit period clock named the Baud Rate Clock to both receiver and transmitter. It is based on a 16-bit divider, which is specified in the Clock Divider field in the Baud Rate Generator Register (BRGR.CD). A non-zero value enables the generator, and if BRGR.CD is one, the divider is bypassed and inactive. The Clock Selection field in the Mode Register (MR.USCLKS) selects clock source between: * CLK_USART (internal clock, refer to Power Manager chapter for details) * CLK_USART/DIV (a divided CLK_USART, refer to Module Configuration section) * CLK (external clock, available on the CLK pin) If the external clock CLK is selected, the duration of the low and high levels of the signal provided on the CLK pin must be at least 4.5 times longer than those provided by CLK_USART. Figure 25-13. Baud Rate Generator USCLKS CLK_USART CLK_USART/DIV CLK Reserved CD CD 0 1 2 CLK 16-bit Counter FIDI >1 3 1 0 SYNC OVER 0 Sampling Divider 0 0 BaudRate Clock 1 1 SYNC USCLKS= 3 25.6.4.1 Sampling Clock Baud Rate in Asynchronous Mode If the USART is configured to operate in asynchronous mode (MR.SYNC is zero), the selected clock is divided by the BRGR.CD value before it is provided to the receiver as a sampling clock. Depending on the Oversampling Mode bit (MR.OVER) value, the clock is then divided by either 8 (MR.OVER=1), or 16 (MR.OVER=0). The baud rate is calculated with the following formula: SelectedClock BaudRate = ----------------------------------------------( 8 ( 2 - OVER )CD ) 558 32072H-AVR32-10/2012 AT32UC3A3 This gives a maximum baud rate of CLK_USART divided by 8, assuming that CLK_USART is the fastest clock available, and that MR.OVER is one. 25.6.4.2 Table 25-7. Baud Rate Calculation Example Table 25-7 shows calculations based on the CD field to obtain 38400 baud from different source clock frequencies. This table also shows the actual resulting baud rate and error. Baud Rate Example (OVER=0) Source Clock (Hz) Expected Baud Rate (bit/s) Calculation Result CD Actual Baud Rate (bit/s) Error 3 686 400 38 400 6.00 6 38 400.00 0.00% 4 915 200 38 400 8.00 8 38 400.00 0.00% 5 000 000 38 400 8.14 8 39 062.50 1.70% 7 372 800 38 400 12.00 12 38 400.00 0.00% 8 000 000 38 400 13.02 13 38 461.54 0.16% 12 000 000 38 400 19.53 20 37 500.00 2.40% 12 288 000 38 400 20.00 20 38 400.00 0.00% 14 318 180 38 400 23.30 23 38 908.10 1.31% 14 745 600 38 400 24.00 24 38 400.00 0.00% 18 432 000 38 400 30.00 30 38 400.00 0.00% 24 000 000 38 400 39.06 39 38 461.54 0.16% 24 576 000 38 400 40.00 40 38 400.00 0.00% 25 000 000 38 400 40.69 40 38 109.76 0.76% 32 000 000 38 400 52.08 52 38 461.54 0.16% 32 768 000 38 400 53.33 53 38 641.51 0.63% 33 000 000 38 400 53.71 54 38 194.44 0.54% 40 000 000 38 400 65.10 65 38 461.54 0.16% 50 000 000 38 400 81.38 81 38 580.25 0.47% 60 000 000 38 400 97.66 98 38 265.31 0.35% The baud rate is calculated with the following formula (MR.OVER=0): CLK_USART BaudRate = ----------------------------------CD 16 The baud rate error is calculated with the following formula. It is not recommended to work with an error higher than 5%. ExpectedBaudRate Error = 1 - --------------------------------------------------- ActualBaudRate 25.6.4.3 Fractional Baud Rate in Asynchronous Mode The baud rate generator has a limitation: the source frequency is always a multiple of the baud rate. An approach to this problem is to integrate a high resolution fractional N clock generator, outputting fractional multiples of the reference source clock. This fractional part is selected with 559 32072H-AVR32-10/2012 AT32UC3A3 the Fractional Part field in BRGR (BRGR.FP), and is activated by giving it a non-zero value. The resolution is one eighth of CD. The resulting baud rate is calculated using the following formula: SelectedClock BaudRate = ------------------------------------------------------------------ 8 ( 2 - OVER ) CD + FP ------- 8 The modified architecture is shown in Figure 25-14. Figure 25-14. Fractional Baud Rate Generator FP USCLKS CLK_USART CLK_USART/DIV CLK Reserved 0 1 2 3 CD Modulus Control FP CD 16-bit Counter glitch-free logic >1 1 0 CLK 0 OVER Sampling Divider 0 SYNC 0 BaudRate Clock 1 1 SYNC USCLKS = 3 25.6.4.4 Sampling Clock Baud Rate in Synchronous and SPI Mode If the USART is configured to operate in synchronous mode (MR.SYNC is one), the selected clock is divided by BRGR.CD. This does not apply when the external clock CLK is selected. BaudRate = SelectedClock -------------------------------------CD When CLK is selected, the frequency of the external clock must be at least 4.5 times lower than the system clock, and when either CLK or CLK_USART/DIV are selected, BRGR.CD must be even to ensure a 50/50 duty cycle. If CLK_USART is selected, the generator ensures this regardless of value. 25.6.5 RS485 Mode The USART features an RS485 mode, supporting line driver control. This supplements normal synchronous and asynchronous mode by driving the RTS pin high when the transmitter is operating. The RTS pin level is the inverse of the CSR.TXEMPTY value. The RS485 mode is enabled by writing 0x1 to MR.MODE. A typical connection to a RS485 bus is shown in Figure 25-15. 560 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-15. Typical Connection to a RS485 Bus USART RXD Differential Bus TXD RTS If a timeguard has been configured the RTS pin will remain high for the duration specified in TG, as shown in Figure 25-16. Figure 25-16. Example of RTS Drive with Timeguard Enabled TG = 4 Baud Rate Clock TXD Start D0 Bit D1 D2 D3 D4 D5 D6 D7 Parity Stop Bit Bit Write THR TXRDY TXEMPTY RTS 25.6.6 Hardware Handshaking The USART features an out-of-band hardware handshaking flow control mechanism, implementable by connecting the RTS and CTS pins with the remote device, as shown in Figure 2517. Figure 25-17. Connection with a Remote Device for Hardware Handshaking USART Remote Device TXD RXD RXD TXD CTS RTS RTS CTS 561 32072H-AVR32-10/2012 AT32UC3A3 Writing 0x2 to the MR.MODE field configures the USART to operate in hardware handshaking mode. The receiver will drive its RTS pin high when disabled or when the Reception Buffer Full bit (CSR.RXBUFF) is set by the Buffer Full signal from the Peripheral DMA controller. If the receiver RTS pin is high, the transmitter CTS pin will also be high and only the active character transmissions will be completed. Allocating a new buffer to the DMA controller by clearing RXBUFF, will drive the RTS pin low, allowing the transmitter to resume transmission. Detected level changes on the CTS pin are reported by the CTS Input Change bit in the Channel Status Register (CSR.CTSIC). An interrupt request is generated if the Input Change bit in the Interrupt Mask Register is set. CSR.CTSIC is cleared when reading CSR. Figure 25-18 illustrates receiver functionality, and Figure 25-19 illustrates transmitter functionality. Figure 25-18. Receiver Behavior when Operating with Hardware Handshaking RXD RXEN = 1 RXDIS = 1 Write CR RTS RXBUFF Figure 25-19. Transmitter Behavior when Operating with Hardware Handshaking CTS TXD 25.6.7 Modem Mode The USART features a modem mode, supporting asynchronous communication with the following signal pins: Data Terminal Ready (DTR), Data Set Ready (DSR), Request to Send (RTS), Clear to Send (CTS), Data Carrier Detect (DCD), and Ring Indicator (RI). Modem mode is enabled by writing 0x3 to MR.MODE. The USART will behave as a Data Terminal Equipment (DTE), controlling DTR and RTS, while detecting level changes on DSR, DCD, CTS, and RI. Table 25-8 shows USART signal pins with the corresponding standardized modem connections. Table 25-8. Circuit References USART Pin V.24 CCITT Direction TXD 2 103 From terminal to modem RTS 4 105 From terminal to modem DTR 20 108.2 From terminal to modem RXD 3 104 From modem to terminal CTS 5 106 From terminal to modem 562 32072H-AVR32-10/2012 AT32UC3A3 Table 25-8. Circuit References USART Pin V.24 CCITT Direction DSR 6 107 From terminal to modem DCD 8 109 From terminal to modem RI 22 125 From terminal to modem The DTR pin is controlled by the DTR enable and disable bits in CR (CR.DTREN and CR.DTRDIS). Writing a one to CR.DTRDIS drives DTR high, and writing a one to CR.DTREN drives DTR low. The RTS pin is controlled automatically. Detected level changes are reported by the respective Input Change bits in CSR (CSR.RIIC, CSR.DSRIC, CSR.DCDIC, and CSR.CTSIC). An interrupt request is generated if the corresponding bit in the Interrupt Mask Register is set. The Input Change bits in CSR are automatically cleared when CSR is read. When the CTS pin goes high, the USART will wait for the transmitter to complete any ongoing character transmission before automatically disabling it. 25.6.8 ISO7816 Mode The USART features an ISO7816 compatible mode, enabling interfacing with smart cards and Security Access Modules (SAM) through an ISO7816 compliant link. T=0 and T=1 protocols, as defined in the ISO7816 standard, are supported. The ISO7816 mode is selected by writing the value 0x4 (T=0 protocol) or 0x6 (T=1 protocol) to MR.MODE. 25.6.8.1 ISO7816 Mode Overview ISO7816 specifies half duplex communication on one bidirectional line. The baud rate is a fraction of the clock provided by the master on the CLK pin (see "Baud Rate Generator" on page 558). The USART connects to a smart card as shown in Figure 25-20. The TXD pin is bidirectional and is routed to the receiver when the transmitter is disabled. Having both receiver and transmitter enabled simultaneously may lead to unpredictable results. Figure 25-20. USART (Master) Connected to a Smart Card USART CLK TXD CLK I/O Smart Card In both T=0 and T=1 modes, the character format is fixed to eight data bits, and one or two stop bits, regardless of CHRL, MODE9, and CHMODE values. Parity according to specification is even. If the inverse transmission format is used, where payload data bits are transmitted inverted on the I/O line, the user can use odd parity and perform an XOR on data headed to THR and coming from RHR. 25.6.8.2 Baud Rate in ISO 7816 Mode The ISO7816 specification defines the bit rate with the following formula: Di B = ------ x f Fi where: 563 32072H-AVR32-10/2012 AT32UC3A3 * B is the bit rate * Di is the bit-rate adjustment factor * Fi is the clock frequency division factor * f is the ISO7816 clock frequency (Hz) Di is a binary value encoded on a 4-bit field, named DI, as represented in Table 25-9. Table 25-9. Binary and Decimal Values for Di DI field 0001 0010 0011 0100 0101 0110 1000 1001 1 2 4 8 16 32 12 20 Di (decimal) Fi is a binary value encoded on a 4-bit field, named FI, as represented in Table 25-10. Table 25-10. Binary and Decimal Values for Fi FI field 0000 0001 0010 0011 0100 0101 0110 1001 1010 1011 1100 1101 Fi (decimal 372 372 558 744 1116 1488 1860 512 768 1024 1536 2048 Table 25-11 shows the resulting Fi/Di ratio, which is the ratio between the ISO7816 clock and the Baud Rate Clock. Table 25-11. Possible Values for the Fi/Di Ratio Fi 372 558 744 1116 1488 1860 512 768 1024 1536 2048 Di=2 186 279 372 558 744 930 256 384 512 768 1024 Di=4 93 139.5 186 279 372 465 128 192 256 384 512 Di=8 46.5 69.75 93 139.5 186 232.5 64 96 128 192 256 Di=16 23.25 34.87 46.5 69.75 93 116.2 32 48 64 96 128 Di=32 11.62 17.43 23.25 34.87 46.5 58.13 16 24 32 48 64 Di=12 31 46.5 62 93 124 155 42.66 64 85.33 128 170.6 Di=20 18.6 27.9 37.2 55.8 74.4 93 25.6 38.4 51.2 76.8 102.4 The clock selected by MR.USCLKS can be output on the CLK pin to feed the smart card clock inputs. To output the clock, the user must write a one to the Clock Output Select bit in MR (MR.CLKO). The clock is divided by BRGR.CD before it is output on the CLK pin. If CLK is selected as clock source in MR.USCLKS, the clock can not be output on the CLK pin. The selected clock is divided by the FI Over DI Ratio Value field in the FI DI Ratio Register (FIDI.FI_DI_RATIO), which can be up to 2047 in ISO7816 mode. This will be rounded off to an integral so the user has to select a FI_DI_RATIO value that comes as close as possible to the expected Fi/Di ratio. The FI_DI_RATIO reset value is 0x174 (372 in decimal) and is the most common divider between the ISO7816 clock and bit rate (Fi=372, Di=1). Figure 25-21 shows the relationship between the Elementary Time Unit (ETU), corresponding to a bit period, and the ISO 7816 clock. 564 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-21. Elementary Time Unit (ETU) FI_DI_RATIO ISO7816 Clock Cycles ISO7816 Clock on CLK ISO7816 I/O Line on TXD 1 ETU 25.6.8.3 Protocol T=0 In T=0 protocol, a character is made up of one start bit, eight data bits, one parity bit, and a two bit period guard time. During the guard time, the line will be high if the receiver does not signal a parity error, as shown in Figure 25-22. The receiver signals a parity error, aka non-acknowledge (NACK), by pulling the line low for a bit period within the guard time, resulting in the total character length being incremented by one, see Figure 25-23. The USART will not load data to RHR if it detects a parity error, and will set PARE if it receives a NACK. Figure 25-22. T=0 Protocol without Parity Error Baud Rate Clock RXD Start Bit D0 D2 D1 D4 D3 D5 D6 D7 Parity Guard Guard Next Bit Time 1 Time 2 Start Bit Figure 25-23. T=0 Protocol with Parity Error Baud Rate Clock Error I/O Start Bit D0 D1 D2 D3 D4 D5 D6 D7 Parity Guard Bit Time 1 Guard Start Time 2 Bit D0 D1 Repetition 25.6.8.4 Protocol T=1 In T=1 protocol, the character resembles an asynchronous format with only one stop bit. The parity is generated when transmitting and checked when receiving. Parity errors set PARE. 25.6.8.5 Receive Error Counter The USART receiver keeps count of up to 255 errors in the Number Of Errors field in the Number of Error Register (NER.NB_ERRORS). Reading NER automatically clears NB_ERRORS. 25.6.8.6 Receive NACK Inhibit The USART can be configured to ignore parity errors by writing a one to the Inhibit Non Acknowledge bit (MR.INACK). Erroneous characters will be treated as if they were ok, not generating a NACK, loaded to RHR, and raising RXRDY. 565 32072H-AVR32-10/2012 AT32UC3A3 25.6.8.7 Transmit Character Repetition The USART can be configured to automatically re-send a character if it receives a NACK. Writing a non-zero value to MR.MAX_ITERATION will enable and determine the number of consecutive re-transmissions. If the number of unsuccessful re-transmissions equals MAX_ITERATION, the iteration bit (CSR.ITER) is set. An interrupt request is generated if the ITER bit in the Interrupt Mask Register (IMR.ITER) is set. Writing a one to the Reset Iteration bit (CR.RSTIT) will clear CSR.ITER. 25.6.8.8 Disable Successive Receive NACK The receiver can limit the number of consecutive NACKs to the value in MR.MAX_ITERATION. This is enabled by writing a one to the Disable Successive NACK bit (MR.DSNACK). If the number of NACKs is about to exceed MR.MAX_ITERATION, the character will instead be accepted as valid and CSR.ITER is set. 25.6.9 IrDA Mode The USART features an IrDA mode, supporting asynchronous, half-duplex, point-to-point wireless communication. It embeds the modulator and demodulator, allowing for a glueless connection to the infrared transceivers, as shown in Figure 25-24. The IrDA mode is enabled by writing 0x8 to MR.MODE. This activates the IrDA specification v1.1 compliant modem. Data transfer speeds ranging from 2.4Kbit/s to 115.2Kbit/s are supported and the character format is fixed to one start bit, eight data bits, and one stop bit. Figure 25-24. Connection to IrDA Transceivers USART IrDA Transceivers Receiver Demodulator Transmitter Modulator RXD RX TX TXD The receiver and the transmitter must be exclusively enabled or disabled, according to the direction of the transmission. To receive IrDA signals, the following needs to be done: * Disable TX and enable RX. * Configure the TXD pin as an I/O, outputting zero to avoid LED activation. Disable the internal pull-up for improved power consumption. * Receive data. 566 32072H-AVR32-10/2012 AT32UC3A3 25.6.9.1 IrDA Modulation The RZI modulation scheme is used, where a zero is represented by a light pulse 3/16 of a bit period, and no pulse to represent a one. Some examples of signal pulse duration are shown in Table 25-12. Table 25-12. IrDA Pulse Duration Baud Rate Pulse Duration (3/16) 2.4 Kbit/s 78.13 s 9.6 Kbit/s 19.53 s 19.2 Kbit/s 9.77 s 38.4 Kbit/s 4.88 s 57.6 Kbit/s 3.26 s 115.2 Kbit/s 1.63 s Figure 25-25 shows an example of character transmission. Figure 25-25. IrDA Modulation Start Bit Transmitter Output 0 Stop Bit Data Bits 1 0 1 0 0 1 1 0 1 TXD 3 16 Bit Period Bit Period 25.6.9.2 IrDA Baud Rate As the IrDA mode shares some logic with the ISO7816 mode, the FIDI.FI_DI_RATIO field must be configured correctly. See Section "25.6.16" on page 583. Table 25-13 shows some examples of BRGR.CD values, baud rate error, and pulse duration. Note that the maximal acceptable error rate of 1.87% must be met. Table 25-13. IrDA Baud Rate Error Peripheral Clock Baud Rate CD Baud Rate Error Pulse Time 3 686 400 115 200 2 0.00% 1.63 20 000 000 115 200 11 1.38% 1.63 32 768 000 115 200 18 1.25% 1.63 40 000 000 115 200 22 1.38% 1.63 3 686 400 57 600 4 0.00% 3.26 20 000 000 57 600 22 1.38% 3.26 32 768 000 57 600 36 1.25% 3.26 40 000 000 57 600 43 0.93% 3.26 567 32072H-AVR32-10/2012 AT32UC3A3 Table 25-13. IrDA Baud Rate Error (Continued) Peripheral Clock 25.6.9.3 Baud Rate CD Baud Rate Error Pulse Time 3 686 400 38 400 6 0.00% 4.88 20 000 000 38 400 33 1.38% 4.88 32 768 000 38 400 53 0.63% 4.88 40 000 000 38 400 65 0.16% 4.88 3 686 400 19 200 12 0.00% 9.77 20 000 000 19 200 65 0.16% 9.77 32 768 000 19 200 107 0.31% 9.77 40 000 000 19 200 130 0.16% 9.77 3 686 400 9 600 24 0.00% 19.53 20 000 000 9 600 130 0.16% 19.53 32 768 000 9 600 213 0.16% 19.53 40 000 000 9 600 260 0.16% 19.53 3 686 400 2 400 96 0.00% 78.13 20 000 000 2 400 521 0.03% 78.13 32 768 000 2 400 853 0.04% 78.13 IrDA Demodulator The demodulator depends on an 8-bit down counter loaded with the value in the IRDA_Filter field in the IrDA Filter Register (IFR.IRDA_FILTER). When a falling edge on RXD is detected, the counter starts decrementing at CLK_USART speed. If a rising edge on RXD is detected , the counter stops and is reloaded with the IrD Filter value. If no rising edge has been detected when the counter reaches zero, the receiver input is pulled low during one bit period, see Figure 2526. Writing a one to the Infrared Receive Line Filter bit (MR.FILTER), enables a noise filter that, instead of using just one sample, will choose the majority value from three consecutive samples. Figure 25-26. IrDA Demodulator Operations CLK_USART RXD Counter Value 6 Receiver Input 25.6.10 5 4 3 2 6 6 5 4 3 2 1 0 Pulse Accepted Pulse Rejected Driven Low During 16 Baud Rate Clock Cycles LIN Mode The USART features a Local Interconnect Network (LIN) 1.3 and 2.0 compliant mode, embedding full error checking and reporting, automatic frame processing with up to 256 data bytes, customizable response data lengths, and requiring minimal CPU resources. The LIN mode is enabled by writing 0xA (master) or 0xB (slave) to MR.MODE. 568 32072H-AVR32-10/2012 AT32UC3A3 25.6.10.1 Modes of Operation Changing LIN mode after initial configuration must be followed by a transceiver software reset in order to avoid unpredictable behavior. 25.6.10.2 Receiver and Transmitter Control See Section "25.6.2.1" on page 551. 25.6.10.3 Baud Rate Configuration The LIN nodes baud rate is configured in the Baud Rate Generator Register (BRGR), See Section "25.6.4.1" on page 558. 25.6.10.4 Character Transmission and Reception See "Transmitter Operations" on page 551, and "Receiver Operations" on page 553. 25.6.10.5 Header Transmission (Master Node Configuration) All LIN frames start with a header sent by the master. As soon as the identifier has been written to the Identifier Character field in the LIN Identifier Register (LINIR.IDCHR), CSR.TXRDY is cleared and the header is sent. The header consists of a Break field, a Sync field, and an Identifier field. CSR.TXRDY is set when the identifier has been transferred into the transmitters shift register. An interrupt request is generated if IMR.TXRDY is set. The Break field consists of 13 dominant bits (the break) and one recessive bit (the break delimiter). The Sync field consists of a start bit, the Sync byte (the character 0x55), and a stop bit, refer to Figure 25-29. The Identifier field contains the Identifier as written to LINIR.IDCHR. The identifier parity bits can be generated automatically (see Section 25.6.10.8). Figure 25-27. Header Transmission Baud Rate Clock TXD Break Field 13 dominant bits (at 0) Write LINIR LINIR Break Delimiter 1 recessive bit (at 1) Start 1 Bit 0 1 0 1 0 Synch Byte = 0x55 1 0 Stop Start Stop ID0 ID1 ID2 ID3 ID4 ID5 ID6 ID7 Bit Bit Bit ID TXRDY See also "Master Node Configuration" on page 574. 25.6.10.6 Header Reception (Slave Node Configuration) The USART stays idle until it detects a break field, consisting of at least 11 consecutive dominant bits (zeroes) on the bus. The Sync field is used to synchronize the baud rate (see Section 25.6.10.7). IDCHR is updated and the LIN Identifier bit (CSR.LINIR) is set when the Identifier has been received. An interrupt request is generated if the Lin Identifier bit in the Interrupt Mask Register (IMR.LINIR) is set. The Identifier parity bits can be automatically checked (see Section 25.6.10.8). Writing a one to CR.RSTSTA will clear CSR.LINIR. 569 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-28. Header Reception Baud Rate Clock RXD Break Field 13 dominant bits (at 0) LINID Break Delimiter 1 recessive bit (at 1) Start 1 Bit 0 1 0 1 0 1 0 Synch Byte = 0x55 Stop Stop Start ID0 ID1 ID2 ID3 ID4 ID5 ID6 ID7 Bit Bit Bit US_LINIR Write US_CR With RSTSTA=1 See also "Slave Node Configuration" on page 576. 25.6.10.7 Slave Node Synchronization Synchronization is only done by the slave. If the Sync byte is not 0x55, an Inconsistent Sync Field error is generated, and the LIN Inconsistend Sync Field Error bit in CSR (CSR.LINISFE) is set. An interrupt request is generated if the LINISFE bit in IMR is set. CSR.LINISFE is cleared by writing a one to CR.RSTSTA. The time between falling edges is measured by a 19-bit counter, driven by the sampling clock (see Section 25.6.4). Figure 25-29. Sync Field Synch Field 8 Tbit 2 Tbit 2 Tbit 2 Tbit 2 Tbit Start bit Stop bit The counter starts when the Sync field start bit is detected, and continues for eight bit periods. The 16 most significant bits (counter value divided by 8) becomes the new clock divider (BRGR.CD), and the three least significant bits (the remainder) becomes the new fractional part (BRGR.FP). Figure 25-30. Slave Node Synchronization Baud Rate Clock RXD Break Field 13 dominant bits (at 0) Break Delimiter 1 recessive bit (at 1) Start 1 Bit 0 1 0 1 0 Synch Byte = 0x55 1 0 Stop Start Stop ID0 ID1 ID2 ID3 ID4 ID5 ID6 ID7 Bit Bit Bit LINIDRX Reset Synchro Counter 000_0011_0001_0110_1101 BRGR Clcok Divider (CD) Initial CD 0000_0110_0010_1101 BRGR Fractional Part (FP) Initial FP 101 The synchronization accuracy depends on: 570 32072H-AVR32-10/2012 AT32UC3A3 * The theoretical slave node clock frequency; nominal clock frequency (FNom) * The baud rate * The oversampling mode (OVER=0 => 16x, or OVER=1 => 8x) The following formula is used to calculate synchronization deviation, where FSLAVE is the real slave node clock frequency, and FTOL_UNSYNC is the difference between FNom and FSLAVE. According to the LIN specification, FTOL_UNSYNCH may not exceed 15%, and the bit rates between two nodes must be within 2% of each other, resulting in a maximal BaudRate_deviation of 1%. [ x 8 x ( 2 - OVER ) + ] x BaudRate BaudRate_deviation = 100 x --------------------------------------------------------------------------------------------------- % 8 x F SLAVE [------------------------------------------------------------------------------------------------- x 8 x ( 2 - OVER ) + ] x BaudRate- BaudRate_deviation = 100 x % F TOL_UNSYNC 8 x ------------------------------------ xF Nom 100 - 0,5 +0,5 -1 < < +1 Minimum nominal clock frequency with a fractional part: [-----------------------------------------------------------------------------------------------------0,5 x 8 x ( 2 - OVER ) + 1 ] x BaudRate- F Nom ( min ) = 100 x Hz - 15 8 x ---------- + 1 x 1% 100 Examples: * Baud rate = 20 kbit/s, OVER=0 (Oversampling 16x) => FNom(min) = 2.64 MHz * Baud rate = 20 kbit/s, OVER=1 (Oversampling 8x) => FNom(min) = 1.47 MHz * Baud rate = 1 kbit/s, OVER=0 (Oversampling 16x) => FNom(min) = 132 kHz * Baud rate = 1 kbit/s, OVER=1 (Oversampling 8x) => FNom(min) = 74 kHz If the fractional part is not used, the synchronization accuracy is much lower. The 16 most significant bits, added with the first least significant bit, becomes the new clock divider (CD). The equation of the baud rate deviation is the same as above, but the constants are: - 4 +4 -1 < < +1 Minimum nominal clock frequency without a fractional part: [---------------------------------------------------------------------------------------------4 x 8 x ( 2 - OVER ) + 1 ] x Baudrate- F Nom ( min ) = 100 x Hz - 15- + 1 x 1% --------8 x 100 Examples: * Baud rate = 20 kbit/s, OVER=0 (Oversampling 16x) => FNom(min) = 19.12 MHz * Baud rate = 20 kbit/s, OVER=1 (Oversampling 8x) => FNom(min) = 9.71 MHz * Baud rate = 1 kbit/s, OVER=0 (Oversampling 16x) => FNom(min) = 956 kHz * Baud rate = 1 kbit/s, OVER=1 (Oversampling 8x) => FNom(min) = 485 kHz 25.6.10.8 Identifier Parity An identifier field consists of two sub-fields; the identifier and its parity. Bits 0 to 5 are assigned to the identifier, while bits 6 and 7 are assigned to parity. Automatic parity management is 571 32072H-AVR32-10/2012 AT32UC3A3 enabled by default, and can be disabled by writing a one to the Parity Disable bit in the LIN Mode register (LINMR.PARDIS). * LINMR.PARDIS=0: During header transmission, the parity bits are computed and in the shift register they replace bits 6 and 7 from LINIR.IDCHR. During header reception, the parity bits are checked and can generate a LIN Identifier Parity Error (see Section 25.6.10.13). Bits 6 and 7 in LINIR.IDCHR read as zero when receiving. * LINMR.PARDIS=1: During header transmission, all the bits in LINIR.IDCHR are sent on the bus. During header reception, all the bits in LINIR.IDCHR are updated with the received Identifier. 25.6.10.9 Node Action After an identifier transaction, a LIN response mode must be selected. This is done in the Node Action field (LINMR.NACT). Below are some response modes exemplified in a small LIN cluster: * Response, from master to slave1: Master: NACT=PUBLISH Slave1: NACT=SUBSCRIBE Slave2: NACT=IGNORE * Response, from slave1 to master: Master: NACT=SUBSCRIBE Slave1: NACT=PUBLISH Slave2: NACT=IGNORE * Response, from slave1 to slave2: Master: NACT=IGNORE Slave1: NACT=PUBLISH Slave2: NACT=SUBSCRIBE 25.6.10.10 LIN Response Data Length The response data length is the number of data fields (bytes), excluding the checksum. Figure 25-31. Response Data Length User configuration: 1 - 256 data fields (DLC+1) Identifier configuration: 2/4/8 data fields Sync Break Sync Field Identifier Field Data Field Data Field Data Field Data Field Checksum Field The response data length can be configured, either by the user, or automatically by bits 4 and 5 in the Identifier (LINIR.IDCHR), in accordance to LIN 1.1. The user selects one of these modes by writing to the Data Length Mode bit (LINMR.DLM): * LINMR.DLM=0: the response data length is configured by the user by writing to the 8-bit Data Length Control field (LINMR.DLC). The response data length equals DLC + 1 bytes. 572 32072H-AVR32-10/2012 AT32UC3A3 * LINMR.DLM=1: the response data length is defined by the Identifier (LINIR.IDCHR) bits according to the table below. Table 25-14. Response Data Length if DLM = 1 25.6.10.11 LINIR.IDCHR[5] LINIR.IDCHR[4] Response Data Length [bytes] 0 0 2 0 1 2 1 0 4 1 1 8 Checksum The last frame field is the checksum. It is configured by the Checksum Type (LINMR.CHKTYP), and the Checksum Disable (LINMR.CHKDIS) bits. CSR.TXRDY will not be set after the last THR data write if enabled. Writing a one to LINMR.CHKDIS will disable the automatic checksum generation/checking, and the user may send/check this last byte manually, disguised as a normal data. The checksum is an inverted 8-bit sum with carry, either: * Over all data bytes, called a classic checksum. This is used for LIN 1.3 compliant slaves, and automatically managed when CHKDIS=0, and CHKTYP=1. * Over all data bytes and the protected identifier, called an enhanced checksum. This is used for LIN 2.0 compliant slaves, and automatically managed when CHKDIS=0, and CHKTYP=0. 25.6.10.12 Frame Slot Mode A LIN master can be configured to use frame slots with a pre-defined minimum length. This Frame Slot mode is enabled by default, and is disabled by writing a one to the Frame Slot Mode Disable bit (LINMR.FSDIS). The Frame Slot mode will not allow CSR.TXRDY to be set after a frame transfer until the entire frame slot duration has elapsed, in effect preventing the master from sending a new header. The LIN Transfer Complete bit (CSR.LINTC) will still be set after the checksum has been sent. An interrupt is generated if the LIN Transfer Complete bit in the Interrupt Mask Register (IMR.LINTC) is set. Writing a one to CR.RSTSTA clears CSR.LINTC. Figure 25-32. Frame Slot Mode with Automatic Checksum Frame slot = TFrame_Maximum Frame Header Break Synch Data3 Interframe space Response space Protected Identifier Response Data 1 Data N-1 Data N Checksum TXRDY Frame Slot Mode Frame Slot Mode Disabled Enabled Write LINID Write THR Data 1 Data 2 Data 3 Data N LINTC 573 32072H-AVR32-10/2012 AT32UC3A3 The minimum frame slot size is determined by TFrame_Maximum, and calculated below (all values in bit periods): * THeader_Nominal = 34 * TFrame_Maximum = 1.4 x (THeader_Nominal + TResponse_Nominal + 1) Note: The term "+1" leads to an integer result for TFrame_Max (LIN Specification 1.3) If the Checksum is sent (CHKDIS=0): * TResponse_Nominal = 10 x (NData + 1) * TFrame_Maximum = 1.4 x (34 + 10 x (DLC + 1 + 1) + 1) * TFrame_Maximum = 77 + 14 x DLC If the Checksum is not sent (CHKDIS=1): * TResponse_Nominal = 10 x NData * TFrame_Maximum = 1.4 x (34 + 10 x (DLC + 1) + 1) * TFrame_Maximum = 63 + 14 x DLC 25.6.10.13 LIN Errors This section describes the errors generated in LIN mode, and the coresponding error bits in CSR. The error bits are cleared by writing a one to CR.RSTSTA. An interrupt request is generated if the corresponding bit in the Interrupt Mask Register (IMR) is set. This bit is set by writing a one to the corresponding bit in the Interrupt Enable Register (IER). * Slave Not Responding Error (CSR.LINSNRE) - This error is generated if no valid message appears within the TFrame_Maximum time frame slot, while the USART is expecting a response from another node (NACT=SUBSCRIBE). * Checksum Error (CSR.LINCE) - This error is generated if the received checksum is wrong. This error can only be generated if the checksum feature is enabled (CHKDIS=0). * Identifier Parity Error (CSR.LINIPE) - This error is generated if the identifier parity is wrong. This error can only be generated if parity is enabled (PARDIS=0). * Inconsistent Sync Field Error (CSR.LINISFE) - This error is generated in slave mode if the Sync Field character received is not 0x55. Synchronization procedure is aborted. * Bit Error (CSR.LINBE) - This error is generated if the value transmitted by the USART on Tx differs from the value sampled on Rx. If a bit error is detected, the transmission is aborted at the next byte border. 25.6.11 25.6.11.1 LIN Frame Handling Master Node Configuration * Configure the baud rate by writing to BRGR.CD and BRGR.FP * Configure the frame transfer by writing to the LINMR fields NACT, PARDIS, CHKDIS, CHKTYPE, DLM, FSDIS, and DLC * Select LIN mode and master node by writing 0xA to MR.MODE 574 32072H-AVR32-10/2012 AT32UC3A3 * Write a one to CR.TXEN and CR.RXEN to enable both transmitter and receiver * Wait until CSR.TXRDY is one * Send the header by writing to LINIR.IDCHR The following procedure depends on the LINMR.NACT setting: * Case 1: LINMR.NACT is 0x0 (PUBLISH, the USART transmits the response) - Wait until CSR.TXRDY is one - Send a byte by writing to THR.TXCHR - Repeat the two previous steps until there is no more data to send - Wait until CSR.LINTC is one - Check for LIN errors * Case 2: LINMR.NACT is 0x1 (SUBSCRIBE, the USART receives the response) - Wait until CSR.RXRDY is one - Read RHR.RXCHR - Repeat the two previous steps until there is no more data to read - Wait until CSR.LINTC is one - Check for LIN errors * Case 3: LINMR.NACT is 0x2 (IGNORE, the USART is not concerned by a response) - Wait until CSR.LINTC is one - Check for LIN errors Figure 25-33. Master Node Configuration, LINMR.NACT is 0x0 (PUBLISH) Frame slot = TFrame_Maximum Frame Header Break Synch Data3 Interframe space Response space Protected Identifier Response Data 1 Data N-1 Data N Checksum TXRDY FSDIS=1 FSDIS=0 RXRDY Write LINIR Write THR Data 1 Data 2 Data 3 Data N LINTC 575 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-34. Master Node Configuration, LINMR.NACT is 0x1 (SUBSCRIBE) Frame slot = TFrame_Maximum Frame Data3 Header Break Synch Interframe space Response space Protected Identifier Response Data 1 Data N-1 Data N Checksum TXRDY FSDIS=1 FSDIS=0 RXRDY Write LINIR Read RHR Data 1 Data N-2 Data N-1 Data N LINTC Figure 25-35. Master Node Configuration, LINMR.NACT is 0x2 (IGNORE) Frame slot = TFrame_Maximum Frame Break Response space Header Data3 Synch Protected Identifier Interframe space Response Data 1 Data N-1 Data N Checksum TXRDY FSDIS=1 FSDIS=0 RXRDY Write LINIR LINTC 25.6.11.2 Slave Node Configuration * Configure the baud rate by writing to BRGR.CD and BRGR.FP * Configure the frame transfer by writing to LINMR fields NACT, PARDIS, CHKDIS, CHKTYPE, DLM, and DLC * Select LIN mode and slave node by writing 0xB to MR.MODE * Write a one to CR.TXEN and CR.RXEN to enable both transmitter and receiver * Wait until CSR.LINIR is one * Check for CSR.LINISFE and CSR.LINPE errors, clear errors and CSR.LINIR by writing a one to CR.RSTSTA * Read LINIR.IDCHR IMPORTANT: If LINMR.NACT is 0x0 (PUBLISH), and this field is already correct, the LINMR register must still be written with this value in order to set CSR.TXRDY, and to request the corresponding Peripheral DMA Controller write transfer. 576 32072H-AVR32-10/2012 AT32UC3A3 The different LINMR.NACT settings result in the same procedure as for the master node, see page 574. Figure 25-36. Slave Node Configuration, LINMR.NACT is 0x0 (PUBLISH) Break Synch Protected Identifier Data 1 Data N-1 Data N Checksum Data N Checksum TXRDY RXRDY LINIDRX Read LINID Write THR Data 1 Data 2 Data 3 Data N LINTC Figure 25-37. Slave Node Configuration, LINMR.NACT is 0x1 (SUBSCRIBE) Break Synch Protected Identifier Data 1 Data N-1 TXRDY RXRDY LINIDRX Read LINID Read RHR Data 1 Data N-2 Data N-1 Data N LINTC Figure 25-38. Slave Node Configuration, LINMR.NACT is 0x2 (IGNORE) Break Synch Protected Identifier Data 1 Data N-1 Data N Checksum TXRDY RXRDY LINIDRX Read LINID Read RHR LINTC 25.6.12 LIN Frame Handling With The Peripheral DMA Controller The USART can be used together with the Peripheral DMA Controller in order to transfer data without processor intervention. The Peripheral DMA Controller uses the CSR.TXRDY and 577 32072H-AVR32-10/2012 AT32UC3A3 CSR.RXRDY bits to trigger one byte writes or reads. It always writes to THR, and it always reads RHR. 25.6.12.1 Master Node Configuration The Peripheral DMA Controller Mode bit (LINMR.PDCM) allows the user to select configuration: * LINMR.PDCM=0: LIN configuration must be written to LINMR, it is not stored in the write buffer. * LINMR.PDCM=1: LIN configuration is written by the Peripheral DMA Controller to THR, and is stored in the write buffer. Since data transfer size is a byte, the transfer is split into two accesses. The first writes the NACT, PARDIS, CHKDIS, CHKTYP, DLM and FSDIS bits in the LINMR register, while the second writes the LINMR.DLC field. If LINMR.NACT=PUBLISH, the write buffer will also contain the Identifier. When LINMR.NACT=SUBSCRIBE, the read buffer contains the data. Figure 25-39. Master Node with Peripheral DMA Controller (LINMR.PDCM=0) WRITE BUFFER DATA 0 Peripheral bus DATA 1 DATA N NODE ACTION = SUBSCRIBE Peripheral bus READ BUFFER Peripheral DMA Controller | | | | NODE ACTION = PUBLISH USART LIN CONTROLLER RXRDY DATA 0 Peripheral DMA Controller RXRDY USART LIN CONTROLLER TXRDY | | | | DATA N 578 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-40. Master Node with Peripheral DMA Controller (LINMR.PDCM=1) WRITE BUFFER WRITE BUFFER NACT PARDIS CHKDIS CHKTYP DLM FSDIS NACT PARDIS CHKDIS CHKTYP DLM FSDIS DLC DLC Peripheral bus NODE ACTION = PUBLISH Peripheral bus IDENTIFIER IDENTIFIER USART LIN CONTROLLER Peripheral DMA Controller READ BUFFER Peripheral DMA Controller NODE ACTION = SUBSCRIBE RXRDY USART LIN CONTROLLER RXRDY DATA 0 DATA 0 | | | | TXRDY | | | | DATA N DATA N 25.6.12.2 Slave Node Configuration In this mode, the Peripheral DMA Controller transfers only data. The user reads the Identifier from LINIR, and selects LIN mode by writing to LINMR. When NACT=PUBLISH the data is in the write buffer, while the read buffer contains the data when NACT=SUBSCRIBE. IMPORTANT: If in slave mode, LINMR.NACT is already configured correctly as PUBLISH, the LINMR register must still be written with this value in order to set CSR.TXRDY, and to request the corresponding Peripheral DMA Controller write transfer. Figure 25-41. Slave Node with Peripheral DMA Controller WRITE BUFFER READ BUFFER DATA 0 | | | | Peripheral Bus USART LIN CONTROLLER Peripheral DMA Controller TXRDY DATA N 25.6.13 DATA 0 Peripheral bus | | | | Peripheral DMA Controller NACT = SUBSCRIBE USART LIN CONTROLLER RXRDY DATA N Wake-up Request Any node in a sleeping LIN cluster may request a wake-up. By writing to the Wakeup Signal Type bit (LINMR.WKUPTYP), the user can choose to send either a LIN 1.3 (WKUPTYP is one ) or a LIN 2.0 (WKUPTYP is zero) compliant wakeup request. Writing a one to the Send LIN Wakeup Signal bit (CR.LINWKUP), transmits a wakeup, and when completed, sets CSR.LINTC. 579 32072H-AVR32-10/2012 AT32UC3A3 According to LIN 1.3, the wakeup request should be generated with the character 0x80 in order to impose eight successive dominant bits. According to LIN 2.0, the wakeup request is issued by forcing the bus into the dominant state for 250s to 5ms. Sending the character 0xF0 does this, regardless of baud rate. * Baud rate max = 20 kbit/s -> one bit period = 50s -> five bit periods = 250s * Baud rate min = 1 kbit/s -> one bit period = 1ms -> five bit periods = 5ms 25.6.14 Bus Idle Time-out LIN bus inactivity should eventually cause slaves to time out and enter sleep mode. LIN 1.3 specifies this to 25000 bit periods, whilst LIN 2.0 specifies 4 seconds. For the time-out counter operation see Section 25.6.3.4 "Receiver Time-out" on page 556. Table 25-15. Receiver Time-out Values (RTOR.TO) LIN Specification 2.0 1.3 25.6.15 Baud Rate Time-out period TO 1 000 bit/s 4 000 2 400 bit/s 9 600 9 600 bit/s 4s 38 400 19 200 bit/s 76 800 20 000 bit/s 80 000 - 25 000 bit periods 25 000 SPI Mode The USART features a Serial Peripheral Interface (SPI) link compliant mode, supporting synchronous, full-duplex communication in both master and slave mode. Writing 0xE (master) or 0xF (slave) to MR.MODE will enable this mode. An SPI in master mode controls the data flow to and from the other SPI devices, which are in slave mode. It is possible to let devices take turns being masters (aka multi-master protocol), and one master may shift data simultaneously into several slaves, but only one slave may respond at a time. A slave is selected when its slave select (NSS) signal has been raised by the master. The USART can only generate one NSS signal, and it is possible to use standard I/O lines to address more than one slave. 25.6.15.1 Modes of Operation The SPI system consists of two data lines and two control lines: * Master Out Slave In (MOSI): This line supplies the data shifted from master to slave. In master mode this is connected to TXD, and in slave mode to RXD. * Master In Slave Out (MISO): This line supplies the data shifted from slave to master. In master mode this is connected to RXD, and in slave mode to TXD. * Serial Clock (CLK): This is controlled by the master. One period per bit transmission. In both modes this is connected to CLK. * Slave Select (NSS): This control line allows the master to select or deselect a slave. In master mode this is connected to RTS, and in slave mode to CTS. Changing SPI mode after initial configuration must be followed by a transceiver software reset in order to avoid unpredictable behavior. 580 32072H-AVR32-10/2012 AT32UC3A3 25.6.15.2 Baud Rate The baud rate generator operates as described in "Baud Rate in Synchronous and SPI Mode" on page 560, with the following requirements: In SPI Master Mode: * External clock CLK must not be selected as clock (the Clock Selection field (MR.USCLKS) must not equal 0x3). * The USART must drive the CLK pin (MR.CLKO must be one). * The BRGR.CD field must be at least 0x4. * If the internal divided clock, CLK_USART/DIV, is selected (MR.USCLKS is one), the value in BRGR.CD must be even, ensuring a 50:50 duty cycle. In SPI Slave Mode: * The frequency of the external clock CLK must be at least four times lower than the system clock. 25.6.15.3 Data Transfer Up to nine data bits are successively shifted out on the TXD pin at each edge. There are no start, parity, or stop bits, and MSB is always sent first. The SPI Clock Polarity (MR.CPOL), and SPI Clock Phase (MR.CPHA) bits configure CLK by selecting the edges upon which bits are shifted and sampled, resulting in four non-interoperable protocol modes, see Table 25-16. If MR.CPOL is zero, the inactive state value of CLK is logic level zero, and if MR.CPOL is one, the inactive state value of CLK is logic level one. If MR.CPHA is zero, data is changed on the leading edge of CLK, and captured on the following edge of CLK. If MR.CPHA is one, data is captured on the leading edge of CLK, and changed on the following edge of CLK. A master/slave pair must use the same configuration, and the master must be reconfigured if it is to communicate with slaves using different configurations. See Figures 25-42 and 25-43. Table 25-16. SPI Bus Protocol Modes MR.CPOL MR.CPHA SPI Bus Protocol Mode 0 1 0 0 0 1 1 1 2 1 0 3 581 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-42. SPI Transfer Format (CPHA=1, 8 bits per transfer) CLK cycle (for reference) 2 1 5 4 3 7 6 8 C LK (C POL= 0) C LK (CPO L= 1) M O SI SPI M aster ->TXD SPI Slave ->RXD M ISO SPI M aster ->RXD SPI Slave ->TXD M SB M SB 6 5 4 3 2 6 5 4 3 2 1 LSB 1 LSB NSS SPI M aster ->RTS SPI Slave ->CTS Figure 25-43. SPI Transfer Format (CPHA=0, 8 bits per transfer) CLK cycle (for reference) 1 2 3 4 5 6 7 8 CLK (CPOL= 0) CLK (CPOL= 1) M O SI SPI M aster -> TXD SPI Slave -> RXD M SB 6 5 4 3 2 1 LSB M ISO SPI M aster -> RXD SPI Slave -> TXD M SB 6 5 4 3 2 1 LSB NSS SPI M aster -> RTS SPI Slave -> CTS 25.6.15.4 Receiver and Transmitter Control See "Manchester Encoder" on page 583, and "Receiver Status" on page 553. 25.6.15.5 Character Transmission and Reception In SPI master mode, the slave select line (NSS) is asserted low one bit period before the start of transmission, and released high one bit period after every character transmission. A delay for at least three bit periods is always inserted in between characters. In order to address slave devices supporting the Chip Select Active After Transfer (CSAAT) mode, NSS can be forced low by writing a one to the Force SPI Chip Select bit (CR.RTSEN/FCS). Releasing NSS when FCS is one is only possible by writing a one to the Release SPI Chip Select bit (CR.RTSDIS/RCS). 582 32072H-AVR32-10/2012 AT32UC3A3 In SPI slave mode, a low level on NSS for at least one bit period will allow the slave to initiate a transmission or reception. The Underrun Error bit (CSR.UNRE) is set if a character must be sent while THR is empty, and TXD will be high during character transmission, as if 0xFF was being sent. An interrupt request is generated if the Underrun Error bit in the Interrupt Mask Register (IMR.UNRE) is set. If a new character is written to THR it will be sent correctly during the next transmission slot. Writing a one to CR.RSTSTA will clear CSR.UNRE. To ensure correct behavior of the receiver in SPI slave mode, the master device sending the frame must ensure a minimum delay of one bit period in between each character transmission. 25.6.15.6 25.6.16 25.6.16.1 Receiver Time-out Receiver Time-outs are not possible in SPI mode as the Baud Rate Clock is only active during data transfers. Manchester Encoder/Decoder Writing a one to the Manchester Encoder/Decoder bit in the Mode Register (MR.MAN) enables the Manchester Encoder/Decoder. When the Manchester Encoder/Decoder is used, characters transmitted through the USART are encoded in Manchester II Biphase format. Depending on polarity configuration, selected by the Transmission Manchester Polarity bit in the Manchester Configuration Register (MAN.TX_MOPL), a logic level (zero or one) is transmitted as the transition from high -to-low or low-to-high during the middle of each bit period. This consumes twice the bandwidth of the simpler NRZ coding schemes, but the receiver has more error control since the expected input has a transition at every mid-bit period. Manchester Encoder An example of a Manchester encoded sequence is the byte 0xB1 (10110001) being encoded to 10 01 10 10 01 01 01 10, assuming default encoder polarity. Figure 25-44 illustrates this coding scheme. Figure 25-44. NRZ to Manchester Encoding NRZ encoded data Manchester encoded data 1 0 1 1 0 0 0 1 Txd A Manchester encoded character can be preceded by both a preamble sequence and a start frame delimiter. The preamble sequence is a pre-defined pattern with a configurable length from 1 to 15 bit periods. If the preamble length is zero, the preamble waveform is not generated. The preamble length is selected by writing to the Transmitter Preamble Length field (MAN.TX_PL). The available preamble sequence patterns are: * ALL_ONE * ALL_ZERO * ONE_ZERO * ZERO_ONE and are selected by writing to the Transmitter Preamble Pattern field (MAN.TX_PP). Figure 2545 illustrates the supported patterns. 583 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-45. Preamble Patterns, Default Polarity Assumed Manchester encoded data Txd SFD DATA SFD DATA SFD DATA SFD DATA 8 bit width "ALL_ONE" Preamble Manchester encoded data Txd 8 bit width "ALL_ZERO" Preamble Manchester encoded data Txd 8 bit width "ZERO_ONE" Preamble Manchester encoded data Txd 8 bit width "ONE_ZERO" Preamble The Start Frame Delimiter Selector bit (MR.ONEBIT) configures the Manchester start bit pattern following the preamble. If MR.ONEBIT is one, a Manchester encoded zero is transmitted to indicate that a new character is about to be sent. If MR.ONEBIT is zero, a synchronization pattern is sent for the duration of three bit periods to inaugurate the new character. The sync pattern waveform by itself is an invalid Manchester encoding, since the transition only occurs at the middle of the second bit period. The Manchester Synchronization Mode bit (MR.MODSYNC) selects sync pattern, and this also defines if the character is data (MODSYNC=0) with a zero to one transition, or a command (MODSYNC=1) with a one to zero transition. When direct memory access is used, the sync pattern can be updated on-the-fly with a modified character located in memory. To enable this mode the Variable Synchronization of Command/Data Sync Start Frame Delimiter bit (MR.VAR_SYNC) must be written to one. In this case, MODSYNC is bypassed and THR.TXSYNH selects the sync type to be included. Figure 25-46 illustrates supported patterns. 584 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-46. Start Frame Delimiter Preamble Length is set to 0 SFD Manchester encoded data DATA Txd One bit start frame delimiter SFD Manchester encoded data DATA Txd Command Sync start frame delimiter SFD Manchester encoded data DATA Txd Data Sync start frame delimiter Manchester Drift Compensation The Drift Compensation bit (MAN.DRIFT) enables a hardware drift compensation and recovery system that allows for sub-optimal clock drifts without further user intervention. Drift compensation is only available in 16x oversampling mode (MR.OVER is zero). If the RXD event is one 16th clock cycle from the expected edge, it is considered as normal jitter and no corrective action will be taken. If the event is two to four 16th's early, the current period will be shortened by a 16th. If the event is two to three 16th's after the expected edge, the current period will be prolonged by a 16th. Figure 25-47. Bit Resynchronization Oversampling 16x Clock RXD Sampling point Expected edge Synchro. Error 25.6.16.2 Synchro. Jump Tolerance Sync Jump Synchro. Error Manchester Decoder The Manchester decoder can detect selectable preamble sequences and start frame delimiters. The Receiver Manchester Polarity bit in the "Manchester Configuration Register" (MAN.RX_MPOL) selects input stream polarity. The Receiver Preamble Length field (MAN.RX_PL) specifies the length characteristics of detectable preambles. If MAN.RX_PL is zero, the preamble pattern detection will be disabled. The Receiver Preamble Pattern field (MAN.RX_PP) selects the pattern to be detected. See Figure 25-45 for available preamble patterns. Figure 25-48 illustrates two types of Manchester preamble pattern mismatches. 585 32072H-AVR32-10/2012 AT32UC3A3 The Manchester endec uses the same Start Frame Delimiter Selector (MR.ONEBIT) for both encoder and decoder. If ONEBIT is one, only a Manchester encoded zero will be accepted as a valid start frame delimiter. If ONEBIT is zero, a data or command sync pattern will be expected. The Received Sync bit in the Receive Holding Register (RHR.RXSYNH) will be zero if the last character received is a data sync, and a one if it is a command sync. Figure 25-48. Preamble Pattern Mismatch Preamble Mismatch Manchester coding error Manchester encoded data Preamble Mismatch invalid pattern SFD Txd DATA Preamble Length is set to 8 The receiver samples the RXD line in continuos bit period quarters, making the smallest time frame in which to assume a bit value three quarters. A start bit is assumed if RXD is zero during one of these quarters, see Figure 25-49. Figure 25-49. Asynchronous Start Bit Detection Sampling Clock (16 x) Manchester encoded data Txd Start Detection 1 2 3 4 If a valid preamble pattern or start frame delimiter is detected, the receiver continues decoding with the same synchronization. If a non-valid preamble pattern or a start frame delimiter is detected, the receiver re-synchronizes at the next valid edge. When a valid start sequence has been detected, the decoded data is passed to the USART and the user will be notified of any incoming Manchester encoding violations by the Manchester Error bit (CSR.MANERR). An interrupt request is generated if one of the Manchester Error bits in the Interrupt Mask Register (IMR.MANE or IMR.MANEA) is set. CSR.MANERR is cleared by writing a one to the Reset Status bits in the Control Register (CR.RSTSTA). A violation occurs when there is no transition in the middle of a bit period. See Figure 25-50 for an illustration of a violation causing the Manchester Error bit to be set. 586 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-50. Manchester Error Preamble Length is set to 4 Elementary character bit time SFD Manchester encoded data Txd Entering USART character area sampling points Preamble subpacket and Start Frame Delimiter were successfully decoded 25.6.16.3 Manchester Coding Error detected Radio Interface: Manchester Endec Application This section describes low data rate, full duplex, dual frequency, RF systems integrated with a Manchester endec, that support ASK and/or FSK modulation schemes. See Figure 25-51. Figure 25-51. Manchester Encoded Characters RF Transmission Fup frequency Carrier ASK/FSK Upstream Receiver Upstream Emitter LNA VCO RF filter Demod Serial Configuration Interface control Fdown frequency Carrier bi-dir line Manchester decoder USART Receiver Manchester encoder USART Emitter ASK/FSK downstream transmitter Downstream Receiver PA RF filter Mod VCO control To transmit downstream, encoded data is sent serially to the RF modulator and then through space to the RF receiver. To receive, another frequency carrier is used and the RF demodulator does a bit-checking search for valid patterns before it switches to a receiving mode and forwards data to the decoder. Defining preambles to help distinguish between noise and valid data has to be done in conjunction with the RF module, and may sometimes be filtered away from the endec stream. Using the ASK modulation scheme, a one is transmitted as an RF signal at the downstream frequency, while a zero is transmitted as no signal. See Figure 25-52. The FSK modulation scheme uses two different frequencies to transmit data. A one is sent as a signal on one frequency, and a zero on the other. See Figure 25-53. 587 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-52. ASK Modulator Output 1 0 0 1 NRZ stream Manchester encoded data default polarity unipolar output Txd ASK Modulator Output Uptstream Frequency F0 Figure 25-53. FSK Modulator Output 1 0 0 1 NRZ stream Manchester encoded data default polarity unipolar output Txd FSK Modulator Output Uptstream Frequencies [F0, F0+offset] 25.6.17 Test Modes The internal loopback feature enables on-board diagnostics, and allows the USART to operate in three different test modes, with reconfigured pin functionality, as shown below. 25.6.17.1 Normal Mode During normal operation, a receiver RXD pin is connected to a transmitter TXD pin. Figure 25-54. Normal Mode Configuration RXD Receiver TXD Transmitter 25.6.17.2 Automatic Echo Mode Automatic echo mode allows bit-by-bit retransmission. When a bit is received on the RXD pin, it is also sent to the TXD pin, as shown in Figure 25-55. Transmitter configuration has no effect. 588 32072H-AVR32-10/2012 AT32UC3A3 Figure 25-55. Automatic Echo Mode Configuration RXD Receiver TXD Transmitter 25.6.17.3 Local Loopback Mode Local loopback mode connects the output of the transmitter directly to the input of the receiver, as shown in Figure 25-56. The TXD and RXD pins are not used. The RXD pin has no effect on the receiver and the TXD pin is continuously driven high, as in idle state. Figure 25-56. Local Loopback Mode Configuration RXD Receiver 1 Transmitter 25.6.17.4 TXD Remote Loopback Mode Remote loopback mode connects the RXD pin to the TXD pin, as shown in Figure 25-57. The transmitter and the receiver are disabled and have no effect. This mode allows bit-by-bit retransmission. Figure 25-57. Remote Loopback Mode Configuration RXD 1 Receiver TXD Transmitter 25.6.18 Interrupts - - MANEA 23 - 22 - 21 - 20 MANE 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 LINTC 14 LINIR 13 NACK 12 RXBUFF 11 - 10 ITER/UNRE 9 TXEMPTY 8 TIMEOUT 589 32072H-AVR32-10/2012 AT32UC3A3 7 PARE 6 FRAME 5 OVRE 4 - 3 - 2 RXBRK 1 TXRDY 0 RXRDY The USART has the following interrupt sources: * LINSNRE: LIN Slave Not Responding Error - A LIN Slave Not Responding Error has been detected * LINCE: LIN Checksum Error - A LIN Checksum Error has been detected * LINIPE: LIN Identifier Parity Error - A LIN Identifier Parity Error has been detected * LINISFE: LIN Inconsistent Sync Field Error - The USART is configured as a Slave node and a LIN Inconsistent Sync Field Error has been detected since the last RSTSTA. * LINBE: LIN Bit Error - A Bit Error has been detected since the last RSTSTA. * MANERR: Manchester Error - At least one Manchester error has been detected since the last RSTSTA. * CTSIC: Clear to Send Input Change Flag - At least one change has been detected on the CTS pin since the last CSR read. * DCDIC: Data Carrier Detect Input Change Flag - A change has been detected on the DCD pin * DSRIC: Data Set Ready Input Change Flag - A change has been detected on the DSR pin * RIIC: Ring Indicator Input Change Flag - A change has been detected on the RI pin * LINTC: LIN Transfer Completed - A LIN transfer has been completed * LINIDR: LIN Identifier - A LIN Identifier has been sent (master) or received (slave) * NACK: Non Acknowledge - At least one Non Acknowledge has been detected * RXBUFF: Reception Buffer Full - The Buffer Full signal from the Peripheral DMA Controller channel is active. * ITER/UNRE: Max number of Repetitions Reached or SPI Underrun Error - IF USART does not operate in SPI slave mode: Maximum number of repetitions has been reached since the last RSTSTA. - If USART operates in SPI slave mode: At least one SPI underrun error has occurred since the last RSTSTA. * TXEMPTY: Transmitter Empty - There are no characters in neither THR, nor in the transmit shift register. * TIMEOUT: Receiver Time-out 590 32072H-AVR32-10/2012 AT32UC3A3 - There has been a time-out since the last Start Time-out command. * PARE: Parity Error - Either at least one parity error has been detected, or the parity bit is a one in multidrop mode, since the last RSTSTA. * FRAME: Framing Error - At least one stop bit has been found as low since the last RSTSTA. * OVRE: Overrun Error - At least one overrun error has occurred since the last RSTSTA. * RXBRK: Break Received/End of Break - Break received or End of Break detected since the last RSTSTA. * TXRDY: Transmitter Ready - There is no character in the THR. * RXRDY: Receiver Ready - At least one complete character has been received and RHR has not yet been read. An interrupt source will set a corresponding bit in the Channel Status Register (CSR). The interrupt sources will generate an interrupt request if the corresponding bit in the Interrupt Mask Register (IMR) is set. The interrupt sources are ORed together to form one interrupt request. The USART will generate an interrupt request if at least one of the bits in IMR is set. Bits in IMR are set by writing a one to the corresponding bit in the Interrupt Enable Register (IER), and cleared by writing a one to the corresponding bit in the Interrupt Disable Register (IDR). The interrupt request remains active until the corresponding bit in CSR is cleared. The clearing of the bits in CSR is described in "Channel Status Register" on page 602. Because all the interrupt sources are ORed together, the interrupt request from the USART will remain active until all the bits in CSR are cleared. 25.6.19 Using the Peripheral DMA Controller 25.6.20 Write Protection Registers To prevent single software errors from corrupting USART behavior, certain address spaces can be write-protected by writing the correct Write Protect KEY and writing a one to the Write Protect Enable bit in the Write Protect Mode Register (WPMR.WPKEY and WPMR.WPEN). Disabling the write protection is done by writing the correct key to WPMR.WPKEY and a zero to WPMR.WPEN. Write attempts to a write-protected register are detected and the Write Protect Violation Status bit in the Write Protect Status Register (WPSR.WPVS) is set. The Write Protect Violation Source field (WPSR.WPVSRC) indicates the target register. Writing the correct key to the Write Protect KEY bit (WPMR.WPKEY) clears WPSR. WPVSRC and WPSR.WPVS. The protected registers are: * "Mode Register" on page 596 * "Baud Rate Generator Register" on page 607 * "Receiver Time-out Register" on page 609 * "Transmitter Timeguard Register" on page 610 * "FI DI Ratio Register" on page 611 * "IrDA Filter Register" on page 613 591 32072H-AVR32-10/2012 AT32UC3A3 * "Manchester Configuration Register" on page 614 592 32072H-AVR32-10/2012 AT32UC3A3 25.7 User Interface Table 25-17. USART Register Memory Map Offset Register Name Access Reset 0x00 Control Register CR Write-only 0x00000000 0x04 Mode Register MR Read-write 0x00000000 0x08 Interrupt Enable Register IER Write-only 0x00000000 0x0C Interrupt Disable Register IDR Write-only 0x00000000 0x010 Interrupt Mask Register IMR Read-only 0x00000000 0x14 Channel Status Register CSR Read-only 0x00000000 0x18 Receiver Holding Register RHR Read-only 0x00000000 0x1C Transmitter Holding Register THR Write-only 0x00000000 0x20 Baud Rate Generator Register BRGR Read-write 0x00000000 0x24 Receiver Time-out Register RTOR Read-write 0x00000000 0x28 Transmitter Timeguard Register TTGR Read-write 0x00000000 0x40 FI DI Ratio Register FIDI Read-write 0x00000174 0x44 Number of Errors Register NER Read-only 0x00000000 0x4C IrDA Filter Register IFR Read-write 0x00000000 0x50 Manchester Configuration Register MAN Read-write 0x30011004 0x54 LIN Mode Register LINMR Read-write 0x00000000 0x58 LIN Identifier Register LINIR Read-write 0x00000000 0xE4 Write Protect Mode Register WPMR Read-write 0x00000000 0xE8 Write Protect Status Register WPSR Read-only 0x00000000 0xFC Version Register VERSION Read-only -(1) Note: 1. Values in the Version Register vary with the version of the IP block implementation. 593 32072H-AVR32-10/2012 AT32UC3A3 25.7.1 Name: Control Register CR Access Type: Write-only Offset: 0x00 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 LINWKUP 20 LINABT 19 RTSDIS/RCS 18 RTSEN/FCS 17 DTRDIS 16 DTREN 15 RETTO 14 RSTNACK 13 RSTIT 12 SENDA 11 STTTO 10 STPBRK 9 STTBRK 8 RSTSTA 7 TXDIS 6 TXEN 5 RXDIS 4 RXEN 3 RSTTX 2 RSTRX 1 - 0 - * LINWKUP: Send LIN Wakeup Signal Writing a zero to this bit has no effect. Writing a one to this bit will send a wakeup signal on the LIN bus. * LINABT: Abort LIN Transmission Writing a zero to this bit has no effect. Writing a one to this bit will abort the current LIN transmission. * RTSDIS/RCS: Request to Send Disable/Release SPI Chip Select Writing a zero to this bit has no effect. Writing a one to this bit when USART is not in SPI master mode drives RTS high. Writing a one to this bit when USART is in SPI master mode releases NSS (RTS pin). * RTSEN/FCS: Request to Send Enable/Force SPI Chip Select Writing a zero to this bit has no effect. Writing a one to this bit when USART is not in SPI master mode drives RTS low. Writing a one to this bit when USART is in SPI master mode forces NSS (RTS pin) low, even if USART is not transmitting, in order to address SPI slave devices supporting the CSAAT Mode (Chip Select Active After Transfer). * DTRDIS: Data Terminal Ready Disable Writing a zero to this bit has no effect. Writing a one to this bit drives DTR high. * DTREN: Data Terminal Ready Enable Writing a zero to this bit has no effect. Writing a one to this bit drives DTR low. * RETTO: Rearm Time-out Writing a zero to this bit has no effect. Writing a one to this bit reloads the time-out counter and clears CSR.TIMEOUT. * RSTNACK: Reset Non Acknowledge Writing a zero to this bit has no effect. Writing a one to this bit clears CSR.NACK. * RSTIT: Reset Iterations Writing a zero to this bit has no effect. Writing a one to this bit clears CSR.ITER if ISO7816 is enabled (MR.MODE is 0x4 or 0x6) 594 32072H-AVR32-10/2012 AT32UC3A3 * SENDA: Send Address Writing a zero to this bit has no effect. Writing a one to this bit will in multidrop mode send the next character written to THR as an address. * STTTO: Start Time-out Writing a zero to this bit has no effect. Writing a one to this bit will abort any current time-out count down, and trigger a new count down when the next character has been received. CSR.TIMEOUT is also cleared. * STPBRK: Stop Break Writing a zero to this bit has no effect. Writing a one to this bit will stop the generation of break signal characters, and then send ones for TTGR.TG duration, or at least 12 bit periods. No effect if no break is being transmitted. * STTBRK: Start Break Writing a zero to this bit has no effect. Writing a one to this bit will start transmission of break characters when current characters present in THR and the transmit shift register have been sent. No effect if a break signal is already being generated. CSR.TXRDY and CSR.TXEMPTY will be cleared. * RSTSTA: Reset Status Bits Writing a zero to this bit has no effect. Writing a one to this bit will clear the following bits in CSR: PARE, FRAME, OVRE, MANERR, LINBE, LINISFE, LINIPE, LINCE, LINSNRE, LINTC, LINIR, UNRE, and RXBRK. * TXDIS: Transmitter Disable Writing a zero to this bit has no effect. Writing a one to this bit disables the transmitter. * TXEN: Transmitter Enable Writing a zero to this bit has no effect. Writing a one to this bit enables the transmitter if TXDIS is zero. * RXDIS: Receiver Disable Writing a zero to this bit has no effect. Writing a one to this bit disables the receiver. * RXEN: Receiver Enable Writing a zero to this bit has no effect. Writing a one to this bit enables the receiver if RXDIS is zero. * RSTTX: Reset Transmitter Writing a zero to this bit has no effect. Writing a one to this bit will reset the transmitter. * RSTRX: Reset Receiver Writing a zero to this bit has no effect. Writing a one to this bit will reset the receiver. 595 32072H-AVR32-10/2012 AT32UC3A3 25.7.2 Name: Mode Register MR Access Type: Read-write Offset: 0x04 Reset Value: 0x00000000 31 ONEBIT 30 MODSYNC 29 MAN 28 FILTER 27 - 26 25 MAX_ITERATION 24 23 - 22 VAR_SYNC 21 DSNACK 20 INACK 19 OVER 18 CLKO 17 MODE9 16 MSBF/CPOL 14 13 12 11 10 PAR 9 8 SYNC/CPHA 4 3 2 1 0 15 CHMODE 7 NBSTOP 6 CHRL 5 USCLKS MODE This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * ONEBIT: Start Frame Delimiter Selector 0: The start frame delimiter is a command or data sync, as defined by MODSYNC. 1: The start frame delimiter is a normal start bit, as defined by MODSYNC. * MODSYNC: Manchester Synchronization Mode 0: The manchester start bit is either a 0-to-1 transition, or a data sync. 1: The manchester start bit is either a 1-to-0 transition, or a command sync. * MAN: Manchester Encoder/Decoder Enable 0: Manchester endec is disabled. 1: Manchester endec is enabled. * FILTER: Infrared Receive Line Filter 0: The USART does not filter the receive line. 1: The USART filters the receive line by doing three consecutive samples and uses the majority value. * MAX_ITERATION This field determines the number of acceptable consecutive NACKs when in protocol T=0. * VAR_SYNC: Variable Synchronization of Command/Data Sync Start Frame Delimiter 0: Sync pattern according to MODSYNC. 1: Sync pattern according to THR.TXSYNH. * DSNACK: Disable Successive NACK 0: NACKs are handled as normal, unless disabled by INACK. 1: The receiver restricts the amount of consecutive NACKs by MAX_ITERATION value. If MAX_ITERATION=0 no NACK will be issued and the first erroneous message is accepted as a valid character, setting CSR.ITER. * INACK: Inhibit Non Acknowledge 0: The NACK is generated. 1: The NACK is not generated. * OVER: Oversampling Mode 0: Oversampling at 16 times the baud rate. 1: Oversampling at 8 times the baud rate. * CLKO: Clock Output Select 0: The USART does not drive the CLK pin. 1: The USART drives the CLK pin unless USCLKS selects the external clock. 596 32072H-AVR32-10/2012 AT32UC3A3 * MODE9: 9-bit Character Length 0: CHRL defines character length. 1: 9-bit character length. * MSBF/CPOL: Bit Order or SPI Clock Polarity If USART does not operate in SPI Mode: MSBF=0: Least Significant Bit is sent/received first. MSBF=1: Most Significant Bit is sent/received first. If USART operates in SPI Mode, CPOL is used with CPHA to produce the required clock/data relationship between devices. CPOL=0: The inactive state value of CLK is logic level zero. CPOL=1: The inactive state value of CLK is logic level one. * CHMODE: Channel Mode Table 25-18. CHMODE Mode Description 0 0 Normal Mode 0 1 Automatic Echo. Receiver input is connected to the TXD pin. 1 0 Local Loopback. Transmitter output is connected to the Receiver input. 1 1 Remote Loopback. RXD pin is internally connected to the TXD pin. * NBSTOP: Number of Stop Bits Table 25-19. NBSTOP Asynchronous (SYNC=0) Synchronous (SYNC=1) 0 0 1 stop bit 1 stop bit 0 1 1.5 stop bits Reserved 1 0 2 stop bits 2 stop bits 1 1 Reserved Reserved * PAR: Parity Type Table 25-20. PAR Parity Type 0 0 0 Even parity 0 0 1 Odd parity 0 1 0 Parity forced to 0 (Space) 0 1 1 Parity forced to 1 (Mark) 1 0 x No parity 1 1 x Multidrop mode * SYNC/CPHA: Synchronous Mode Select or SPI Clock Phase If USART does not operate in SPI Mode (MR.MODE is not equal to 0xE or 0xF): SYNC = 0: USART operates in Asynchronous mode. SYNC = 1: USART operates in Synchronous mode. If USART operates in SPI Mode, CPHA determines which edge of CLK causes data to change and which edge causes data to be captured. CPHA is used with CPOL to produce the required clock/data relationship between master and slave devices. CPHA = 0: Data is changed on the leading edge of CLK and captured on the following edge of CLK. 597 32072H-AVR32-10/2012 AT32UC3A3 CPHA = 1: Data is captured on the leading edge of CLK and changed on the following edge of CLK. * CHRL: Character Length. Table 25-21. CHRL Character Length 0 0 5 bits 0 1 6 bits 1 0 7 bits 1 1 8 bits * USCLKS: Clock Selection Table 25-22. USCLKS Note: Selected Clock 0 0 CLK_USART 0 1 CLK_USART/DIV(1) 1 0 Reserved 1 1 CLK 1. The value of DIV is device dependent. Please refer to the Module Configuration section at the end of this chapter. * MODE Table 25-23. MODE Mode of the USART 0 0 0 0 Normal 0 0 0 1 RS485 0 0 1 0 Hardware Handshaking 0 0 1 1 Modem 0 1 0 0 IS07816 Protocol: T = 0 0 1 1 0 IS07816 Protocol: T = 1 1 0 0 0 IrDA 1 0 1 0 LIN Master 1 0 1 1 LIN Slave 1 1 1 0 SPI Master 1 1 1 1 SPI Slave Others Reserved 598 32072H-AVR32-10/2012 AT32UC3A3 25.7.3 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x08 Reset Value: 0x00000000 * * * * * * * * * * * * * * * * * * * * * * * 31 - 30 - 29 LINSNRE 28 LINCE 27 LINIPE 26 LINISFE 25 LINBE 24 MANEA 23 - 22 - 21 - 20 MANE 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 LINTC 14 LINIR 13 NACK 12 RXBUFF 11 - 10 ITER/UNRE 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 - 3 - 2 RXBRK 1 TXRDY 0 RXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. LINSNRE: LIN Slave Not Responding Error LINCE: LIN Checksum Error LINIPE: LIN Identifier Parity Error LINISFE: LIN Inconsistent Sync Field Error LINBE: LIN Bit Error MANEA/MANE: Manchester Error CTSIC: Clear to Send Input Change Flag DCDIC: Data Carrier Detect Input Change Flag DSRIC: Data Set Ready Input Change Flag RIIC: Ring Indicator Input Change Flag LINTC: LIN Transfer Completed LINIDR: LIN Identifier NACK: Non Acknowledge RXBUFF: Reception Buffer Full ITER/UNRE: Max number of Repetitions Reached or SPI Underrun Error TXEMPTY: Transmitter Empty TIMEOUT: Receiver Time-out PARE: Parity Error FRAME: Framing Error OVRE: Overrun Error RXBRK: Break Received/End of Break TXRDY: Transmitter Ready RXRDY: Receiver Ready For backward compatibility the MANE bit has been duplicated to the MANEA bit position. Writing either one or the other has the same effect. The corresponding bit in CSR and the corresponding interrupt request are named MANERR. 599 32072H-AVR32-10/2012 AT32UC3A3 25.7.4 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x0C Reset Value: 0x00000000 * * * * * * * * * * * * * * * * * * * * * * * 31 - 30 - 29 LINSNRE 28 LINCE 27 LINIPE 26 LINISFE 25 LINBE 24 MANEA 23 - 22 - 21 - 20 MANE 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 LINTC 14 LINIR 13 NACK 12 RXBUFF 11 - 10 ITER/UNRE 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 - 3 - 2 RXBRK 1 TXRDY 0 RXRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. LINSNRE: LIN Slave Not Responding Error LINCE: LIN Checksum Error LINIPE: LIN Identifier Parity Error LINISFE: LIN Inconsistent Sync Field Error LINBE: LIN Bit Error MANEA/MANE: Manchester Error CTSIC: Clear to Send Input Change Flag DCDIC: Data Carrier Detect Input Change Flag DSRIC: Data Set Ready Input Change Flag RIIC: Ring Indicator Input Change Flag LINTC: LIN Transfer Completed LINIDR: LIN Identifier NACK: Non Acknowledge RXBUFF: Reception Buffer Full ITER/UNRE: Max number of Repetitions Reached or SPI Underrun Error TXEMPTY: Transmitter Empty TIMEOUT: Receiver Time-out PARE: Parity Error FRAME: Framing Error OVRE: Overrun Error RXBRK: Break Received/End of Break TXRDY: Transmitter Ready RXRDY: Receiver Ready For backward compatibility the MANE bit has been duplicated to the MANEA bit position. Writing either one or the other has the same effect. The corresponding bit in CSR and the corresponding interrupt request are named MANERR. 600 32072H-AVR32-10/2012 AT32UC3A3 25.7.5 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x10 Reset Value: 0x00000000 * * * * * * * * * * * * * * * * * * * * * * * 31 - 30 - 29 LINSNRE 28 LINCE 27 LINIPE 26 LINISFE 25 LINBE 24 MANEA 23 - 22 - 21 - 20 MANE 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 LINTC 14 LINIR 13 NACK 12 RXBUFF 11 - 10 ITER/UNRE 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 - 3 - 2 RXBRK 1 TXRDY 0 RXRDY 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is set when the corresponding bit in IER is written to one. LINSNRE: LIN Slave Not Responding Error LINCE: LIN Checksum Error LINIPE: LIN Identifier Parity Error LINISFE: LIN Inconsistent Sync Field Error LINBE: LIN Bit Error MANEA/MANE: Manchester Error CTSIC: Clear to Send Input Change Flag DCDIC: Data Carrier Detect Input Change Flag DSRIC: Data Set Ready Input Change Flag RIIC: Ring Indicator Input Change Flag LINTC: LIN Transfer Completed LINIDR: LIN Identifier NACK: Non Acknowledge RXBUFF: Reception Buffer Full ITER/UNRE: Max number of Repetitions Reached or SPI Underrun Error TXEMPTY: Transmitter Empty TIMEOUT: Receiver Time-out PARE: Parity Error FRAME: Framing Error OVRE: Overrun Error RXBRK: Break Received/End of Break TXRDY: Transmitter Ready RXRDY: Receiver Ready For backward compatibility the MANE bit has been duplicated to the MANEA bit position. Reading either one or the other has the same effect. The corresponding bit in CSR and the corresponding interrupt request are named MANERR. 601 32072H-AVR32-10/2012 AT32UC3A3 25.7.6 Name: Channel Status Register CSR Access Type: Read-only Offset: 0x14 Reset Value: 0x00000000 31 - 30 - 29 LINSNRE 28 LINCE 27 LINIPE 26 LINISFE 25 LINBE 24 MANERR 23 CTS 22 DCD 21 DSR 20 RI 19 CTSIC 18 DCDIC 17 DSRIC 16 RIIC 15 LINTC 14 LINIR 13 NACK 12 RXBUFF 11 - 10 ITER/UNRE 9 TXEMPTY 8 TIMEOUT 7 PARE 6 FRAME 5 OVRE 4 - 3 - 2 RXBRK 1 TXRDY 0 RXRDY * LINSNRE: LIN Slave Not Responding Error 0: No LIN Slave Not Responding Error has been detected since the last RSTSTA. 1: A LIN Slave Not Responding Error has been detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * LINCE: LIN Checksum Error 0: No LIN Checksum Error has been detected since the last RSTSTA. 1: A LIN Checksum Error has been detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * LINIPE: LIN Identifier Parity Error 0: No LIN Identifier Parity Error has been detected since the last RSTSTA. 1: A LIN Identifier Parity Error has been detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * LINISFE: LIN Inconsistent Sync Field Error 0: No LIN Inconsistent Sync Field Error has been detected since the last RSTSTA 1: The USART is configured as a Slave node and a LIN Inconsistent Sync Field Error has been detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * LINBE: LIN Bit Error 0: No Bit Error has been detected since the last RSTSTA. 1: A Bit Error has been detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * MANERR: Manchester Error 0: No Manchester error has been detected since the last RSTSTA. 1: At least one Manchester error has been detected since the last RSTSTA. * CTS: Image of CTS Input 0: CTS is low. 1: CTS is high. * DCD: Image of DCD Input 0: DCD is low. 1: DCD is high. * DSR: Image of DSR Input 0: DSR is low. 602 32072H-AVR32-10/2012 AT32UC3A3 1: DSR is high. * RI: Image of RI Input 0: RI is low. 1: RI is high. * CTSIC: Clear to Send Input Change Flag 0: No change has been detected on the CTS pin since the last CSR read. 1: At least one change has been detected on the CTS pin since the last CSR read. This bit is cleared when reading CSR. * DCDIC: Data Carrier Detect Input Change Flag 0: No change has been detected on the DCD pin since the last CSR read. 1: At least one change has been detected on the DCD pin since the last CSR read. This bit is cleared when reading CSR. * DSRIC: Data Set Ready Input Change Flag 0: No change has been detected on the DSR pin since the last CSR read. 1: At least one change has been detected on the DSR pin since the last CSR read. This bit is cleared when reading CSR. * RIIC: Ring Indicator Input Change Flag 0: No change has been detected on the RI pin since the last CSR read. 1: At least one change has been detected on the RI pin since the last CSR read. This bit is cleared when reading CSR. * LINTC: LIN Transfer Completed 0: The USART is either idle or a LIN transfer is ongoing. 1: A LIN transfer has been completed since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA: * LINIR: LIN Identifier 0: No LIN Identifier has been sent or received. 1: A LIN Identifier has been sent (master) or received (slave), since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA: * NACK: Non Acknowledge 0: No Non Acknowledge has been detected since the last RSTNACK. 1: At least one Non Acknowledge has been detected since the last RSTNACK. This bit is cleared by writing a one to CR.RSTNACK. * RXBUFF: Reception Buffer Full 0: The Buffer Full signal from the Peripheral DMA Controller channel is inactive. 1: The Buffer Full signal from the Peripheral DMA Controller channel is active. * ITER/UNRE: Max Number of Repetitions Reached or SPI Underrun Error If USART operates in SPI Slave Mode: UNRE = 0: No SPI underrun error has occurred since the last RSTSTA. UNRE = 1: At least one SPI underrun error has occurred since the last RSTSTA. If USART does not operate in SPI Slave Mode, no functionality is associated to UNRE. The bit will behave as ITER if the USART is in ISO7816 mode: ITER = 0: Maximum number of repetitions has not been reached since the last RSTSTA. ITER = 1: Maximum number of repetitions has been reached since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * TXEMPTY: Transmitter Empty 0: The transmitter is either disabled or there are characters in THR, or in the transmit shift register. 1: There are no characters in neither THR, nor in the transmit shift register. This bit is cleared by writing a one to CR.STTBRK. * TIMEOUT: Receiver Time-out 0: There has not been a time-out since the last Start Time-out command (CR.STTTO), or RTOR.TO is zero. 1: There has been a time-out since the last Start Time-out command. This bit is cleared by writing a one to CR.STTTO or CR.RETTO. 603 32072H-AVR32-10/2012 AT32UC3A3 * PARE: Parity Error 0: Either no parity error has been detected, or the parity bit is a zero in multidrop mode, since the last RSTSTA. 1: Either at least one parity error has been detected, or the parity bit is a one in multidrop mode, since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * FRAME: Framing Error 0: No stop bit has been found as low since the last RSTSTA. 1: At least one stop bit has been found as low since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * OVRE: Overrun Error 0: No overrun error has occurred since the last RSTSTA. 1: At least one overrun error has occurred since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * RXBRK: Break Received/End of Break 0: No Break received or End of Break detected since the last RSTSTA. 1: Break received or End of Break detected since the last RSTSTA. This bit is cleared by writing a one to CR.RSTSTA. * TXRDY: Transmitter Ready 0: The transmitter is either disabled, or a character in THR is waiting to be transferred to the transmit shift register, or an STTBRK command has been requested. As soon as the transmitter is enabled, TXRDY is set. 1: There is no character in the THR. This bit is cleared by writing a one to CR.STTBRK. * RXRDY: Receiver Ready 0: The receiver is either disabled, or no complete character has been received since the last read of RHR. If characters were being received when the receiver was disabled, RXRDY is set when the receiver is enabled. 1: At least one complete character has been received and RHR has not yet been read. This bit is cleared when the Receive Holding Register (RHR) is read. 604 32072H-AVR32-10/2012 AT32UC3A3 25.7.7 Name: Receiver Holding Register RHR Access Type: Read-only Offset: 0x18 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 RXSYNH 14 - 13 - 12 - 11 - 10 - 9 - 8 RXCHR[8] 7 6 5 4 3 2 1 0 RXCHR[7:0] Reading this register will clear the CSR.RXRDY bit. * RXSYNH: Received Sync 0: Last character received is a data sync. 1: Last character received is a command sync. * RXCHR: Received Character Last received character. 605 32072H-AVR32-10/2012 AT32UC3A3 25.7.8 Name: Transmitter Holding Register THR Access Type: Write-only Offset: 0x1C Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 TXSYNH 14 - 13 - 12 - 11 - 10 - 9 - 8 TXCHR[8] 7 6 5 4 3 2 1 0 TXCHR[7:0] * TXSYNH: Sync Field to be transmitted 0: If MR.VARSYNC is one, the next character sent is encoded as data, and the start frame delimiter is a data sync. 1: If MR.VARSYNC is one, the next character sent is encoded as a command, and the start frame delimiter is a command sync. * TXCHR: Character to be Transmitted If TXRDY is zero this field contains the next character to be transmitted. 606 32072H-AVR32-10/2012 AT32UC3A3 25.7.9 Name: Baud Rate Generator Register BRGR Access Type: Read-write Offset: 0x20 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 17 FP 16 15 14 13 12 11 10 9 8 3 2 1 0 CD[15:8] 7 6 5 4 CD[7:0] This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * FP: Fractional Part 0: Fractional divider is disabled. 1 - 7: Baud rate resolution, defined by FP x 1/8. * CD: Clock Divider Table 25-24. Baud Rate in Asynchronous Mode (MR.SYNC is 0) CD OVER = 0 0 1 to 65535 OVER = 1 Baud Rate Clock Disabled ClockBaud Rate = Selected --------------------------------------16 CD ClockBaud Rate = Selected --------------------------------------8 CD Table 25-25. Baud Rate in Synchronous Mode (MR.SYNC is 1) and SPI Mode(MR.MODE is 0xE or 0xF) CD Baud Rate 0 Baud Rate Clock Disabled 1 to 65535 ClockBaud Rate = Selected --------------------------------------CD 607 32072H-AVR32-10/2012 AT32UC3A3 Table 25-26. Baud Rate in ISO7816 Mode CD Baud Rate 0 Baud Rate Clock Disabled 1 to 65535 Selected Clock Baud Rate = -----------------------------------------------FI_DI_RATIO CD 608 32072H-AVR32-10/2012 AT32UC3A3 25.7.10 Name: Receiver Time-out Register RTOR Access Type: Read-write Offset: 0x24 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 TO[16] 15 14 13 12 11 10 9 8 3 2 1 0 TO[15:8] 7 6 5 4 TO[7:0] This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * TO: Time-out Value 0: The receiver Time-out is disabled. 1 - 131071: The receiver Time-out is enabled and the time-out delay is TO x bit period. Note that the size of the TO counter is device dependent, please refer to the Module Configuration section. 609 32072H-AVR32-10/2012 AT32UC3A3 25.7.11 Name: Transmitter Timeguard Register TTGR Access Type: Read-write Offset: 0x28 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 6 5 4 3 2 1 0 TG This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * TG: Timeguard Value 0: The transmitter Timeguard is disabled. 1 - 255: The transmitter timeguard is enabled and the timeguard delay is TG bit periods. 610 32072H-AVR32-10/2012 AT32UC3A3 25.7.12 Name: FI DI Ratio Register FIDI Access Type: Read-write Offset: 0x40 Reset Value: 0x00000174 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 9 FI_DI_RATIO[10:8] 8 7 6 5 4 3 FI_DI_RATIO[7:0] 2 1 0 This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * FI_DI_RATIO: FI Over DI Ratio Value 0: If ISO7816 mode is selected, the baud rate generator does not generate a signal. 1 - 2047: If ISO7816 mode is selected, the baud rate is the clock provided on CLK divided by FI_DI_RATIO. 611 32072H-AVR32-10/2012 AT32UC3A3 25.7.13 Name: Number of Errors Register NER Access Type: Read-only Offset: 0x44 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 6 5 4 3 2 1 0 NB_ERRORS * NB_ERRORS: Number of Errors Total number of errors that occurred during an ISO7816 transfer. This register is automatically cleared when read. 612 32072H-AVR32-10/2012 AT32UC3A3 25.7.14 Name: IrDA Filter Register IFR Access Type: Read-write Offset: 0x4C Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 6 5 4 3 2 1 0 IRDA_FILTER This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * IRDA_FILTER: IrDA Filter Configures the IrDA demodulator filter. 613 32072H-AVR32-10/2012 AT32UC3A3 25.7.15 Name: Manchester Configuration Register MAN Access Type: Read-write Offset: 0x50 Reset Value: 0x30011004 31 - 30 DRIFT 29 1 28 RX_MPOL 27 - 26 - 25 23 - 22 - 21 - 20 - 19 18 17 15 - 14 - 13 - 12 TX_MPOL 11 - 10 - 7 - 6 - 5 - 4 - 3 2 24 RX_PP 16 RX_PL 9 8 TX_PP 1 0 TX_PL This register can only be written if write protection is disabled in the "Write Protect Mode Register" (WPMR.WPEN is zero). * DRIFT: Drift cCompensation 0: The USART can not recover from a clock drift. 1: The USART can recover from clock drift (only available in 16x oversampling mode). * RX_MPOL: Receiver Manchester Polarity 0: Zeroes are encoded as zero-to-one transitions, and ones are encoded as a one-to-zero transitions. 1: Zeroes are encoded as one-to-zero transitions, and ones are encoded as a zero-to-one transitions. * RX_PP: Receiver Preamble Pattern detected Table 25-27. RX_PP Preamble Pattern default polarity assumed (RX_MPOL field not set) 0 0 ALL_ONE 0 1 ALL_ZERO 1 0 ZERO_ONE 1 1 ONE_ZERO * RX_PL: Receiver Preamble Length 0: The receiver preamble pattern detection is disabled. 1 - 15: The detected preamble length is RX_PL bit periods. * TX_MPOL: Transmitter Manchester Polarity 0: Zeroes are encoded as zero-to-one transitions, and ones are encoded as a one-to-zero transitions. 1: Zeroes are encoded as one-to-zero transitions, and ones are encoded as a zero-to-one transitions. 614 32072H-AVR32-10/2012 AT32UC3A3 * TX_PP: Transmitter Preamble Pattern Table 25-28. TX_PP Preamble Pattern default polarity assumed (TX_MPOL field not set) 0 0 ALL_ONE 0 1 ALL_ZERO 1 0 ZERO_ONE 1 1 ONE_ZERO * TX_PL: Transmitter Preamble Length 0: The transmitter preamble pattern generation is disabled. 1 - 15: The preamble length is TX_PL bit periods. 615 32072H-AVR32-10/2012 AT32UC3A3 25.7.16 Name: LIN Mode Register LINMR Access Type: Read-write Offset: 0x54 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 PDCM 15 14 13 12 11 10 9 8 3 CHKDIS 2 PARDIS 1 DLC 7 WKUPTYP 6 FSDIS 5 DLM 4 CHKTYP 0 NACT * PDCM: Peripheral DMA Controller Mode 0: The LIN mode register is not written by the Peripheral DMA Controller. 1: The LIN mode register, except for this bit, is written by the Peripheral DMA Controller. * DLC: Data Length Control 0 - 255: If DLM=0 this field defines the response data length to DLC+1 bytes. * WKUPTYP: Wakeup Signal Type 0: Writing a one to CR.LINWKUP will send a LIN 2.0 wakeup signal. 1: Writing a one to CR.LINWKUP will send a LIN 1.3 wakeup signal. * FSDIS: Frame Slot Mode Disable 0: The Frame Slot mode is enabled. 1: The Frame Slot mode is disabled. * DLM: Data Length Mode 0: The response data length is defined by DLC. 1: The response data length is defined by bits 4 and 5 of the Identifier (LINIR.IDCHR). * CHKTYP: Checksum Type 0: LIN 2.0 "Enhanced" checksum 1: LIN 1.3 "Classic" checksum * CHKDIS: Checksum Disable 0: Checksum is automatically computed and sent when master, and checked when slave. 1: Checksum is not computed and sent, nor checked. * PARDIS: Parity Disable 0: Identifier parity is automatically computed and sent when master, and checked when slave. 1: Identifier parity is not computed and sent, nor checked. * NACT: LIN Node Action Table 25-29. NACT 0 Mode Description 0 PUBLISH: The USART transmits the response. 616 32072H-AVR32-10/2012 AT32UC3A3 Table 25-29. 0 1 SUBSCRIBE: The USART receives the response. 1 0 IGNORE: The USART does not transmit and does not receive the response. 1 1 Reserved 617 32072H-AVR32-10/2012 AT32UC3A3 25.7.17 Name: LIN Identifier Register LINIR Access Type: Read-write or Read-only Offset: 0x58 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 6 5 4 3 2 1 0 IDCHR * IDCHR: Identifier Character If USART is in LIN master mode, the IDCHR field is read-write, and its value is the Identifier character to be transmitted. If USART is in LIN slave mode, the IDCHR field is read-only, and its value is the last received Identifier character. 618 32072H-AVR32-10/2012 AT32UC3A3 25.7.18 Write Protect Mode Register Register Name: WPMR Access Type: Read-write Offset: 0xE4 Reset Value: See Table 25-17 31 30 29 28 27 WPKEY[23:16] 26 25 24 23 22 21 20 19 18 17 16 11 10 9 8 3 - 2 - 1 - 0 WPEN WPKEY[15:8] 15 14 13 12 WPKEY[7:0] 7 - 6 - 5 - 4 - * WPKEY: Write Protect KEY Has to be written to 0x555341 ("USA" in ASCII) in order to successfully write WPEN. This bit always reads as zero. Writing the correct key to this field clears WPSR.WPVSRC and WPSR.WPVS. * WPEN: Write Protect Enable 0: Write protection disabled. 1: Write protection enabled. Protects the registers: * "Mode Register" on page 596 * "Baud Rate Generator Register" on page 607 * "Receiver Time-out Register" on page 609 * "Transmitter Timeguard Register" on page 610 * "FI DI Ratio Register" on page 611 * "IrDA Filter Register" on page 613 * "Manchester Configuration Register" on page 614 619 32072H-AVR32-10/2012 AT32UC3A3 25.7.19 Write Protect Status Register Register Name: WPSR Access Type: Read-only Offset: 0xE8 Reset Value: See Table 25-17 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 22 21 20 19 WPVSRC[15:8] 18 17 16 15 14 13 12 11 10 9 8 3 - 2 - 1 - 0 WPVS WPVSRC[7:0] 7 - 6 - 5 - 4 - * WPVSRC: Write Protect Violation Source If WPVS is one, this field indicates which write-protected register was unsuccessfully written to, either by address offset or code. * WPVS: Write Protect Violation Status 0: No write protect violation has occurred since the last WPSR read. 1: A write protect violation has occurred since the last WPSR read. Note: Reading WPSR automatically clears all fields. Writing the correct key to WPSR.WPKEY clears all fields. 620 32072H-AVR32-10/2012 AT32UC3A3 25.7.20 Version Register Name: VERSION Access Type: Read-only Offset: 0xFC Reset Value: - 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 18 17 16 15 - 14 - 13 - 12 - 11 10 9 VERSION[11:8] 8 7 6 5 4 3 2 0 MFN 1 VERSION[7:0] * MFN Reserved. No functionality associated. * VERSION Version of the module. No functionality associated. 26. 621 32072H-AVR32-10/2012 AT32UC3A3 26.1 Module Configuration The specific configuration for each USART instance is listed in the following tables.The module bus clocks listed here are connected to the system bus clocks according to the table in the System Bus Clock Connections section. Table 26-1. Feature USART0 USART2 USART3 USART1 SPI Logic Implemented Implemented LIN Logic Implemented Implemented Manchester Logic Not Implemented Implemented Modem Logic Not Implemented Implemented IRDA Logic Not Implemented Implemented RS485 Logic Not Implemented Implemented Fractional Baudrate Implemented Implemented ISO7816 Not Implemented Implemented DIV value for divided CLK_USART 8 8 Receiver Time-out Counter Size (Size of the RTOR.TO field) 8-bits 17-bits Table 26-2. 26.1.1 Module Configuration Module Clock Name Module name Clock name Description USART0 CLK_USART0 Peripheral Bus clock from the PBA clock domain USART1 CLK_USART1 Peripheral Bus clock from the PBA clock domain USART2 CLK_USART2 Peripheral Bus clock from the PBA clock domain USART3 CLK_USART3 Peripheral Bus clock from the PBA clock domain Clock Connections Each USART can be connected to an internally divided clock: Table 26-3. USART USART Clock Connections Source Name 0 Connection PBA Clock / 8 (CLK_PBA_USART_DIV) 1 PBA Clock / 8 (CLK_PBA_USART_DIV) Internal CLK_DIV 2 PBA Clock / 8 (CLK_PBA_USART_DIV) 3 PBA Clock / 8 (CLK_PBA_USART_DIV) 622 32072H-AVR32-10/2012 AT32UC3A3 26.1.2 Register Reset Values Table 26-4. Register Reset Values Register Reset Value VERSION 0x00000420 623 32072H-AVR32-10/2012 AT32UC3A3 27. Hi-Speed USB Interface (USBB) Rev: 3.2.0.18 27.1 Features * * * * * * * * 27.2 Compatible with the USB 2.0 specification Supports High (480Mbit/s), Full (12Mbit/s) and Low (1.5Mbit/s) speed Device and Embedded Host eight pipes/endpoints 2368bytes of Embedded Dual-Port RAM (DPRAM) for Pipes/Endpoints Up to 2 memory banks per Pipe/Endpoint (Not for Control Pipe/Endpoint) Flexible Pipe/Endpoint configuration and management with dedicated DMA channels On-Chip UTMI transceiver including Pull-Ups/Pull-downs On-Chip pad including VBUS analog comparator Overview The Universal Serial Bus (USB) MCU device complies with the Universal Serial Bus (USB) 2.0 specification, in all speeds. Each pipe/endpoint can be configured in one of several transfer types. It can be associated with one or more banks of a dual-port RAM (DPRAM) used to store the current data payload. If several banks are used ("ping-pong" mode), then one DPRAM bank is read or written by the CPU or the DMA while the other is read or written by the USBB core. This feature is mandatory for isochronous pipes/endpoints. Table 27-1 on page 624 describes the hardware configuration of the USB MCU device. Table 27-1. Description of USB Pipes/Endpoints Pipe/Endpoint Mnemonic Max. Size Max. Nb. Banks DMA Type 0 PEP0 64 bytes 1 N Control 1 PEP1 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control 2 PEP2 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control 3 PEP3 512 bytes 2 Y Isochronous/Bulk/Interrupt 4 PEP4 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control 5 PEP5 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control 6 PEP6 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control 7 PEP7 512 bytes 2 Y Isochronous/Bulk/Interrupt/Control The theoretical maximal pipe/endpoint configuration (3648bytes) exceeds the real DPRAM size (2368bytes). The user needs to be aware of this when configuring pipes/endpoints. To fully use the 2368bytes of DPRAM, the user could for example use the configuration described inTable 27-2 on page 624. Table 27-2. Example of Configuration of Pipes/Endpoints Using the Whole DPRAM Pipe/Endpoint Mnemonic Size Nb. Banks 0 PEP0 64 bytes 1 624 32072H-AVR32-10/2012 AT32UC3A3 Table 27-2. 27.3 Example of Configuration of Pipes/Endpoints Using the Whole DPRAM Pipe/Endpoint Mnemonic Size Nb. Banks 1 PEP1 512 bytes 2 2 PEP2 512 bytes 2 3 PEP3 256 bytes 1 Block Diagram The USBB provides a hardware device to interface a USB link to a data flow stored in a dual-port RAM (DPRAM). The UTMI transceiver requires an external 12MHz clock as a reference to its internal 480MHz PLL. The internal 480MHz PLL is used to clock an internal DLL module to recover the USB differential data at 480Mbit/s. Figure 27-1. USBB Block Diagram HSB Slave Slave Local HSB Slave Interface DPRAM HSB Mux Master HSB0 DMA Master HSB1 PEP Allocation USB_VBUS PB User Interface USB I/O Controller 2.0 Core USB_ID USB_VBOF DMFS DPFS UTMI DMHS DPHS GCLK_USBB 625 32072H-AVR32-10/2012 AT32UC3A3 27.4 Application Block Diagram Depending on the USB operating mode (device-only, reduced-host modes) and the power source (bus-powered or self-powered), there are different typical hardware implementations. 27.4.1 27.4.1.1 Device Mode Bus-Powered device Figure 27-2. Bus-Powered Device Application Block Diagram VDD 3.3 V Regulator USB I/O Controller 2.0 Core USB_VBUS USB Connector USB_ID VBus USB_VBOF ID DMFS 39 ohms DPFS 39 ohms UTMI DMHS DPHS DD+ GND 626 32072H-AVR32-10/2012 AT32UC3A3 27.4.1.2 Self-Powered device Figure 27-3. Self-powered Device Application Block Diagram USB I/O Controller 2.0 Core USB_VBUS USB Connector USB_ID VBus USB_VBOF ID DMFS 39 ohms DPFS 39 ohms UTMI D+ DMHS GND DPHS 27.4.2 D- Host Mode Figure 27-4. Host Application Block Diagram VDD 5V DC/DC Generator USB I/O Controller 2.0 Core USB_VBUS USB Connector USB_ID VBus USB_VBOF ID DMFS 39 ohms DPFS 39 ohms UTMI DMHS DPHS DD+ GND 627 32072H-AVR32-10/2012 AT32UC3A3 27.5 I/O Lines Description Table 27-3. I/O Lines Description PIn Name Pin Description Type Active Level USB_VBOF USB VBus On/Off: Bus Power Control Port Output VBUSPO USB_VBUS VBus: Bus Power Measurement Port DMFS FS Data -: Full-Speed Differential Data Line - Port Input/Output DPFS FS Data +: Full-Speed Differential Data Line + Port Input/Output DMHS HS Data -: Hi-Speed Differential Data Line - Port Input/Output DPHS HS Data +: Hi-Speed Differential Data Line + Port Input/Output USB_ID USB Identification: Mini Connector Identification Port Input Input Low: Mini-A plug High Z: Mini-B plug 628 32072H-AVR32-10/2012 AT32UC3A3 27.6 Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 27.6.1 I/O Lines The USB_VBOF and USB_ID pins are multiplexed with I/O Controller lines and may also be multiplexed with lines of other peripherals. In order to use them with the USB, the user must first configure the I/O Controller to assign them to their USB peripheral functions. If USB_ID is used, the I/O Controller must be configured to enable the internal pull-up resistor of its pin. If USB_VBOF or USB_ID is not used by the application, the corresponding pin can be used for other purposes by the I/O Controller or by other peripherals. 27.6.2 Clocks The clock for the USBB bus interface (CLK_USBB) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the USBB before disabling the clock, to avoid freezing the USBB in an undefined state. The UTMI transceiver needs a 12MHz clock as a clock reference for its internal 480MHz PLL. Before using the USB, the user must ensure that this 12 MHz clock is available. The 12 MHz input is connected to a Generic Clock (GCLK_USBB) provided by the Power Manager. 27.6.3 Interrupts The USBB interrupt request line is connected to the interrupt controller. Using the USBB interrupt requires the interrupt controller to be programmed first. 629 32072H-AVR32-10/2012 AT32UC3A3 27.7 Functional Description 27.7.1 USB General Operation 27.7.1.1 Introduction After a hardware reset, the USBB is disabled. When enabled, the USBB runs either in device mode or in host mode according to the ID detection. If the USB_ID pin is not connected to ground, the USB_ID Pin State bit in the General Status register (USBSTA.ID) is set (the internal pull-up resistor of the USB_ID pin must be enabled by the I/O Controller) and device mode is engaged. The USBSTA.ID bit is cleared when a low level has been detected on the USB_ID pin. Host mode is then engaged. 27.7.1.2 Power-On and reset Figure 27-5 on page 630 describes the USBB main states. Figure 27-5. General States Macro off: USBE = 0 Clock stopped: FRZCLK = 1 USBE = 0 Reset HW RESET USBE = 1 ID = 1 USBE = 0 USBE = 1 ID = 0 Device USBE = 0 Host After a hardware reset, the USBB is in the Reset state. In this state: * The macro is disabled. The USBB Enable bit in the General Control register (USBCON.USBE) is zero. * The macro clock is stopped in order to minimize power consumption. The Freeze USB Clock bit in USBCON (USBON.FRZCLK) is set. * The UTMI is in suspend mode. * The internal states and registers of the device and host modes are reset. * The DPRAM is not cleared and is accessible. * The USBSTA.ID bit and the VBus Level bit in the UBSTA (UBSTA.VBUS) reflect the states of the USB_ID and USB_VBUS input pins. * The OTG Pad Enable (OTGPADE) bit, the VBus Polarity (VBUSPO) bit, the FRZCLK bit, the USBE bit, the USB_ID Pin Enable (UIDE) bit, the USBB Mode (UIMOD) bit in USBCON, and the Low-Speed Mode Force bit in the Device General Control (UDCON.LS) register can be written by software, so that the user can program pads and speed before enabling the macro, but their value is only taken into account once the macro is enabled and unfrozen. 630 32072H-AVR32-10/2012 AT32UC3A3 After writing a one to USBCON.USBE, the USBB enters the Device or the Host mode (according to the ID detection) in idle state. The USBB can be disabled at any time by writing a zero to USBCON.USBE. In fact, writing a zero to USBCON.USBE acts as a hardware reset, except that the OTGPADE, VBUSPO, FRZCLK, UIDE, UIMOD and, LS bits are not reset. 27.7.1.3 Interrupts One interrupt vector is assigned to the USB interface. Figure 27-6 on page 632 shows the structure of the USB interrupt system. 631 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-6. Interrupt System USBSTA.IDTI USBCON.IDTE USBSTA.VBUSTI USBCON.VBUSTE USBSTA.SRPI UESTAX.TXINI USBCON.SRPE UECONX.TXINE USBSTA.VBERRI UECONX.RXOUTE USBSTA.BCERRI UECONX.RXSTPE USBSTA.ROLEEXI UECONX.UNDERFE USBSTA.HNPERRI UECONX.NAKOUTE USBSTA.STOI UESTAX.RXOUTI USBCON.VBERRE UESTAX.RXSTPI USB General Interrupt USBCON.BCERRE UESTAX.UNDERFI USBCON.ROLEEXE UESTAX.NAKOUTI USBCON.HNPERRE UESTAX.HBISOINERRI USBCON.STOE UECONX.HBISOINERRE UESTAX.NAKINI UECONX.NAKINE UESTAX.HBISOFLUSHI UECONX.HBISOFLUSHE UESTAX.OVERFI UECONX.OVERFE UESTAX.STALLEDI USB Device Endpoint X Interrupt UECONX.STALLEDE UESTAX.CRCERRI UECONX.CRCERRE UESTAX.SHORTPACKET UDINT.MSOF UECONX.SHORTPACKETE UESTAX.DTSEQ=MDATA & UESTAX.RXOUTI UDINTE.MSOFE UECONX.MDATAE UDINT.SUSP UECONX.DATAXE UDINT.SOF UESTAX.DTSEQ=DATAX & UESTAX.RXOUTI UDINTE.SUSPE UESTAX.TRANSERR UDINTE.SOFE UDINT.EORST UECONX.TRANSERRE UESTAX.NBUSYBK USB Interrupt UDINTE.EORSTE UDINT.WAKEUP UECONX.NBUSYBKE UDINTE.WAKEUPE UDINT.EORSM USB Device Interrupt UDINTE.EORSME UDINT.UPRSM UDINTE.UPRSME UDDMAX_STATUS.EOT_STA UDINT.EPXINT UDDMAX_CONTROL.EOT_IRQ_EN UDINTE.EPXINTE UDDMAX_STATUS.EOCH_BUFF_STA UDINT.DMAXINT UDDMAX_CONTROL.EOBUFF_IRQ_EN UDDMAX_STATUS.DESC_LD_STA UDDMAX_CONTROL.DESC_LD_IRQ_EN USB Device DMA Channel X Interrupt UDINTE.DMAXINTE UPSTAX.RXINI UPCONX.RXINE UPSTAX.TXOUTI UPCONX.TXOUTE UPSTAX.TXSTPI UPCONX.TXSTPE UPSTAX.UNDERFI UPCONX.UNDERFIE UPSTAX.PERRI UPCONX.PERRE UHINT.DCONNI UPSTAX.NAKEDI UHINTE.DCONNIE UPCONX.NAKEDE UHINT.DDISCI UPCONX.OVERFIE UHINT.RSTI UPSTAX.OVERFI UHINTE.DDISCIE UPSTAX.RXSTALLDI UHINTE.RSTIE UPCONX.RXSTALLDE UPSTAX.CRCERRI UPCONX.CRCERRE UPSTAX.SHORTPACKETI USB Host Pipe X Interrupt UHINT.RSMEDI UHINTE.RSMEDIE UHINT.RXRSMI UHINTE.RXRSMIE UPCONX.SHORTPACKETIE USB Host Interrupt UHINT.HSOFI UPSTAX.NBUSYBK UHINTE.HSOFIE UPCONX.NBUSYBKE UHINT.HWUPI UHINTE.HWUPIE UHDMAX_STATUS.EOT_STA UHINT.PXINT UHDMAX_CONTROL.EOT_IRQ_EN UHDMAX_STATUS.EOCH_BUFF_STA UHDMAX_CONTROL.EOBUFF_IRQ_EN UHDMAX_STATUS.DESC_LD_STA UHDMAX_CONTROL.DESC_LD_IRQ_EN UHINTE.PXINTE UHINT.DMAXINT USB Host DMA Channel X Interrupt UHINTE.DMAXINTE Asynchronous interrupt source See Section 27.7.2.19 and Section 27.7.3.13 for further details about device and host interrupts. There are two kinds of general interrupts: processing, i.e. their generation is part of the normal processing, and exception, i.e. errors (not related to CPU exceptions). 632 32072H-AVR32-10/2012 AT32UC3A3 The processing general interrupts are: * The ID Transition Interrupt (IDTI) * The VBus Transition Interrupt (VBUSTI) * The Role Exchange Interrupt (ROLEEXI) The exception general interrupts are: * The VBus Error Interrupt (VBERRI) * The B-Connection Error Interrupt (BCERRI) * The Suspend Time-Out Interrupt (STOI) 27.7.1.4 MCU Power modes *Run mode In this mode, all MCU clocks can run, including the USB clock. *Idle mode In this mode, the CPU is halted, i.e. the CPU clock is stopped. The Idle mode is entered whatever the state of the USBB. The MCU wakes up on any USB interrupt. *Frozen mode Same as the Idle mode, except that the HSB module is stopped, so the USB DMA, which is an HSB master, can not be used. Moreover, the USB DMA must be stopped before entering this sleep mode in order to avoid erratic behavior. The MCU wakes up on any USB interrupt. *Standby, Stop, DeepStop and Static modes Same as the Frozen mode, except that the USB generic clock and other clocks are stopped, so the USB macro is frozen. Only the asynchronous USB interrupt sources can wake up the MCU in these modes (1). The Power Manager (PM) may have to be configured to enable asynchronous wake up from USB. The USB module must be frozen by writing a one to the FRZCLK bit. Note: 1. When entering a sleep mode deeper or equal to DeepStop, the VBus asynchronous interrupt can not be triggered because the bandgap voltage reference is off. Thus this interrupt should be disabled (USBCON.VBUSTE = 0). *USB clock frozen In the run, idle and frozen MCU modes, the USBB can be frozen when the USB line is in the suspend mode, by writing a one to the FRZCLK bit, what reduces power consumption. In deeper MCU power modes (from StandBy mode), the USBC must be frozen. In this case, it is still possible to access the following elements, but only in Run mode: * The OTGPADE, VBUSPO, FRZCLK, USBE, UIDE, UIMOD and LS bits in the USBCON register * The DPRAM (through the USB Pipe/Endpoint n FIFO Data (USBFIFOnDATA) registers, but not through USB bus transfers which are frozen) 633 32072H-AVR32-10/2012 AT32UC3A3 Moreover, when FRZCLK is written to one, only the asynchronous interrupt sources may trigger the USB interrupt: * The ID Transition Interrupt (IDTI) * The VBus Transition Interrupt (VBUSTI) * The Wake-up Interrupt (WAKEUP) * The Host Wake-up Interrupt (HWUPI) *USB Suspend mode In peripheral mode, the Suspend Interrupt bit in the Device Global Interrupt register (UDINT.SUSP)indicates that the USB line is in the suspend mode. In this case, the transceiver is automatically set in suspend mode to reduce the consumption.The 480MHz internal PLL is stopped. The USBSTA.CLKUSABLE bit is cleared. 27.7.1.5 Speed control *Device mode When the USB interface is in device mode, the speed selection (full-speed or high-speed) is performed automatically by the USBB during the USB reset according to the host speed capability. At the end of the USB reset, the USBB enables or disables high-speed terminations and pull-up. It is possible to restraint the USBB to full-speed or low-speed mode by handling the LS and the Speed Configuration (SPDCONF) bits in UDCON. *Host mode When the USB interface is in host mode, internal pull-down resistors are connected on both D+ and D- and the interface detects the speed of the connected device, which is reflected by the Speed Status (SPEED) field in USBSTA. 27.7.1.6 DPRAM management Pipes and endpoints can only be allocated in ascending order (from the pipe/endpoint 0 to the last pipe/endpoint to be allocated). The user shall therefore configure them in the same order. The allocation of a pipe/endpoint n starts when the Endpoint Memory Allocate bit in the Endpoint n Configuration register (UECFGn.ALLOC) is written to one. Then, the hardware allocates a memory area in the DPRAM and inserts it between the n-1 and n+1 pipes/endpoints. The n+1 pipe/endpoint memory window slides up and its data is lost. Note that the following pipe/endpoint memory windows (from n+2) do not slide. Disabling a pipe, by writing a zero to the Pipe n Enable bit in the Pipe Enable/Reset register (UPRST.PENn), or disabling an endpoint, by writing a zero to the Endpoint n Enable bit in the Endpoint Enable/Reset register (UERST.EPENn), resets neither the UECFGn.ALLOC bit nor its configuration (the Pipe Banks (PBK) field, the Pipe Size (PSIZE) field, the Pipe Token (PTOKEN) field, the Pipe Type (PTYPE) field, the Pipe Endpoint Number (PEPNUM) field, and the Pipe Interrupt Request Frequency (INTFRQ) field in the Pipe n Configuration (UPCFGn) register/the Endpoint Banks (EPBK) field, the Endpoint Size (EPSIZE) field, the Endpoint Direction (EPDIR) field, and the Endpoint Type (EPTYPE) field in UECFGn). 634 32072H-AVR32-10/2012 AT32UC3A3 To free its memory, the user shall write a zero to the UECFGn.ALLOC bit. The n+1 pipe/endpoint memory window then slides down and its data is lost. Note that the following pipe/endpoint memory windows (from n+2) does not slide. Figure 27-7 on page 635 illustrates the allocation and reorganization of the DPRAM in a typical example. Figure 27-7. Allocation and Reorganization of the DPRAM Free Memory Free Memory Free Memory Free Memory PEP5 PEP5 PEP5 PEP5 PEP4 PEP4 PEP4 PEP3 PEP3 (ALLOC stays at 1) PEP4 PEP3 (larger size) PEP2 PEP2 PEP2 PEP2 PEP1 PEP1 PEP1 PEP1 PEP0 PEP0 PEP0 PEP0 U(P/E)RST.(E)PENn = 1 U(P/E)CFGn.ALLOC = 1 Pipes/Endpoints 0..5 Activated U(P/E)RST.(E)PEN3 = 0 Pipe/Endpoint 3 Disabled Conflict PEP4 Lost Memory U(P/E)CFG3.ALLOC = 0 Pipe/Endpoint 3 Memory Freed U(P/E)RST.(E)PEN3 = 1 U(P/E)CFG3.ALLOC = 1 Pipe/Endpoint 3 Activated 1. The pipes/endpoints 0 to 5 are enabled, configured and allocated in ascending order. Each pipe/endpoint then owns a memory area in the DPRAM. 2. The pipe/endpoint 3 is disabled, but its memory is kept allocated by the controller. 3. In order to free its memory, its ALLOC bit is written to zero. The pipe/endpoint 4 memory window slides down, but the pipe/endpoint 5 does not move. 4. If the user chooses to reconfigure the pipe/endpoint 3 with a larger size, the controller allocates a memory area after the pipe/endpoint 2 memory area and automatically slides up the pipe/endpoint 4 memory window. The pipe/endpoint 5 does not move and a memory conflict appears as the memory windows of the pipes/endpoints 4 and 5 overlap. The data of these pipes/endpoints is potentially lost. Note that: * There is no way the data of the pipe/endpoint 0 can be lost (except if it is de-allocated) as memory allocation and de-allocation may affect only higher pipes/endpoints. * Deactivating then reactivating a same pipe/endpoint with the same configuration only modifies temporarily the controller DPRAM pointer and size for this pipe/endpoint, but nothing changes in the DPRAM, so higher endpoints seem to not have been moved and their data is preserved as far as nothing has been written or received into them while changing the allocation state of the first pipe/endpoint. * When the user write a one to the ALLOC bit, the Configuration OK Status bit in the Endpoint n Status register (UESTAn.CFGOK) is set only if the configured size and number of banks are correct compared to their maximal allowed values for the endpoint and to the maximal 635 32072H-AVR32-10/2012 AT32UC3A3 FIFO size (i.e. the DPRAM size), so the value of CFGOK does not consider memory allocation conflicts. 27.7.1.7 Pad Suspend Figure 27-8 on page 636 shows the pad behavior. Figure 27-8. Pad Behavior USBE = 1 & DETACH = 0 & Suspend Idle USBE = 0 | DETACH = 1 | Suspend Active * In the Idle state, the pad is put in low power consumption mode, i.e., the differential receiver of the USB pad is off, and internal pull-down with strong value(15K) are set in both DP/DM to avoid floating lines. * In the Active state, the pad is working. Figure 27-9 on page 636 illustrates the pad events leading to a PAD state change. Figure 27-9. Pad Events SUSP Suspend detected WAKEUP Cleared on wake-up Wake-up detected Cleared by software to acknowledge the interrupt PAD State Active Idle Active The SUSP bit is set and the Wake-Up Interrupt (WAKEUP) bit in UDINT is cleared when a USB "Suspend" state has been detected on the USB bus. This event automatically puts the USB pad in the Idle state. The detection of a non-idle event sets WAKEUP, clears SUSP and wakes up the USB pad. 636 32072H-AVR32-10/2012 AT32UC3A3 Moreover, the pad goes to the Idle state if the macro is disabled or if the DETACH bit is written to one. It returns to the Active state when USBE is written to one and DETACH is written to zero. 27.7.1.8 Plug-In detection The USB connection is detected from the USB_VBUS pad. Figure 27-10 on page 637 shows the architecture of the plug-in detector. Figure 27-10. Plug-In Detection Input Block Diagram VDD RPU VBus_pulsing USB_VBUS Session_valid RPD Va_Vbus_valid Logic VBUS VBUSTI USBSTA USBSTA VBus_discharge GND Pad Logic The control logic of the USB_VBUS pad outputs two signals: * The Session_valid signal is high when the voltage on the USB_VBUS pad is higher than or equal to 1.4V. * The Va_Vbus_valid signal is high when the voltage on the USB_VBUS pad is higher than or equal to 4.4V. In device mode, the USBSTA.VBUS bit follows the Session_valid comparator output: * It is set when the voltage on the USB_VBUS pad is higher than or equal to 1.4V. * It is cleared when the voltage on the VBUS pad is lower than 1.4V. In host mode, the USBSTA.VBUS bit follows an hysteresis based on Session_valid and Va_Vbus_valid: * It is set when the voltage on the USB_VBUS pad is higher than or equal to 4.4V. * It is cleared when the voltage on the USB_VBUS pad is lower than 1.4V. The VBus Transition interrupt (VBUSTI) bit in USBSTA is set on each transition of the USBSTA.VBUS bit. The USBSTA.VBUS bit is effective whether the USBB is enabled or not. 27.7.1.9 ID detection Figure 27-11 on page 638 shows how the ID transitions are detected. 637 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-11. ID Detection Input Block Diagram RPU VDD 1 USB_ID 0 UIMOD ID IDTI USBSTA USBSTA USBCON UIDE USBCON I/O Controller The USB mode (device or host) can be either detected from the USB_ID pin or software selected by writing to the UIMOD bit, according to the UIDE bit. This allows the USB_ID pin to be used as a general purpose I/O pin even when the USB interface is enabled. By default, the USB_ID pin is selected (UIDE is written to one) and the USBB is in device mode (UBSTA.ID is one), what corresponds to the case where no Mini-A plug is connected, i.e. no plug or a Mini-B plug is connected and the USB_ID pin is kept high by the internal pull-up resistor from the I/O Controller (which must be enabled if USB_ID is used). The ID Transition Interrupt (IDTI) bit in USBSTA is set on each transition of the ID bit, i.e. when a Mini-A plug (host mode) is connected or disconnected. This does not occur when a Mini-B plug (device mode) is connected or disconnected. The USBSTA.ID bit is effective whether the USBB is enabled or not. 638 32072H-AVR32-10/2012 AT32UC3A3 27.7.2 27.7.2.1 USB Device Operation Introduction In device mode, the USBB supports hi- full- and low-speed data transfers. In addition to the default control endpoint, seven endpoints are provided, which can be configured with the types isochronous, bulk or interrupt, as described in .Table 27-1 on page 624. The device mode starts in the Idle state, so the pad consumption is reduced to the minimum. 27.7.2.2 Power-On and reset Figure 27-12 on page 639 describes the USBB device mode main states. Figure 27-12. Device Mode States USBE = 0 | ID = 0 USBE = 0 | ID = 0 Reset Idle USBE = 1 & ID = 1 HW RESET After a hardware reset, the USBB device mode is in the Reset state. In this state: * The macro clock is stopped in order to minimize power consumption (FRZCLK is written to one). * The internal registers of the device mode are reset. * The endpoint banks are de-allocated. * Neither D+ nor D- is pulled up (DETACH is written to one). D+ or D- will be pulled up according to the selected speed as soon as the DETACH bit is written to zero and VBus is present. See "Device mode" for further details. When the USBB is enabled (USBE is written to one) in device mode (ID is one), its device mode state goes to the Idle state with minimal power consumption. This does not require the USB clock to be activated. The USBB device mode can be disabled and reset at any time by disabling the USBB (by writing a zero to USBE) or when host mode is engaged (ID is zero). 27.7.2.3 USB reset The USB bus reset is managed by hardware. It is initiated by a connected host. When a USB reset is detected on the USB line, the following operations are performed by the controller: * All the endpoints are disabled, except the default control endpoint. 639 32072H-AVR32-10/2012 AT32UC3A3 * The default control endpoint is reset (see Section 27.7.2.4 for more details). * The data toggle sequence of the default control endpoint is cleared. * At the end of the reset process, the End of Reset (EORST) bit in UDINT interrupt is set. * During a reset, the USBB automatically switches to the Hi-Speed mode if the host is HiSpeed capable (the reset is called a Hi-Speed reset). The user should observe the USBSTA.SPEED field to know the speed running at the end of the reset (EORST is one). 27.7.2.4 Endpoint reset An endpoint can be reset at any time by writing a one to the Endpoint n Reset (EPRSTn) bit in the UERST register. This is recommended before using an endpoint upon hardware reset or when a USB bus reset has been received. This resets: * The internal state machine of this endpoint. * The receive and transmit bank FIFO counters. * All the registers of this endpoint (UECFGn, UESTAn, the Endpoint n Control (UECONn) register), except its configuration (ALLOC, EPBK, EPSIZE, EPDIR, EPTYPE) and the Data Toggle Sequence (DTSEQ) field of the UESTAn register. Note that the interrupt sources located in the UESTAn register are not cleared when a USB bus reset has been received. The endpoint configuration remains active and the endpoint is still enabled. The endpoint reset may be associated with a clear of the data toggle sequence as an answer to the CLEAR_FEATURE USB request. This can be achieved by writing a one to the Reset Data Toggle Set bit in the Endpoint n Control Set register (UECONnSET.RSTDTS).(This will set the Reset Data Toggle (RSTD) bit in UECONn). In the end, the user has to write a zero to the EPRSTn bit to complete the reset operation and to start using the FIFO. 27.7.2.5 Endpoint activation The endpoint is maintained inactive and reset (see Section 27.7.2.4 for more details) as long as it is disabled (EPENn is written to zero). DTSEQ is also reset. The algorithm represented on Figure 27-13 on page 641 must be followed in order to activate an endpoint. 640 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-13. Endpoint Activation Algorithm Endpoint Activation Enable the endpoint. EPENn = 1 Configure the endpoint: - type - direction - size - number of banks Allocate the configured DPRAM banks. UECFGn EPTYPE EPDIR EPSIZE EPBK ALLOC CFGOK == 1? Yes Test if the endpoint configuration is correct. No Endpoint Activated ERROR As long as the endpoint is not correctly configured (CFGOK is zero), the controller does not acknowledge the packets sent by the host to this endpoint. The CFGOK bit is set only if the configured size and number of banks are correct compared to their maximal allowed values for the endpoint (see Table 27-1 on page 624) and to the maximal FIFO size (i.e. the DPRAM size). See Section 27.7.1.6 for more details about DPRAM management. 27.7.2.6 Address setup The USB device address is set up according to the USB protocol. * After all kinds of resets, the USB device address is 0. * The host starts a SETUP transaction with a SET_ADDRESS(addr) request. * The user write this address to the USB Address (UADD) field in UDCON, and write a zero to the Address Enable (ADDEN) bit in UDCON, so the actual address is still 0. * The user sends a zero-length IN packet from the control endpoint. * The user enables the recorded USB device address by writing a one to ADDEN. Once the USB device address is configured, the controller filters the packets to only accept those targeting the address stored in UADD. UADD and ADDEN shall not be written all at once. UADD and ADDEN are cleared: * On a hardware reset. * When the USBB is disabled (USBE written to zero). * When a USB reset is detected. When UADD or ADDEN is cleared, the default device address 0 is used. 641 32072H-AVR32-10/2012 AT32UC3A3 27.7.2.7 Suspend and wake-up When an idle USB bus state has been detected for 3 ms, the controller set the Suspend (SUSP) interrupt bit in UDINT. The user may then write a one to the FRZCLK bit to reduce power consumption. The MCU can also enter the Idle or Frozen sleep mode to lower again power consumption. To recover from the Suspend mode, the user shall wait for the Wake-Up (WAKEUP) interrupt bit, which is set when a non-idle event is detected, then write a zero to FRZCLK. As the WAKEUP interrupt bit in UDINT is set when a non-idle event is detected, it can occur whether the controller is in the Suspend mode or not. The SUSP and WAKEUP interrupts are thus independent of each other except that one bit is cleared when the other is set. 27.7.2.8 Detach The reset value of the DETACH bit is one. It is possible to initiate a device re-enumeration simply by writing a one then a zero to DETACH. DETACH acts on the pull-up connections of the D+ and D- pads. See "Device mode" for further details. 27.7.2.9 Remote wake-up The Remote Wake-Up request (also known as Upstream Resume) is the only one the device may send on its own initiative, but the device should have beforehand been allowed to by a DEVICE_REMOTE_WAKEUP request from the host. * First, the USBB must have detected a "Suspend" state on the bus, i.e. the Remote Wake-Up request can only be sent after a SUSP interrupt has been set. * The user may then write a one to the Remote Wake-Up (RMWKUP) bit in UDCON to send an upstream resume to the host for a remote wake-up. This will automatically be done by the controller after 5ms of inactivity on the USB bus. * When the controller sends the upstream resume, the Upstream Resume (UPRSM) interrupt is set and SUSP is cleared. * RMWKUP is cleared at the end of the upstream resume. * If the controller detects a valid "End of Resume" signal from the host, the End of Resume (EORSM) interrupt is set. 27.7.2.10 STALL request For each endpoint, the STALL management is performed using: * The STALL Request (STALLRQ) bit in UECONn to initiate a STALL request. * The STALLed Interrupt (STALLEDI) bit in UESTAn is set when a STALL handshake has been sent. To answer the next request with a STALL handshake, STALLRQ has to be set by writing a one to the STALL Request Set (STALLRQS) bit. All following requests will be discarded (RXOUTI, etc. will not be set) and handshaked with a STALL until the STALLRQ bit is cleared, what is done when a new SETUP packet is received (for control endpoints) or when the STALL Request Clear (STALLRQC) bit is written to one. Each time a STALL handshake is sent, the STALLEDI bit is set by the USBB and the EPnINT interrupt is set. 642 32072H-AVR32-10/2012 AT32UC3A3 *Special considerations for control endpoints If a SETUP packet is received into a control endpoint for which a STALL is requested, the Received SETUP Interrupt (RXSTPI) bit in UESTAn is set and STALLRQ and STALLEDI are cleared. The SETUP has to be ACKed. This management simplifies the enumeration process management. If a command is not supported or contains an error, the user requests a STALL and can return to the main task, waiting for the next SETUP request. *STALL handshake and retry mechanism The retry mechanism has priority over the STALL handshake. A STALL handshake is sent if the STALLRQ bit is set and if there is no retry required. 27.7.2.11 Management of control endpoints *Overview A SETUP request is always ACKed. When a new SETUP packet is received, the RXSTPI is set, but not the Received OUT Data Interrupt (RXOUTI) bit. The FIFO Control (FIFOCON) bit in UECONn and the Read/Write Allowed (RWALL) bit in UESTAn are irrelevant for control endpoints. The user shall therefore never use them on these endpoints. When read, their value are always zero. Control endpoints are managed using: * The RXSTPI bit which is set when a new SETUP packet is received and which shall be cleared by firmware to acknowledge the packet and to free the bank. * The RXOUTI bit which is set when a new OUT packet is received and which shall be cleared by firmware to acknowledge the packet and to free the bank. * The Transmitted IN Data Interrupt (TXINI) bit which is set when the current bank is ready to accept a new IN packet and which shall be cleared by firmware to send the packet. *Control write Figure 27-14 on page 644 shows a control write transaction. During the status stage, the controller will not necessarily send a NAK on the first IN token: * If the user knows the exact number of descriptor bytes that must be read, it can then anticipate the status stage and send a zero-length packet after the next IN token. * Or it can read the bytes and wait for the NAKed IN Interrupt (NAKINI) which tells that all the bytes have been sent by the host and that the transaction is now in the status stage. 643 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-14. Control Write SETUP USB Bus DATA SETUP OUT STATUS OUT IN IN NAK RXSTPI HW SW RXOUTI HW SW HW SW TXINI SW *Control read Figure 27-15 on page 644 shows a control read transaction. The USBB has to manage the simultaneous write requests from the CPU and the USB host. Figure 27-15. Control Read SETUP USB Bus RXSTPI DATA SETUP IN STATUS IN OUT OUT NAK HW SW RXOUTI HW TXINI SW HW SW SW Wr Enable HOST Wr Enable CPU A NAK handshake is always generated on the first status stage command. When the controller detects the status stage, all the data written by the CPU are lost and clearing TXINI has no effect. The user checks if the transmission or the reception is complete. The OUT retry is always ACKed. This reception sets RXOUTI and TXINI. Handle this with the following software algorithm: set TXINI wait for RXOUTI OR TXINI if RXOUTI, then clear bit and return if TXINI, then continue Once the OUT status stage has been received, the USBB waits for a SETUP request. The SETUP request has priority over any other request and has to be ACKed. This means that any other bit should be cleared and the FIFO reset when a SETUP is received. The user has to take care of the fact that the byte counter is reset when a zero-length OUT packet is received. 644 32072H-AVR32-10/2012 AT32UC3A3 27.7.2.12 Management of IN endpoints *Overview IN packets are sent by the USB device controller upon IN requests from the host. All the data can be written which acknowledges or not the bank when it is full. The endpoint must be configured first. The TXINI bit is set at the same time as FIFOCON when the current bank is free. This triggers an EPnINT interrupt if the Transmitted IN Data Interrupt Enable (TXINE) bit in UECONn is one. TXINI shall be cleared by software (by writing a one to the Transmitted IN Data Interrupt Enable Clear bit in the Endpoint n Control Clear register (UECONnCLR.TXINIC)) to acknowledge the interrupt, what has no effect on the endpoint FIFO. The user then writes into the FIFO (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747) and write a one to the FIFO Control Clear (FIFOCONC) bit in UECONnCLR to clear the FIFOCON bit. This allows the USBB to send the data. If the IN endpoint is composed of multiple banks, this also switches to the next bank. The TXINI and FIFOCON bits are updated in accordance with the status of the next bank. TXINI shall always be cleared before clearing FIFOCON. The RWALL bit is set when the current bank is not full, i.e. the software can write further data into the FIFO. Figure 27-16. Example of an IN Endpoint with 1 Data Bank NAK IN DATA (bank 0) ACK IN HW TXINI FIFOCON SW write data to CPU BANK 0 SW SW write data to CPU BANK 0 SW 645 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-17. Example of an IN Endpoint with 2 Data Banks DATA (bank 0) IN ACK IN DATA (bank 1) ACK HW TXINI FIFOCON SW write data to CPU BANK 0 SW SW write data to CPU BANK 1 SW SW write data to CPU BANK0 *Detailed description The data is written, following the next flow: * When the bank is empty, TXINI and FIFOCON are set, what triggers an EPnINT interrupt if TXINE is one. * The user acknowledges the interrupt by clearing TXINI. * The user writes the data into the current bank by using the USB Pipe/Endpoint nFIFO Data virtual segment (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747), until all the data frame is written or the bank is full (in which case RWALL is cleared and the Byte Count (BYCT) field in UESTAn reaches the endpoint size). * The user allows the controller to send the bank and switches to the next bank (if any) by clearing FIFOCON. If the endpoint uses several banks, the current one can be written while the previous one is being read by the host. Then, when the user clears FIFOCON, the following bank may already be free and TXINI is set immediately. An "Abort" stage can be produced when a zero-length OUT packet is received during an IN stage of a control or isochronous IN transaction. The Kill IN Bank (KILLBK) bit in UECONn is used to kill the last written bank. The best way to manage this abort is to apply the algorithm represented on Figure 27-18 on page 647. See "Endpoint n Control Register" on page 706 to have more details about the KILLBK bit. 646 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-18. Abort Algorithm Endpoint Abort Disable the TXINI interrupt. TXINEC = 1 NBUSYBK == 0? Yes Abort is based on the fact that no bank is busy, i.e., that nothing has to be sent No EPRSTn = 1 KILLBKS = 1 Yes KILLBK == 1? Kill the last written bank. Wait for the end of the procedure No Abort Done 27.7.2.13 Management of OUT endpoints *Overview OUT packets are sent by the host. All the data can be read which acknowledges or not the bank when it is empty. The endpoint must be configured first. The RXOUTI bit is set at the same time as FIFOCON when the current bank is full. This triggers an EPnINT interrupt if the Received OUT Data Interrupt Enable (RXOUTE) bit in UECONn is one. RXOUTI shall be cleared by software (by writing a one to the Received OUT Data Interrupt Clear (RXOUTIC) bit) to acknowledge the interrupt, what has no effect on the endpoint FIFO. The user then reads from the FIFO (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747) and clears the FIFOCON bit to free the bank. If the OUT endpoint is composed of multiple banks, this also switches to the next bank. The RXOUTI and FIFOCON bits are updated in accordance with the status of the next bank. RXOUTI shall always be cleared before clearing FIFOCON. The RWALL bit is set when the current bank is not empty, i.e. the software can read further data from the FIFO. 647 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-19. Example of an OUT Endpoint with one Data Bank DATA (bank 0) OUT NAK ACK OUT DATA (bank 0) ACK HW RXOUTI HW SW SW read data from CPU BANK 0 FIFOCON read data from CPU BANK 0 SW Figure 27-20. Example of an OUT Endpoint with two Data Banks DATA (bank 0) OUT ACK OUT DATA (bank 1) HW RXOUTI ACK HW SW SW read data from CPU BANK 0 FIFOCON SW read data from CPU BANK 1 *Detailed description The data is read, following the next flow: * When the bank is full, RXOUTI and FIFOCON are set, what triggers an EPnINT interrupt if RXOUTE is one. * The user acknowledges the interrupt by writing a one to RXOUTIC in order to clear RXOUTI. * The user can read the byte count of the current bank from BYCT to know how many bytes to read, rather than polling RWALL. * The user reads the data from the current bank by using the USBFIFOnDATA register (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747), until all the expected data frame is read or the bank is empty (in which case RWALL is cleared and BYCT reaches zero). * The user frees the bank and switches to the next bank (if any) by clearing FIFOCON. If the endpoint uses several banks, the current one can be read while the following one is being written by the host. Then, when the user clears FIFOCON, the following bank may already be ready and RXOUTI is set immediately. In Hi-Speed mode, the PING and NYET protocol is handled by the USBB. For single bank, a NYET handshake is always sent to the host (on Bulk-out transaction) to indicate that the current packet is acknowledged but there is no room for the next one. For double bank, the USBB 648 32072H-AVR32-10/2012 AT32UC3A3 responds to the OUT/DATA transaction with an ACK handshake when the endpoint accepted the data successfully and has room for another data payload (the second bank is free). 27.7.2.14 Underflow This error exists only for isochronous IN/OUT endpoints. It set the Underflow Interrupt (UNDERFI) bit in UESTAn, what triggers an EPnINT interrupt if the Underflow Interrupt Enable (UNDERFE) bit is one. An underflow can occur during IN stage if the host attempts to read from an empty bank. A zerolength packet is then automatically sent by the USBB. An underflow can not occur during OUT stage on a CPU action, since the user may read only if the bank is not empty (RXOUTI is one or RWALL is one). An underflow can also occur during OUT stage if the host sends a packet while the bank is already full. Typically, the CPU is not fast enough. The packet is lost. An underflow can not occur during IN stage on a CPU action, since the user may write only if the bank is not full (TXINI is one or RWALL is one). 27.7.2.15 Overflow This error exists for all endpoint types. It set the Overflow interrupt (OVERFI) bit in UESTAn, what triggers an EPnINT interrupt if the Overflow Interrupt Enable (OVERFE) bit is one. An overflow can occur during OUT stage if the host attempts to write into a bank that is too small for the packet. The packet is acknowledged and the RXOUTI bit is set as if no overflow had occurred. The bank is filled with all the first bytes of the packet that fit in. An overflow can not occur during IN stage on a CPU action, since the user may write only if the bank is not full (TXINI is one or RWALL is one). 27.7.2.16 HB IsoIn error This error exists only for high-bandwidth isochronous IN endpoints if the high-bandwidth isochronous feature is supported by the device (see the UFEATURES register for this). At the end of the micro-frame, if at least one packet has been sent to the host, if less banks than expected has been validated (by clearing the FIFOCON) for this micro-frame, it set the HBISOINERRORI bit in UESTAn, what triggers an EPnINT interrupt if the High Bandwidth Isochronous IN Error Interrupt Enable (HBISOINERRORE) bit is one. For instance, if the Number of Transaction per MicroFrame for Isochronous Endpoint (NBTRANS field in UECFGn is three (three transactions per micro-frame), only two banks are filled by the CPU (three expected) for the current micro-frame. Then, the HBISOINERRI interrupt is generated at the end of the micro-frame. Note that an UNDERFI interrupt is also generated (with an automatic zero-length-packet), except in the case of a missing IN token. 27.7.2.17 HB IsoFlush This error exists only for high-bandwidth isochronous IN endpoints if the high-bandwidth isochronous feature is supported by the device (see the UFEATURES register for this). At the end of the micro-frame, if at least one packet has been sent to the host, if there is missing IN token during this micro-frame, the bank(s) destined to this micro-frame is/are flushed out to ensure a good data synchronization between the host and the device. 649 32072H-AVR32-10/2012 AT32UC3A3 For instance, if NBTRANS is three (three transactions per micro-frame), if only the first IN token (among 3) is well received by the USBB, then the two last banks will be discarded. 27.7.2.18 CRC error This error exists only for isochronous OUT endpoints. It set the CRC Error Interrupt (CRCERRI) bit in UESTAn, what triggers an EPnINT interrupt if the CRC Error Interrupt Enable (CRCERRE) bit is one. A CRC error can occur during OUT stage if the USBB detects a corrupted received packet. The OUT packet is stored in the bank as if no CRC error had occurred (RXOUTI is set). 27.7.2.19 Interrupts See the structure of the USB device interrupt system on Figure 27-6 on page 632. There are two kinds of device interrupts: processing, i.e. their generation is part of the normal processing, and exception, i.e. errors (not related to CPU exceptions). *Global interrupts The processing device global interrupts are: * The Suspend (SUSP) interrupt * The Start of Frame (SOF) interrupt with no frame number CRC error (the Frame Number CRC Error (FNCERR) bit in the Device Frame Number (UDFNUM) register is zero) * The Micro Start of Frame (MSOF) interrupt with no CRC error. * The End of Reset (EORST) interrupt * The Wake-Up (WAKEUP) interrupt * The End of Resume (EORSM) interrupt * The Upstream Resume (UPRSM) interrupt * The Endpoint n (EPnINT) interrupt * The DMA Channel n (DMAnINT) interrupt The exception device global interrupts are: * The Start of Frame (SOF) interrupt with a frame number CRC error (FNCERR is one) * The Micro Start of Frame (MSOF) interrupt with a CRC error *Endpoint interrupts The processing device endpoint interrupts are: * The Transmitted IN Data Interrupt (TXINI) * The Received OUT Data Interrupt (RXOUTI) * The Received SETUP Interrupt (RXSTPI) * The Short Packet (SHORTPACKET) interrupt * The Number of Busy Banks (NBUSYBK) interrupt * The Received OUT isochronous Multiple Data Interrupt (MDATAI) * The Received OUT isochronous DataX Interrupt (DATAXI) The exception device endpoint interrupts are: * The Underflow Interrupt (UNDERFI) 650 32072H-AVR32-10/2012 AT32UC3A3 * The NAKed OUT Interrupt (NAKOUTI) * The High-bandwidth isochronous IN error Interrupt (HBISOINERRI) if the high-bandwidth isochronous feature is supported by the device (see the UFEATURES register for this) * The NAKed IN Interrupt (NAKINI) * The High-bandwidth isochronous IN Flush error Interrupt (HBISOFLUSHI) if the highbandwidth isochronous feature is supported by the device (see the UFEATURES register for this) * The Overflow Interrupt (OVERFI) * The STALLed Interrupt (STALLEDI) * The CRC Error Interrupt (CRCERRI) * The Transaction error (ERRORTRANS) interrupt if the high-bandwidth isochronous feature is supported by the device (see the UFEATURES register for this) *DMA interrupts The processing device DMA interrupts are: * The End of USB Transfer Status (EOTSTA) interrupt * The End of Channel Buffer Status (EOCHBUFFSTA) interrupt * The Descriptor Loaded Status (DESCLDSTA) interrupt There is no exception device DMA interrupt. 27.7.2.20 Test Modes When written to one, the UDCON.TSTPCKT bit switches the USB device controller in a "test packet"mode: The transceiver repeatedly transmit the packet stored in the current bank. TSTPCKT must be written to zero to exit the "test-packet" mode. The endpoint shall be reset by software after a "test-packet" mode. This enables the testing of rise and falling times, eye patterns, jitter, and any other dynamic waveform specifications. The flow control used to send the packets is as follows: * TSTPCKT=1; * Store data in an endpoint bank * Write a zero to FifoCON bit To stop the test-packet mode, just write a zero to the TSTPCKT bit. 651 32072H-AVR32-10/2012 AT32UC3A3 27.7.3 27.7.3.1 USB Host Operation Description of pipes For the USBB in host mode, the term "pipe" is used instead of "endpoint" (used in device mode). A host pipe corresponds to a device endpoint, as described by the Figure 27-21 on page 652 from the USB specification. Figure 27-21. USB Communication Flow In host mode, the USBB associates a pipe to a device endpoint, considering the device configuration descriptors. 27.7.3.2 Power-On and reset Figure 27-22 on page 652 describes the USBB host mode main states. Figure 27-22. Host Mode States Device Disconnection Macro off Clock stopped Idle Device Connection Device Disconnection Ready SOFE = 0 SOFE = 1 Suspend After a hardware reset, the USBB host mode is in the Reset state. When the USBB is enabled (USBE is one) in host mode (ID is zero), its host mode state goes to the Idle state. In this state, the controller waits for device connection with minimal power con- 652 32072H-AVR32-10/2012 AT32UC3A3 sumption. The USB pad should be in the Idle state. Once a device is connected, the macro enters the Ready state, what does not require the USB clock to be activated. The controller enters the Suspend state when the USB bus is in a "Suspend" state, i.e., when the host mode does not generate the "Start of Frame (SOF)". In this state, the USB consumption is minimal. The host mode exits the Suspend state when starting to generate the SOF over the USB line. 27.7.3.3 Device detection A device is detected by the USBB host mode when D+ or D- is no longer tied low, i.e., when the device D+ or D- pull-up resistor is connected. To enable this detection, the host controller has to provide the VBus power supply to the device by setting the VBUSRQ bit (by writing a one to the VBUSRQS bit). The device disconnection is detected by the host controller when both D+ and D- are pulled down. 27.7.3.4 USB reset The USBB sends a USB bus reset when the user write a one to the Send USB Reset bit in the Host General Control register (UHCON.RESET). The USB Reset Sent Interrupt bit in the Host Global Interrupt register (UHINT.RSTI) is set when the USB reset has been sent. In this case, all the pipes are disabled and de-allocated. If the bus was previously in a "Suspend" state (the Start of Frame Generation Enable (SOFE) bit in UHCON is zero), the USBB automatically switches it to the "Resume" state, the Host WakeUp Interrupt (HWUPI) bit in UHINT is set and the SOFE bit is set in order to generate SOFs or micro SOFs immediately after the USB reset. At the end of the reset, the user should check the USBSTA.SPEED field to know the speed running according to the peripheral capability (LS.FS/HS) 27.7.3.5 Pipe reset A pipe can be reset at any time by writing a one to the Pipe n Reset (PRSTn) bit in the UPRST register. This is recommended before using a pipe upon hardware reset or when a USB bus reset has been sent. This resets: * The internal state machine of this pipe * The receive and transmit bank FIFO counters * All the registers of this pipe (UPCFGn, UPSTAn, UPCONn), except its configuration (ALLOC, PBK, PSIZE, PTOKEN, PTYPE, PEPNUM, INTFRQ in UPCFGn) and its Data Toggle Sequence field in the Pipe n Status register (UPSTAn.DTSEQ). The pipe configuration remains active and the pipe is still enabled. The pipe reset may be associated with a clear of the data toggle sequence. This can be achieved by setting the Reset Data Toggle bit in the Pipe n Control register (UPCONn.RSTDT) (by writing a one to the Reset Data Toggle Set bit in the Pipe n Control Set register (UPCONnSET.RSTDTS)). In the end, the user has to write a zero to the PRSTn bit to complete the reset operation and to start using the FIFO. 653 32072H-AVR32-10/2012 AT32UC3A3 27.7.3.6 Pipe activation The pipe is maintained inactive and reset (see Section 27.7.3.5 for more details) as long as it is disabled (PENn is zero). The Data Toggle Sequence field (DTSEQ) is also reset. The algorithm represented on Figure 27-23 on page 654 must be followed in order to activate a pipe. Figure 27-23. Pipe Activation Algorithm Pipe Activation PENn = 1 Enable the pipe. Configure the pipe: - interrupt request frequency - endpoint number - type - size - number of banks Allocate the configured DPRAM banks. UPCFGn INTFRQ PEPNUM PTYPE PTOKEN PSIZE PBK ALLOC CFGOK == 1? Yes Pipe Activated Test if the pipe configuration is correct. No ERROR As long as the pipe is not correctly configured (UPSTAn.CFGOK is zero), the controller can not send packets to the device through this pipe. The UPSTAn.CFGOK bit is set only if the configured size and number of banks are correct compared to their maximal allowed values for the pipe (see Table 27-1 on page 624) and to the maximal FIFO size (i.e. the DPRAM size). See Section 27.7.1.6 for more details about DPRAM management. Once the pipe is correctly configured (UPSTAn.CFGOK is zero), only the PTOKEN and INTFRQ fields can be written by software. INTFRQ is meaningless for non-interrupt pipes. When starting an enumeration, the user gets the device descriptor by sending a GET_DESCRIPTOR USB request. This descriptor contains the maximal packet size of the device default control endpoint (bMaxPacketSize0) and the user re-configures the size of the default control pipe with this size parameter. 27.7.3.7 Address setup Once the device has answered the first host requests with the default device address 0, the host assigns a new address to the device. The host controller has to send an USB reset to the device and to send a SET_ADDRESS(addr) SETUP request with the new address to be used by the device. Once this SETUP transaction is over, the user writes the new address into the USB Host Address for Pipe n field in the USB Host Device Address register (UHADDR.UHADDRPn). All following requests, on all pipes, will be performed using this new address. 654 32072H-AVR32-10/2012 AT32UC3A3 When the host controller sends an USB reset, the UHADDRPn field is reset by hardware and the following host requests will be performed using the default device address 0. 27.7.3.8 Remote wake-up The controller host mode enters the Suspend state when the UHCON.SOFE bit is written to zero. No more "Start of Frame" is sent on the USB bus and the USB device enters the Suspend state 3ms later. The device awakes the host by sending an Upstream Resume (Remote Wake-Up feature). When the host controller detects a non-idle state on the USB bus, it set the Host Wake-Up interrupt (HWUPI) bit in UHINT. If the non-idle bus state corresponds to an Upstream Resume (K state), the Upstream Resume Received Interrupt (RXRSMI) bit in UHINT is set. The user has to generate a Downstream Resume within 1ms and for at least 20ms by writing a one to the Send USB Resume (RESUME) bit in UHCON. It is mandatory to write a one to UHCON.SOFE before writing a one to UHCON.RESUME to enter the Ready state, else UHCON.RESUME will have no effect. 27.7.3.9 Management of control pipes A control transaction is composed of three stages: * SETUP * Data (IN or OUT) * Status (OUT or IN) The user has to change the pipe token according to each stage. For the control pipe, and only for it, each token is assigned a specific initial data toggle sequence: * SETUP: Data0 * IN: Data1 * OUT: Data1 27.7.3.10 Management of IN pipes IN packets are sent by the USB device controller upon IN requests from the host. All the data can be read which acknowledges or not the bank when it is empty. The pipe must be configured first. When the host requires data from the device, the user has to select beforehand the IN request mode with the IN Request Mode bit in the Pipe n IN Request register (UPINRQn.INMODE): * When INMODE is written to zero, the USBB will perform (INRQ + 1) IN requests before freezing the pipe. * When INMODE is written to one, the USBB will perform IN requests endlessly when the pipe is not frozen by the user. The generation of IN requests starts when the pipe is unfrozen (the Pipe Freeze (PFREEZE) field in UPCONn is zero). The Received IN Data Interrupt (RXINI) bit in UPSTAn is set at the same time as the FIFO Control (FIFOCON) bit in UPCONn when the current bank is full. This triggers a PnINT interrupt if the Received IN Data Interrupt Enable (RXINE) bit in UPCONn is one. 655 32072H-AVR32-10/2012 AT32UC3A3 RXINI shall be cleared by software (by writing a one to the Received IN Data Interrupt Clear bit in the Pipe n Control Clear register(UPCONnCLR.RXINIC)) to acknowledge the interrupt, what has no effect on the pipe FIFO. The user then reads from the FIFO (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747) and clears the FIFOCON bit (by writing a one to the FIFO Control Clear (FIFOCONC) bit in UPCONnCLR) to free the bank. If the IN pipe is composed of multiple banks, this also switches to the next bank. The RXINI and FIFOCON bits are updated in accordance with the status of the next bank. RXINI shall always be cleared before clearing FIFOCON. The Read/Write Allowed (RWALL) bit in UPSTAn is set when the current bank is not empty, i.e., the software can read further data from the FIFO. Figure 27-24. Example of an IN Pipe with 1 Data Bank DATA (bank 0) IN ACK IN DATA (bank 0) HW ACK HW SW RXINI SW read data from CPU BANK 0 FIFOCON read data from CPU BANK 0 SW Figure 27-25. Example of an IN Pipe with 2 Data Banks IN DATA (bank 0) ACK IN DATA (bank 1) HW RXINI FIFOCON 27.7.3.11 ACK HW SW SW read data from CPU BANK 0 SW read data from CPU BANK 1 Management of OUT pipes OUT packets are sent by the host. All the data can be written which acknowledges or not the bank when it is full. The pipe must be configured and unfrozen first. 656 32072H-AVR32-10/2012 AT32UC3A3 The Transmitted OUT Data Interrupt (TXOUTI) bit in UPSTAn is set at the same time as FIFOCON when the current bank is free. This triggers a PnINT interrupt if the Transmitted OUT Data Interrupt Enable (TXOUTE) bit in UPCONn is one. TXOUTI shall be cleared by software (by writing a one to the Transmitted OUT Data Interrupt Clear (TXOUTIC) bit in UPCONnCLR) to acknowledge the interrupt, what has no effect on the pipe FIFO. The user then writes into the FIFO (see "USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA)" on page 747) and clears the FIFOCON bit to allow the USBB to send the data. If the OUT pipe is composed of multiple banks, this also switches to the next bank. The TXOUTI and FIFOCON bits are updated in accordance with the status of the next bank. TXOUTI shall always be cleared before clearing FIFOCON. The UPSTAn.RWALL bit is set when the current bank is not full, i.e., the software can write further data into the FIFO. Note that if the user decides to switch to the Suspend state (by writing a zero to the UHCON.SOFE bit) while a bank is ready to be sent, the USBB automatically exits this state and the bank is sent. Note that in High-Speed operating mode, the host controller automatically manages the PING protocol to maximize the USB bandwidth. The user can tune the PING protocol by handling the Ping Enable (PINGEN) bit and the bInterval Parameter for the Bulk-Out/Ping Transaction (BINTERVALL) field in UPCFGn. See the Section 27.8.3.12 for more details. Figure 27-26. Example of an OUT Pipe with one Data Bank OUT DATA (bank 0) ACK OUT HW TXOUTI FIFOCON SW SW write data to CPU BANK 0 SW write data to CPU BANK 0 SW 657 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-27. Example of an OUT Pipe with two Data Banks and no Bank Switching Delay OUT DATA (bank 0) ACK OUT DATA (bank 1) ACK HW SW TXOUTI SW write data to CPU SW BANK 0 FIFOCON SW write data to CPU BANK 1 write data to CPU BANK0 SW Figure 27-28. Example of an OUT Pipe with two Data Banks and a Bank Switching Delay OUT DATA (bank 0) ACK OUT DATA (bank 1) ACK HW TXOUTI SW FIFOCON 27.7.3.12 SW write data to CPU BANK 0 SW SW write data to CPU BANK 1 SW write data to CPU BANK0 CRC error This error exists only for isochronous IN pipes. It set the CRC Error Interrupt (CRCERRI) bit, what triggers a PnINT interrupt if then the CRC Error Interrupt Enable (CRCERRE) bit in UPCONn is one. A CRC error can occur during IN stage if the USBB detects a corrupted received packet. The IN packet is stored in the bank as if no CRC error had occurred (RXINI is set). 27.7.3.13 Interrupts See the structure of the USB host interrupt system on Figure 27-6 on page 632. There are two kinds of host interrupts: processing, i.e. their generation is part of the normal processing, and exception, i.e. errors (not related to CPU exceptions). *Global interrupts The processing host global interrupts are: * The Device Connection Interrupt (DCONNI) * The Device Disconnection Interrupt (DDISCI) 658 32072H-AVR32-10/2012 AT32UC3A3 * The USB Reset Sent Interrupt (RSTI) * The Downstream Resume Sent Interrupt (RSMEDI) * The Upstream Resume Received Interrupt (RXRSMI) * The Host Start of Frame Interrupt (HSOFI) * The Host Wake-Up Interrupt (HWUPI) * The Pipe n Interrupt (PnINT) * The DMA Channel n Interrupt (DMAnINT) There is no exception host global interrupt. *Pipe interrupts The processing host pipe interrupts are: * The Received IN Data Interrupt (RXINI) * The Transmitted OUT Data Interrupt (TXOUTI) * The Transmitted SETUP Interrupt (TXSTPI) * The Short Packet Interrupt (SHORTPACKETI) * The Number of Busy Banks (NBUSYBK) interrupt The exception host pipe interrupts are: * The Underflow Interrupt (UNDERFI) * The Pipe Error Interrupt (PERRI) * The NAKed Interrupt (NAKEDI) * The Overflow Interrupt (OVERFI) * The Received STALLed Interrupt (RXSTALLDI) * The CRC Error Interrupt (CRCERRI) *DMA interrupts The processing host DMA interrupts are: * The End of USB Transfer Status (EOTSTA) interrupt * The End of Channel Buffer Status (EOCHBUFFSTA) interrupt * The Descriptor Loaded Status (DESCLDSTA) interrupt There is no exception host DMA interrupt. 659 32072H-AVR32-10/2012 AT32UC3A3 27.7.4 27.7.4.1 USB DMA Operation Introduction USB packets of any length may be transferred when required by the USBB. These transfers always feature sequential addressing. These two characteristics mean that in case of high USBB throughput, both HSB ports will benefit from "incrementing burst of unspecified length" since the average access latency of HSB slaves can then be reduced. The DMA uses word "incrementing burst of unspecified length" of up to 256 beats for both data transfers and channel descriptor loading. A burst may last on the HSB busses for the duration of a whole USB packet transfer, unless otherwise broken by the HSB arbitration or the HSB 1kbyte boundary crossing. Packet data HSB bursts may be locked on a DMA buffer basis for drastic overall HSB bus bandwidth performance boost with paged memories. This is because these memories row (or bank) changes, which are very clock-cycle consuming, will then likely not occur or occur once instead of dozens of times during a single big USB packet DMA transfer in case other HSB masters address the memory. This means up to 128 words single cycle unbroken HSB bursts for bulk pipes/endpoints and 256 words single cycle unbroken bursts for isochronous pipes/endpoints. This maximal burst length is then controlled by the lowest programmed USB pipe/endpoint size (PSIZE/EPSIZE) and the Channel Byte Length (CHBYTELENGTH) field in the Device DMA Channel n Control (UDDMAnCONTROL) register. The USBB average throughput may be up to nearly 53 Mbyte/s. Its average access latency decreases as burst length increases due to the zero wait-state side effect of unchanged pipe/endpoint. Word access allows reducing the HSB bandwidth required for the USB by four compared to native byte access. If at least 0 wait-state word burst capability is also provided by the other DMA HSB bus slaves, each of both DMA HSB busses need less than 60% bandwidth allocation for full USB bandwidth usage at 33MHz, and less than 30% at 66MHz. 660 32072H-AVR32-10/2012 AT32UC3A3 Figure 27-29. Example of DMA Chained List Transfer Descriptor USB DMA Channel X Registers (Current Transfer Descriptor) Next Descriptor Address Next Descriptor Address HSB Address Transfer Descriptor Control Next Descriptor Address HSB Address HSB Address Transfer Descriptor Control Next Descriptor Address Control HSB Address Status Control NULL Memory Area Data Buffer 1 Data Buffer 2 Data Buffer 3 27.7.4.2 DMA Channel descriptor The DMA channel transfer descriptor is loaded from the memory. Be careful with the alignment of this buffer. The structure of the DMA channel transfer descriptor is defined by three parameters as described below: * Offset 0: - The address must be aligned: 0xXXXX0 - DMA Channel n Next Descriptor Address Register: DMAnNXTDESCADDR * Offset 4: - The address must be aligned: 0xXXXX4 - DMA Channel n HSB Address Register: DMAnADDR * Offset 8: - The address must be aligned: 0xXXXX8 - DMA Channel n Control Register: DMAnCONTROL 27.7.4.3 Programming a chanel: Each DMA transfer is unidirectionnal. Direction depends on the type of the associated endpoint (IN or OUT). Three registers, the UDDMAnNEXTDESC, the UDDMAnADDR and UDDMAnCONTROL need to be programmed to set up wether single or multiple transfer is used. The following example refers to OUT endpoint. For IN endpoint, the programming is symmetric. 661 32072H-AVR32-10/2012 AT32UC3A3 *Single-block transfer programming example for OUT transfer : The following sequence may be used: * Configure the targerted endpoint (source) as OUT type, and set the automatic bank switching for this endpoint in the UECFGn register to handle multiple OUT packet. * Write the starting destination address in the UDDMAnADDR register. * There is no need to program the UDDMAnNEXTDESC register. * Program the channel byte length in the UDDMAnCONTROL register. * Program the UDDMAnCONTROL according to Row 2 as shown in Figure 27-6 on page 714 to set up a single block transfer. The UDDMAnSTATUS.CHEN bit is set indicating that the dma channel is enable. As soon as an OUT packet is stored inside the endpoint, the UDDMAnSTATUS.CHACTIVE bit is set to one, indicating that the DMA channel is transfering data from the endpoint to the destination address until the endpoint is empty or the channel byte length is reached. Once the endpoint is empty, the UDDMAnSTATUS.CHACTIVE bit is cleared. Once the DMA channel is completed (i.e : the channel byte length is reached), after one or multiple processed OUT packet, the UDDMAnCONTROL.CHEN bit is cleared. As a consequence, the UDDMAnSTATUS.CHEN bit is also cleared, and the UDDMAnSTATUS.EOCHBUFFSTA bit is set indicating a end of dma channel. If the UDDMAnCONTROL.DMAENDEN bit was set, the last endpoint bank will be properly released even if there are some residual datas inside, i.e: OUT packet truncation at the end of DMA buffer when the dma channel byte lenght is not an integral multiple of the endpoint size. *Programming example for single-block dma transfer with automatic closure for OUT transfer : The idea is to automatically close the DMA transfer at the end of the OUT transaction (received short packet). The following sequence may be used: * Configure the targerted endpoint (source) as OUT type, and set the automatic bank switching for this endpoint in the UECFGn register to handle multiple OUT packet. * Write the starting destination address in the UDDMAnADDR register. * There is no need to program the UDDMAnNEXTDESC register. * Program the channel byte length in the UDDMAnCONTROL register. * Set the BUFFCLOSEINEN bit in the UDDMAnCONTROL register. * Program the UDDMAnCONTROL according to Row 2 as shown in Figure 27-6 on page 714 to set up a single block transfer. As soon as an OUT packet is stored inside the endpoint, the UDDMAnSTATUS.CHACTIVE bit is set to one, indicating that the DMA channel is transfering data from the endpoint to the destination address until the endpoint is empty. Once the endpoint is empty, the UDDMAnSTATUS.CHACTIVE bit is cleared. After one or multiple processed OUT packet, the DMA channel is completed after sourcing a short packet. Then, the UDDMAnCONTROL.CHEN bit is cleared. As a consequence, after a few cycles latency, the UDDMAnSTATUS.CHEN bit is also cleared, and the UDDMAnSTATUS.EOTSTA bit is set indicating that the DMA was closed by a end of USB transaction. 662 32072H-AVR32-10/2012 AT32UC3A3 *Programming example for multi-block dma transfer : run and link at end of buffer The idea is to run first a single block transfer followed automatically by a linked list of DMA. The following sequence may be used: * Configure the targerted endpoint (source) as OUT type, and set the automatic bank switching for this endpoint in the UECFGn register to handle multiple OUT packet. * Set up the chain of linked list of descripor in memory. Each descriptor is composed of 3 items : channel next descriptor address, channel destination address and channel control. The last descriptor should be programmed according to row 2 as shown in Figure 27-6 on page 714. * Write the starting destination address in the UDDMAnADDR register. * Program the UDDMAnNEXTDESC register. * Program the channel byte length in the UDDMAnCONTROL register. * Optionnaly set the BUFFCLOSEINEN bit in the UDDMAnCONTROL register. * Program the UDDMAnCONTROL according to Row 4 as shown in Figure 27-6 on page 714. The UDDMAnSTATUS.CHEN bit is set indicating that the dma channel is enable. As soon as an OUT packet is stored inside the endpoint, the UDDMAnSTATUS.CHACTIVE bit is set to one, indicating that the DMA channel is transfering data from the endpoint to the destination address until the endpoint is empty or the channel byte length is reached. Once the endpoint is empty, the UDDMAnSTATUS.CHACTIVE bit is cleared. Once the first DMA channel is completed (i.e : the channel byte length is reached), after one or multiple processed OUT packet, the UDDMAnCONTROL.CHEN bit is cleared. As a consequence, the UDDMAnSTATUS.CHEN bit is also cleared, and the UDDMAnSTATUS.EOCHBUFFSTA bit is set indicating a end of dma channel. If the UDDMAnCONTROL.DMAENDEN bit was set, the last endpoint bank will be properly released even if there are some residual datas inside, i.e: OUT packet truncation at the end of DMA buffer when the dma channel byte lenght is not an integral multiple of the endpoint size. Note that the UDDMAnCONTROL.LDNXTCH bit remains to one indicating that a linked descriptor will be loaded. Once the new descriptor is loaded from the UDDMAnNEXTDESC memory address, the UDDMAnSTATUS.DESCLDSTA bit is set, and the UDDMAnCONTROL register is updated from the memory. As a consequence, the UDDMAnSTATUS.CHEN bit is set, and the UDDMAnSTATUS.CHACTIVE is set as soon as the endpoint is ready to be sourced by the DMA (received OUT data packet). This sequence is repeated until a last linked descriptor is processed. The last descriptor is detected according to row 2 as shown in Figure 27-6 on page 714. At the end of the last descriptor, the UDDMAnCONTROL.CHEN bit is cleared. As a consequence, after a few cycles latency, the UDDMAnSTATUS.CHEN bit is also cleared. *Programming example for multi-block dma transfer : load next descriptor now The idea is to directly run first a linked list of DMA. The following sequence may be used: The following sequence may be used: * Configure the targerted endpoint (source) as OUT type, and set the automatic bank switching for this endpoint in the UECFGn register to handle multiple OUT packet. 663 32072H-AVR32-10/2012 AT32UC3A3 * Set up the chain of linked list of descripor in memory. Each descriptor is composed of 3 items : channel next descriptor address, channel destination address and channel control. The last descriptor should be programmed according to row 2 as shown in Figure 27-6 on page 714. * Program the UDDMAnNEXTDESC register. * Program the UDDMAnCONTROL according to Row 3 as shown in Figure 27-6 on page 714. The UDDMAnSTATUS.CHEN bit is 0 and the UDDMAnSTATUS.LDNXTCHDESCEN is set indicating that the DMA channel is pending until the endpoint is ready (received OUT packet). As soon as an OUT packet is stored inside the endpoint, the UDDMAnSTATUS.CHACTIVE bit is set to one. Then after a few cycle latency, the new descriptor is loaded from the memory and the UDDMAnSTATUS.DESCLDSTA is set. At the end of this DMA (for instance when the channel byte length has reached 0), the UDDMAnCONTROL.CHEN bit is cleared, and then the UDDMAnSTATUS.CHEN bit is also cleared. If the UDDMAnCONTROL.LDNXTCH value is one, a new descriptor is loaded. This sequence is repeated until a last linked descriptor is processed. The last descriptor is detected according to row 2 as shown in Figure 27-6 on page 714. At the end of the last descriptor, the UDDMAnCONTROL.CHEN bit is cleared. As a consequence, after a few cycles latency, the UDDMAnSTATUS.CHEN bit is also cleared. 664 32072H-AVR32-10/2012 AT32UC3A3 27.8 User Interface Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0000 Device General Control Register UDCON Read/Write 0x00000100 0x0004 Device Global Interrupt Register UDINT Read-Only 0x00000000 0x0008 Device Global Interrupt Clear Register UDINTCLR Write-Only 0x00000000 0x000C Device Global Interrupt Set Register UDINTSET Write-Only 0x00000000 0x0010 Device Global Interrupt Enable Register UDINTE Read-Only 0x00000000 0x0014 Device Global Interrupt Enable Clear Register UDINTECLR Write-Only 0x00000000 0x0018 Device Global Interrupt Enable Set Register UDINTESET Write-Only 0x00000000 0x001C Endpoint Enable/Reset Register UERST Read/Write 0x00000000 0x0020 Device Frame Number Register UDFNUM Read-Only 0x00000000 0x0100 Endpoint 0 Configuration Register UECFG0 Read/Write 0x00002000 0x0104 Endpoint 1 Configuration Register UECFG1 Read/Write 0x00002000 0x0108 Endpoint 2 Configuration Register UECFG2 Read/Write 0x00002000 0x010C Endpoint 3 Configuration Register UECFG3 Read/Write 0x00002000 0x0110 Endpoint 4 Configuration Register UECFG4 Read/Write 0x00002000 0x0114 Endpoint 5 Configuration Register UECFG5 Read/Write 0x00002000 0x0118 Endpoint 6 Configuration Register UECFG6 Read/Write 0x00002000 0x011C Endpoint 7Configuration Register UECFG7 Read/Write 0x00002000 0x0130 Endpoint 0 Status Register UESTA0 Read-Only 0x00000100 0x0134 Endpoint 1 Status Register UESTA1 Read-Only 0x00000100 0x0138 Endpoint 2 Status Register UESTA2 Read-Only 0x00000100 0x013C Endpoint 3 Status Register UESTA3 Read-Only 0x00000100 0x0140 Endpoint 4 Status Register UESTA4 Read-Only 0x00000100 0x0144 Endpoint 5 Status Register UESTA5 Read-Only 0x00000100 0x0148 Endpoint 6 Status Register UESTA6 Read-Only 0x00000100 0x014C Endpoint 7Status Register UESTA7 Read-Only 0x00000100 0x0160 Endpoint 0 Status Clear Register UESTA0CLR Write-Only 0x00000000 0x0164 Endpoint 1 Status Clear Register UESTA1CLR Write-Only 0x00000000 0x0168 Endpoint 2 Status Clear Register UESTA2CLR Write-Only 0x00000000 0x016C Endpoint 3 Status Clear Register UESTA3CLR Write-Only 0x00000000 0x0170 Endpoint 4 Status Clear Register UESTA4CLR Write-Only 0x00000000 0x0174 Endpoint 5 Status Clear Register UESTA5CLR Write-Only 0x00000000 0x0178 Endpoint 6 Status Clear Register UESTA6CLR Write-Only 0x00000000 0x017C Endpoint 7 Status Clear Register UESTA7CLR Write-Only 0x00000000 0x0190 Endpoint 0 Status Set Register UESTA0SET Write-Only 0x00000000 0x0194 Endpoint 1 Status Set Register UESTA1SET Write-Only 0x00000000 665 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0198 Endpoint 2 Status Set Register UESTA2SET Write-Only 0x00000000 0x019C Endpoint 3 Status Set Register UESTA3SET Write-Only 0x00000000 0x01A0 Endpoint 4 Status Set Register UESTA4SET Write-Only 0x00000000 0x01A4 Endpoint 5 Status Set Register UESTA5SET Write-Only 0x00000000 0x01A8 Endpoint 6 Status Set Register UESTA6SET Write-Only 0x00000000 0x01AC Endpoint 7 Status Set Register UESTA7SET Write-Only 0x00000000 0x01C0 Endpoint 0 Control Register UECON0 Read-Only 0x00000000 0x01C4 Endpoint 1 Control Register UECON1 Read-Only 0x00000000 0x01C8 Endpoint 2 Control Register UECON2 Read-Only 0x00000000 0x01CC Endpoint 3 Control Register UECON3 Read-Only 0x00000000 0x01D0 Endpoint 4 Control Register UECON4 Read-Only 0x00000000 0x01D4 Endpoint 5 Control Register UECON5 Read-Only 0x00000000 0x01D8 Endpoint 6 Control Register UECON6 Read-Only 0x00000000 0x01DC Endpoint 7 Control Register UECON7 Read-Only 0x00000000 0x01F0 Endpoint 0 Control Set Register UECON0SET Write-Only 0x00000000 0x01F4 Endpoint 1 Control Set Register UECON1SET Write-Only 0x00000000 0x01F8 Endpoint 2 Control Set Register UECON2SET Write-Only 0x00000000 0x01FC Endpoint 3 Control Set Register UECON3SET Write-Only 0x00000000 0x0200 Endpoint 4 Control Set Register UECON4SET Write-Only 0x00000000 0x0204 Endpoint 5 Control Set Register UECON5SET Write-Only 0x00000000 0x0208 Endpoint 6 Control Set Register UECON6SET Write-Only 0x00000000 0x020C Endpoint 7 Control Set Register UECON7SET Write-Only 0x00000000 0x0220 Endpoint 0 Control Clear Register UECON0CLR Write-Only 0x00000000 0x0224 Endpoint 1 Control Clear Register UECON1CLR Write-Only 0x00000000 0x0228 Endpoint 2 Control Clear Register UECON2CLR Write-Only 0x00000000 0x022C Endpoint 3 Control Clear Register UECON3CLR Write-Only 0x00000000 0x0230 Endpoint 4 Control Clear Register UECON4CLR Write-Only 0x00000000 0x0234 Endpoint 5 Control Clear Register UECON5CLR Write-Only 0x00000000 0x0238 Endpoint 6 Control Clear Register UECON6CLR Write-Only 0x00000000 0x023C Endpoint 7 Control Clear Register UECON7CLR Write-Only 0x00000000 0x0310 Device DMA Channel 1 Next Descriptor Address Register UDDMA1 NEXTDESC Read/Write 0x00000000 0x0314 Device DMA Channel 1 HSB Address Register UDDMA1 ADDR Read/Write 0x00000000 0x0318 Device DMA Channel 1 Control Register UDDMA1 CONTROL Read/Write 0x00000000 666 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x031C Device DMA Channel 1 Status Register UDDMA1 STATUS Read/Write 0x00000000 0x0320 Device DMA Channel 2 Next Descriptor Address Register UDDMA2 NEXTDESC Read/Write 0x00000000 0x0324 Device DMA Channel 2 HSB Address Register UDDMA2 ADDR Read/Write 0x00000000 0x0328 Device DMA Channel 2 Control Register UDDMA2 CONTROL Read/Write 0x00000000 0x032C Device DMA Channel 2 Status Register UDDMA2 STATUS Read/Write 0x00000000 0x0330 Device DMA Channel 3 Next Descriptor Address Register UDDMA3 NEXTDESC Read/Write 0x00000000 0x0334 Device DMA Channel 3 HSB Address Register UDDMA3 ADDR Read/Write 0x00000000 0x0338 Device DMA Channel 3 Control Register UDDMA3 CONTROL Read/Write 0x00000000 0x033C Device DMA Channel 3 Status Register UDDMA3 STATUS Read/Write 0x00000000 0x0340 Device DMA Channel 4 Next Descriptor Address Register UDDMA4 NEXTDESC Read/Write 0x00000000 0x0344 Device DMA Channel 4 HSB Address Register UDDMA4 ADDR Read/Write 0x00000000 0x0348 Device DMA Channel 4 Control Register UDDMA4 CONTROL Read/Write 0x00000000 0x034C Device DMA Channel 4 Status Register UDDMA4 STATUS Read/Write 0x00000000 0x0350 Device DMA Channel 5 Next Descriptor Address Register UDDMA5 NEXTDESC Read/Write 0x00000000 0x0354 Device DMA Channel 5 HSB Address Register UDDMA5 ADDR Read/Write 0x00000000 0x0358 Device DMA Channel 5 Control Register UDDMA5 CONTROL Read/Write 0x00000000 0x035C Device DMA Channel 5 Status Register UDDMA5 STATUS Read/Write 0x00000000 0x0360 Device DMA Channel 6 Next Descriptor Address Register UDDMA6 NEXTDESC Read/Write 0x00000000 0x0364 Device DMA Channel 6 HSB Address Register UDDMA6 ADDR Read/Write 0x00000000 0x0368 Device DMA Channel 6 Control Register UDDMA6 CONTROL Read/Write 0x00000000 0x036C Device DMA Channel 6 Status Register UDDMA6 STATUS Read/Write 0x00000000 0x0370 Device DMA Channel 7 Next Descriptor Address Register UDDMA7 NEXTDESC Read/Write 0x00000000 667 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0374 Device DMA Channel 7 HSB Address Register UDDMA7 ADDR Read/Write 0x00000000 0x0378 Device DMA Channel 7 Control Register UDDMA7 CONTROL Read/Write 0x00000000 0x037C Device DMA Channel 7Status Register UDDMA7 STATUS Read/Write 0x00000000 0x0400 Host General Control Register UHCON Read/Write 0x00000000 0x0404 Host Global Interrupt Register UHINT Read-Only 0x00000000 0x0408 Host Global Interrupt Clear Register UHINTCLR Write-Only 0x00000000 0x040C Host Global Interrupt Set Register UHINTSET Write-Only 0x00000000 0x0410 Host Global Interrupt Enable Register UHINTE Read-Only 0x00000000 0x0414 Host Global Interrupt Enable Clear Register UHINTECLR Write-Only 0x00000000 0x0418 Host Global Interrupt Enable Set Register UHINTESET Write-Only 0x00000000 0x0041C Pipe Enable/Reset Register UPRST Read/Write 0x00000000 0x0420 Host Frame Number Register UHFNUM Read/Write 0x00000000 0x0424 Host Address 1 Register UHADDR1 Read/Write 0x00000000 0x0428 Host Address 2 Register UHADDR2 Read/Write 0x00000000 0x0500 Pipe 0 Configuration Register UPCFG0 Read/Write 0x00000000 0x0504 Pipe 1 Configuration Register UPCFG1 Read/Write 0x00000000 0x0508 Pipe 2 Configuration Register UPCFG2 Read/Write 0x00000000 0x050C Pipe 3 Configuration Register UPCFG3 Read/Write 0x00000000 0x0510 Pipe 4 Configuration Register UPCFG4 Read/Write 0x00000000 0x0514 Pipe 5 Configuration Register UPCFG5 Read/Write 0x00000000 0x0518 Pipe 6 Configuration Register UPCFG6 Read/Write 0x00000000 0x051C Pipe 7 Configuration Register UPCFG7 Read/Write 0x00000000 0x0530 Pipe 0 Status Register UPSTA0 Read-Only 0x00000000 0x0534 Pipe 1 Status Register UPSTA1 Read-Only 0x00000000 0x0538 Pipe 2 Status Register UPSTA2 Read-Only 0x00000000 0x053C Pipe 3 Status Register UPSTA3 Read-Only 0x00000000 0x0540 Pipe 4 Status Register UPSTA4 Read-Only 0x00000000 0x0544 Pipe 5 Status Register UPSTA5 Read-Only 0x00000000 0x0548 Pipe 6 Status Register UPSTA6 Read-Only 0x00000000 0x054C Pipe 7Status Register UPSTA7 Read-Only 0x00000000 0x0560 Pipe 0 Status Clear Register UPSTA0CLR Write-Only 0x00000000 0x0564 Pipe 1 Status Clear Register UPSTA1CLR Write-Only 0x00000000 0x0568 Pipe 2 Status Clear Register UPSTA2CLR Write-Only 0x00000000 0x056C Pipe 3 Status Clear Register UPSTA3CLR Write-Only 0x00000000 668 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0570 Pipe 4 Status Clear Register UPSTA4CLR Write-Only 0x00000000 0x0574 Pipe 5 Status Clear Register UPSTA5CLR Write-Only 0x00000000 0x0578 Pipe 6 Status Clear Register UPSTA6CLR Write-Only 0x00000000 0x057C Pipe 7 Status Clear Register UPSTA7CLR Write-Only 0x00000000 0x0590 Pipe 0 Status Set Register UPSTA0SET Write-Only 0x00000000 0x0594 Pipe 1 Status Set Register UPSTA1SET Write-Only 0x00000000 0x0598 Pipe 2 Status Set Register UPSTA2SET Write-Only 0x00000000 0x059C Pipe 3 Status Set Register UPSTA3SET Write-Only 0x00000000 0x05A0 Pipe 4 Status Set Register UPSTA4SET Write-Only 0x00000000 0x05A4 Pipe 5 Status Set Register UPSTA5SET Write-Only 0x00000000 0x05A8 Pipe 6 Status Set Register UPSTA6SET Write-Only 0x00000000 0x05AC Pipe 7 Status Set Register UPSTA7SET Write-Only 0x00000000 0x05C0 Pipe 0 Control Register UPCON0 Read-Only 0x00000000 0x05C4 Pipe 1 Control Register UPCON1 Read-Only 0x00000000 0x05C8 Pipe 2 Control Register UPCON2 Read-Only 0x00000000 0x05CC Pipe 3 Control Register UPCON3 Read-Only 0x00000000 0x05D0 Pipe 4 Control Register UPCON4 Read-Only 0x00000000 0x05D4 Pipe 5 Control Register UPCON5 Read-Only 0x00000000 0x05D8 Pipe 6 Control Register UPCON6 Read-Only 0x00000000 0x05DC Pipe 7 Control Register UPCON7 Read-Only 0x00000000 0x05F0 Pipe 0 Control Set Register UPCON0SET Write-Only 0x00000000 0x05F4 Pipe 1 Control Set Register UPCON1SET Write-Only 0x00000000 0x05F8 Pipe 2 Control Set Register UPCON2SET Write-Only 0x00000000 0x05FC Pipe 3 Control Set Register UPCON3SET Write-Only 0x00000000 0x0600 Pipe 4 Control Set Register UPCON4SET Write-Only 0x00000000 0x0604 Pipe 5 Control Set Register UPCON5SET Write-Only 0x00000000 0x0608 Pipe 6 Control Set Register UPCON6SET Write-Only 0x00000000 0x060C Pipe 7 Control Set Register UPCON7SET Write-Only 0x00000000 0x0620 Pipe 0 Control Clear Register UPCON0CLR Write-Only 0x00000000 0x0624 Pipe 1 Control Clear Register UPCON1CLR Write-Only 0x00000000 0x0628 Pipe 2 Control Clear Register UPCON2CLR Write-Only 0x00000000 0x062C Pipe 3 Control Clear Register UPCON3CLR Write-Only 0x00000000 0x0630 Pipe 4 Control Clear Register UPCON4CLR Write-Only 0x00000000 0x0634 Pipe 5 Control Clear Register UPCON5CLR Write-Only 0x00000000 0x0638 Pipe 6 Control Clear Register UPCON6CLR Write-Only 0x00000000 0x063C Pipe 7 Control Clear Register UPCON7CLR Write-Only 0x00000000 669 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0650 Pipe 0 IN Request Register UPINRQ0 Read/Write 0x00000000 0x0654 Pipe 1 IN Request Register UPINRQ1 Read/Write 0x00000000 0x0658 Pipe 2 IN Request Register UPINRQ2 Read/Write 0x00000000 0x065C Pipe 3 IN Request Register UPINRQ3 Read/Write 0x00000000 0x0660 Pipe 4 IN Request Register UPINRQ4 Read/Write 0x00000000 0x0664 Pipe 5 IN Request Register UPINRQ5 Read/Write 0x00000000 0x0668 Pipe 6 IN Request Register UPINRQ6 Read/Write 0x00000000 0x066C Pipe 7 IN Request Register UPINRQ7 Read/Write 0x00000000 0x0680 Pipe 0 Error Register UPERR0 Read/Write 0x00000000 0x0684 Pipe 1 Error Register UPERR1 Read/Write 0x00000000 0x0688 Pipe 2 Error Register UPERR2 Read/Write 0x00000000 0x068C Pipe 3 Error Register UPERR3 Read/Write 0x00000000 0x0690 Pipe 4 Error Register UPERR4 Read/Write 0x00000000 0x0694 Pipe 5 Error Register UPERR5 Read/Write 0x00000000 0x0698 Pipe 6 Error Register UPERR6 Read/Write 0x00000000 0x069C Pipe 7 Error Register UPERR7 Read/Write 0x00000000 0x0710 Host DMA Channel 1 Next Descriptor Address Register UHDMA1 NEXTDESC Read/Write 0x00000000 0x0714 Host DMA Channel 1 HSB Address Register UHDMA1 ADDR Read/Write 0x00000000 0x0718 Host DMA Channel 1 Control Register UHDMA1 CONTROL Read/Write 0x00000000 0x071C Host DMA Channel 1 Status Register UHDMA1 STATUS Read/Write 0x00000000 0x0720 Host DMA Channel 2 Next Descriptor Address Register UHDMA2 NEXTDESC Read/Write 0x00000000 0x0724 Host DMA Channel 2 HSB Address Register UHDMA2 ADDR Read/Write 0x00000000 0x0728 Host DMA Channel 2 Control Register UHDMA2 CONTROL Read/Write 0x00000000 0x072C Host DMA Channel 2 Status Register UHDMA2 STATUS Read/Write 0x00000000 0x0730 Host DMA Channel 3 Next Descriptor Address Register UHDMA3 NEXTDESC Read/Write 0x00000000 0x0734 Host DMA Channel 3 HSB Address Register UHDMA3 ADDR Read/Write 0x00000000 0x0738 Host DMA Channel 3 Control Register UHDMA3 CONTROL Read/Write 0x00000000 0x073C Host DMA Channel 3Status Register UHDMA3 STATUS Read/Write 0x00000000 670 32072H-AVR32-10/2012 AT32UC3A3 Table 27-4. USBB Register Memory Map Offset Register Name Access Reset Value 0x0740 Host DMA Channel 4 Next Descriptor Address Register UHDMA4 NEXTDESC Read/Write 0x00000000 0x0744 Host DMA Channel 4 HSB Address Register UHDMA4 ADDR Read/Write 0x00000000 0x0748 Host DMA Channel 4 Control Register UHDMA4 CONTROL Read/Write 0x00000000 0x074C Host DMA Channel 4 Status Register UHDMA4 STATUS Read/Write 0x00000000 0x0750 Host DMA Channel 5 Next Descriptor Address Register UHDMA5 NEXTDESC Read/Write 0x00000000 0x0754 Host DMA Channel 5 HSB Address Register UHDMA5 ADDR Read/Write 0x00000000 0x0758 Host DMA Channel 5 Control Register UHDMA5 CONTROL Read/Write 0x00000000 0x075C Host DMA Channel 5 Status Register UHDMA5 STATUS Read/Write 0x00000000 0x0760 Host DMA Channel 6 Next Descriptor Address Register UHDMA6 NEXTDESC Read/Write 0x00000000 0x0764 Host DMA Channel 6 HSB Address Register UHDMA6 ADDR Read/Write 0x00000000 0x0768 Host DMA Channel 6 Control Register UHDMA6 CONTROL Read/Write 0x00000000 0x076C Host DMA Channel 6 Status Register UHDMA6 STATUS Read/Write 0x00000000 0x0770 Host DMA Channel 7 Next Descriptor Address Register UHDMA7 NEXTDESC Read/Write 0x00000000 0x0774 Host DMA Channel 7 HSB Address Register UHDMA7 ADDR Read/Write 0x00000000 0x0778 Host DMA Channel 7 Control Register UHDMA7 CONTROL Read/Write 0x00000000 0x077C Host DMA Channel 7 Status Register UHDMA7 STATUS Read/Write 0x00000000 0x0800 General Control Register USBCON Read/Write 0x03004000 0x0804 General Status Register USBSTA Read-Only 0x00000400 0x0808 General Status Clear Register USBSTACLR Write-Only 0x00000000 0x080C General Status Set Register USBSTASET Write-Only 0x00000000 0x0818 IP Version Register UVERS Read-Only -(1) 0x081C IP Features Register UFEATURES Read-Only -(1) 0x0820 IP PB Address Size Register UADDRSIZE Read-Only -(1) 0x0824 IP Name Register 1 UNAME1 Read-Only -(1) 0x0828 IP Name Register 2 UNAME2 Read-Only -(1) 0x082C USB Finite State Machine Status Register USBFSM Read-Only 0x00000009 671 32072H-AVR32-10/2012 AT32UC3A3 Table 27-5. USB HSB Memory Map Offset Note: Register Name Access Reset Value 0x00000 0x0FFFC Pipe/Endpoint 0 FIFO Data Register USB FIFO0DATA Read/Write Undefined 0x10000 0x1FFFC Pipe/Endpoint 1 FIFO Data Register USB FIFO1DATA Read/Write Undefined 0x20000 0x2FFFC Pipe/Endpoint 2 FIFO Data Register USB FIFO2DATA Read/Write Undefined 0x30000 0x3FFFC Pipe/Endpoint 3 FIFO Data Register USB FIFO3DATA Read/Write Undefined 0x40000 0x4FFFC Pipe/Endpoint 4 FIFO Data Register USB FIFO4DATA Read/Write Undefined 0x50000 0x5FFFC Pipe/Endpoint 5 FIFO Data Register USB FIFO5DATA Read/Write Undefined 0x60000 0x6FFFC Pipe/Endpoint 6 FIFO Data Register USB FIFO6DATA Read/Write Undefined 0x70000 0x7FFFC Pipe/Endpoint 7 FIFO Data Register USB FIFO7DATA Read/Write Undefined 1. The reset values are device specific. Please refer to the Module Configuration section at the end of this chapter. 672 32072H-AVR32-10/2012 AT32UC3A3 27.8.1 USB General Registers 27.8.1.1 Name: General Control Register USBCON Access Type: Read/Write Offset: 0x0800 Reset Value: 0x03004000 31 30 29 28 27 26 25 24 - - - - - - UIMOD UIDE 23 22 21 20 19 18 17 16 - UNLOCK - - 15 14 13 12 11 10 USBE FRZCLK VBUSPO OTGPADE 7 6 5 4 3 ROLEEXE BCERRE VBERRE STOE TIMPAGE TIMVALUE 9 8 VBUSHWC 2 1 0 VBUSTE IDTE * UIMOD: USBB Mode This bit has no effect when UIDE is one (USB_ID input pin activated). 0: The module is in USB host mode. 1: The module is in USB device mode. This bit can be written even if USBE is zero or FRZCLK is one. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit. * UIDE: USB_ID Pin Enable 0: The USB mode (device/host) is selected from the UIMOD bit. 1: The USB mode (device/host) is selected from the USB_ID input pin. This bit can be written even if USBE is zero or FRZCLK is one. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit. * UNLOCK: Timer Access Unlock 1: The TIMPAGE and TIMVALUE fields are unlocked. 0: The TIMPAGE and TIMVALUE fields are locked. The TIMPAGE and TIMVALUE fields can always be read, whatever the value of UNLOCK. * TIMPAGE: Timer Page This field contains the page value to access a special timer register. * TIMVALUE: Timer Value This field selects the timer value that is written to the special time register selected by TIMPAGE. See Section 27.7.1.8 for details. * USBE: USBB Enable Writing a zero to this bit will reset the USBB, disable the USB transceiver and, disable the USBB clock inputs. Unless explicitly stated, all registers then will become read-only and will be reset. 1: The USBB is enabled. 0: The USBB is disabled. 673 32072H-AVR32-10/2012 AT32UC3A3 This bit can be written even if FRZCLK is one. * FRZCLK: Freeze USB Clock 1: The clock input are disabled (the resume detection is still active).This reduces power consumption. Unless explicitly stated, all registers then become read-only. 0: The clock inputs are enabled. This bit can be written even if USBE is zero. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit, but this freezes the clock inputs whatever its value. * VBUSPO: VBus Polarity 1: The USB_VBOF output signal is inverted (active low). 0: The USB_VBOF output signal is in its default mode (active high). To be generic. May be useful to control an external VBus power module. This bit can be written even if USBE is zero or FRZCLK is one. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit. * OTGPADE: OTG Pad Enable 1: The OTG pad is enabled. 0: The OTG pad is disabled. This bit can be written even if USBE is zero or FRZCLK is one. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit. * VBUSHWC: VBus Hardware Control 1: The hardware control over the USB_VBOF output pin is disabled. 0: The hardware control over the USB_VBOF output pin is enabled. The USBB resets the USB_VBOF output pin when a VBUS problem occurs. * STOE: Suspend Time-Out Interrupt Enable 1: The Suspend Time-Out Interrupt (STOI) is enabled. 0: The Suspend Time-Out Interrupt (STOI) is disabled. * ROLEEXE: Role Exchange Interrupt Enable 1: The Role Exchange Interrupt (ROLEEXI) is enabled. 0: The Role Exchange Interrupt (ROLEEXI) is disabled. * BCERRE: B-Connection Error Interrupt Enable 1: The B-Connection Error Interrupt (BCERRI) is enabled. 0: The B-Connection Error Interrupt (BCERRI) is disabled. * VBERRE: VBus Error Interrupt Enable 1: The VBus Error Interrupt (VBERRI) is enabled. 0: The VBus Error Interrupt (VBERRI) is disabled. * VBUSTE: VBus Transition Interrupt Enable 1: The VBus Transition Interrupt (VBUSTI) is enabled. 0: The VBus Transition Interrupt (VBUSTI) is disabled. * IDTE: ID Transition Interrupt Enable 1: The ID Transition interrupt (IDTI) is enabled. 0: The ID Transition interrupt (IDTI) is disabled. 674 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.2 General Status Register Register Name: USBSTA Access Type: Read-Only Offset: 0x0804 Reset Value: 0x00000400 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - CLKUSABLE VBUS ID VBUSRQ - 7 6 2 1 0 VBUSTI IDTI STOI SPEED 5 4 3 ROLEEXI BCERRI VBERRI * CLKUSABLE: UTMI Clock Usable This bit is set when the UTMI 30MHz is usable. This bit is cleared when the UTMI 30MHz is not usable. * SPEED: Speed Status This field is set according to the controller speed mode.. SPEED Speed Status 0 0 Full-Speed mode 1 0 Low-Speed mode 0 1 High-Speed mode 1 1 Reserved * VBUS: VBus Level This bit is set when the VBus line level is high. This bit is cleared when the VBus line level is low. This bit can be used in either device or host mode to monitor the USB bus connection state of the application. * ID: USB_ID Pin State This bit is cleared when the USB_ID level is low, even if USBE is zero. This bit is set when the USB_ID level is high, event if USBE is zero. * VBUSRQ: VBus Request This bit is set when the USBSTASET.VBUSRQS bit is written to one. This bit is cleared when the USBSTACLR.VBUSRQC bit is written to one or when a VBus error occurs and VBUSHWC is zero. 1: The USB_VBOF output pin is driven high to enable the VBUS power supply generation. 0: The USB_VBOF output pin is driven low to disable the VBUS power supply generation. This bit shall only be used in host mode. 675 32072H-AVR32-10/2012 AT32UC3A3 * STOI: Suspend Time-Out Interrupt This bit is set when a time-out error (more than 200ms) has been detected after a suspend. This triggers a USB interrupt if STOE is one. This bit is cleared when the UBSTACLR.STOIC bit is written to one. This bit shall only be used in host mode. * ROLEEXI: Role Exchange Interrupt This bit is set when the USBB has successfully switched its mode because of an negotiation (host to device or device to host). This triggers a USB interrupt if ROLEEXE is one. This bit is cleared when the UBSTACLR.ROLEEXIC bit is written to one. * BCERRI: B-Connection Error Interrupt This bit is set when an error occurs during the B-connection. This triggers a USB interrupt if BCERRE is one. This bit is cleared when the UBSTACLR.BCERRIC bit is written to one. This bit shall only be used in host mode. * VBERRI: VBus Error Interrupt This bit is set when a VBus drop has been detected. This triggers a USB interrupt if VBERRE is one. This bit is cleared when the UBSTACLR.VBERRIC bit is written to one. This bit shall only be used in host mode. If a VBus problem occurs, then the VBERRI interrupt is generated even if the USBB does not go to an error state because of VBUSHWC is one. * VBUSTI: VBus Transition Interrupt This bit is set when a transition (high to low, low to high) has been detected on the USB_VBUS pad. This triggers an USB interrupt if VBUSTE is one. This bit is cleared when the UBSTACLR.VBUSTIC bit is written to one. This interrupt is generated even if the clock is frozen by the FRZCLK bit. * IDTI: ID Transition Interrupt This bit is set when a transition (high to low, low to high) has been detected on the USB_ID input pin. This triggers an USB interrupt if IDTE is one. This bit is cleared when the UBSTACLR.IDTIC bit is written to one. This interrupt is generated even if the clock is frozen by the FRZCLK bit or pad is disable by USBCON.OTGPADE or the USBB module is disabled by USBCON.USBE. 676 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.3 General Status Clear Register Register Name: USBSTACLR Access Type: Write-Only Offset: 0x0808 Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - VBUSRQC - 7 6 5 4 3 2 1 0 ROLEEXIC BCERRIC VBERRIC VBUSTIC IDTIC STOIC Writing a one to a bit in this register will clear the corresponding bit in UBSTA. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 677 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.4 General Status Set Register Register Name: USBSTASET Access Type: Write-Only Offset: 0x080C Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - VBUSRQS - 7 6 5 4 3 2 1 0 ROLEEXIS BCERRIS VBERRIS VBUSTIS IDTIS STOIS Writing a one to a bit in this register will set the corresponding bit in UBSTA, what may be useful for test or debug purposes. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 678 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.5 Version Register Register Name: UVERS Access Type: Read-Only Offset: 0x0818 Read Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 VARIANT 11 10 VERSION[11:8] 3 2 1 0 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION: Version Number Version number of the module. No functionality associated. 679 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.6 Features Register Register Name: UFEATURES Access Type: Read-Only Offset: 0x081C Read Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 ENHBISO7 ENHBISO6 ENHBISO5 ENHBISO4 ENHBISO3 ENHBISO2 ENHBISO1 DATABUS 15 14 13 12 11 10 9 8 BYTEWRITE DPRAM FIFOMAXSIZE 7 6 DMABUFFE RSIZE 5 DMAFIFOWORDDEPTH 4 DMACHANNELNBR 3 2 1 0 EPTNBRMAX * ENHBISOn: High Bandwidth Isochronous Feature for Endpoint n 1: The high bandwidth isochronous is supported. 0: The high bandwidth isochronous is not supported. * DATABUS: Data Bus 16-8 1: The UTMI data bus is a 16-bit data path at 30MHz. 0: The UTMI data bus is a 8-bit data path at 60MHz. * BYTEWRITEDPRAM: DPRAM Byte-Write Capability 1: The DPRAM is natively byte-write capable. 0: The DPRAM byte write lanes have shadow logic implemented in the USBB IP interface. * FIFOMAXSIZE: Maximal FIFO Size This field indicates the maximal FIFO size, i.e., the DPRAM size: FIFOMAXSIZE Maximal FIFO Size 0 0 0 < 256 bytes 0 0 1 < 512 bytes 0 1 0 < 1024 bytes 0 1 1 < 2048 bytes 1 0 0 < 4096 bytes 1 0 1 < 8192 bytes 1 1 0 < 16384 bytes 1 1 1 >= 16384 bytes 680 32072H-AVR32-10/2012 AT32UC3A3 * DMAFIFOWORDDEPTH: DMA FIFO Depth in Words This field indicates the DMA FIFO depth controller in words: DMAFIFOWORDDEPTH DMA FIFO Depth in Words 0 0 0 0 16 0 0 0 1 1 0 0 1 0 2 ... 1 1 1 1 15 * DMABUFFERSIZE: DMA Buffer Size 1: The DMA buffer size is 24bits. 0: The DMA buffer size is 16bits. * DMACHANNELNBR: Number of DMA Channels This field indicates the number of hardware-implemented DMA channels: DMACHANNELNBR Number of DMA Channels 0 0 0 Reserved 0 0 1 1 0 1 0 2 ... 1 1 1 7 * EPTNBRMAX: Maximal Number of Pipes/Endpoints This field indicates the number of hardware-implemented pipes/endpoints: EPTNBRMAX Maximal Number of Pipes/Endpoints 0 0 0 0 16 0 0 0 1 1 0 0 1 0 2 ... 1 1 1 1 15 681 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.7 Address Size Register Register Name: UADDRSIZE Access Type: Read-Only Offset: 0x0820 Read Value: - 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 UADDRSIZE[31:24] 23 22 21 20 19 UADDRSIZE[23:16] 15 14 13 12 11 UADDRSIZE[15:8] 7 6 5 4 3 UADDRSIZE[7:0] * UADDRSIZE: IP PB Address Size This field indicates the size of the PB address space reserved for the USBB IP interface. 682 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.8 Name Register 1 Register Name: UNAME1 Access Type: Read-Only Offset: 0x0824 Read Value: - 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 UNAME1[31:24] 23 22 21 20 19 UNAME1[23:16] 15 14 13 12 11 UNAME1[15:8] 7 6 5 4 3 UNAME1[7:0] * UNAME1: IP Name Part One This field indicates the first part of the ASCII-encoded name of the USBB IP. 683 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.9 Name Register 2 Register Name: UNAME2 Access Type: Read-Only Offset: 0x0828 Read Value: 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 UNAME2[31:24] 23 22 21 20 19 UNAME2[23:16] 15 14 13 12 11 UNAME2[15:8] 7 6 5 4 3 UNAME2[7:0] * UNAME2: IP Name Part Two This field indicates the second part of the ASCII-encoded name of the USBB IP. 684 32072H-AVR32-10/2012 AT32UC3A3 27.8.1.10 Finite State Machine Status Register Register Name: USBFSM Access Type: Read-Only Offset: 0x082C Read Value: 0x00000009 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - DRDSTATE * DRDSTATE This field indicates the state of the USBB. DRDSTATE Description 0 a_idle state: this is the start state for A-devices (when the ID pin is 0) 1 a_wait_vrise: In this state, the A-device waits for the voltage on VBus to rise above the Adevice VBus Valid threshold (4.4 V). 2 a_wait_bcon: In this state, the A-device waits for the B-device to signal a connection. 3 a_host: In this state, the A-device that operates in Host mode is operational. 4 a_suspend: The A-device operating as a host is in the suspend mode. 5 a_peripheral: The A-device operates as a peripheral. 6 a_wait_vfall: In this state, the A-device waits for the voltage on VBus to drop below the Adevice Session Valid threshold (1.4 V). 7 a_vbus_err: In this state, the A-device waits for recovery of the over-current condition that caused it to enter this state. 8 a_wait_discharge: In this state, the A-device waits for the data usb line to discharge (100 us). 9 b_idle: this is the start state for B-device (when the ID pin is 1). 10 b_peripheral: In this state, the B-device acts as the peripheral. 11 b_wait_begin_hnp: In this state, the B-device is in suspend mode and waits until 3 ms before initiating the HNP protocol if requested. 12 b_wait_discharge: In this state, the B-device waits for the data usb line to discharge (100 us) before becoming Host. 685 32072H-AVR32-10/2012 AT32UC3A3 DRDSTATE Description 13 b_wait_acon: In this state, the B-device waits for the A-device to signal a connect before becoming B-Host. 14 b_host: In this state, the B-device acts as the Host. 15 b_srp_init: In this state, the B-device attempts to start a session using the SRP protocol. 686 32072H-AVR32-10/2012 AT32UC3A3 27.8.2 USB Device Registers 27.8.2.1 Device General Control Register Register Name: UDCON Access Type: Read/Write Offset: 0x0000 Reset Value: 0x00000100 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - OPMODE2 15 14 13 12 11 10 9 8 TSTPCKT TSTK TSTJ LS RMWKUP DETACH 7 6 5 4 1 0 ADDEN SPDCONF 3 2 UADD * OPMODE2: Specific Operational mode 1: The UTMI transceiver is in the disable bit stuffing and NRZI encoding operational mode for test purpose. 0: The UTMI transceiver is in normal operation mode. * TSTPCKT: Test packet mode 1: The UTMI transceiver generates test packets for test purpose. 0: The UTMI transceiver is in normal operation mode. * TSTK: Test mode K 1: The UTMI transceiver generates high-speed K state for test purpose. 0: The UTMI transceiver is in normal operation mode. * TSTJ: Test mode J 1: The UTMI transceiver generates high-speed J state for test purpose. 0: The UTMI transceiver is in normal operation mode. * LS: Low-Speed Mode Force 1: The low-speed mode is active. 0: The full-speed mode is active. This bit can be written even if USBE is zero or FRZCLK is one. Disabling the USBB (by writing a zero to the USBE bit) does not reset this bit. 687 32072H-AVR32-10/2012 AT32UC3A3 * SPDCONF: Speed Configuration This field contains the peripheral speed. SPDCONF Speed 0 0 Normal mode: the peripheral starts in full-speed mode and performs a high-speed reset to switch to the high-speed mode if the host is high-speed capable. 0 1 reserved, do not use this configuration 1 0 reserved, do not use this configuration 1 1 Full-speed: the peripheral remains in full-speed mode whatever is the host speed capability. * RMWKUP: Remote Wake-Up Writing a one to this bit will send an upstream resume to the host for a remote wake-up. Writing a zero to this bit has no effect. This bit is cleared when the USBB receive a USB reset or once the upstream resume has been sent. * DETACH: Detach Writing a one to this bit will physically detach the device (disconnect internal pull-up resistor from D+ and D-). Writing a zero to this bit will reconnect the device. * ADDEN: Address Enable Writing a one to this bit will activate the UADD field (USB address). Writing a zero to this bit has no effect. This bit is cleared when a USB reset is received. * UADD: USB Address This field contains the device address. This field is cleared when a USB reset is received. 688 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.2 Device Global Interrupt Register Register Name: UDINT Access Type: Read-Only Offset: 0x0004 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INT DMA6INT DMA5INT DMA4INT DMA3INT DMA2INT DMA1INT - 23 22 21 20 19 18 17 16 - - - - EP7INT EP6INT EP5INT EP4INT 15 14 13 12 11 10 9 8 EP3INT EP2INT EP1INT EP0INT - - - - 7 6 5 4 3 2 1 0 - UPRSM EORSM WAKEUP EORST SOF MSOF SUSP * DMAnINT: DMA Channel n Interrupt This bit is set when an interrupt is triggered by the DMA channel n. This triggers a USB interrupt if DMAnINTE is one. This bit is cleared when the UDDMAnSTATUS interrupt source is cleared. * EPnINT: Endpoint n Interrupt This bit is set when an interrupt is triggered by the endpoint n (UESTAn, UECONn). This triggers a USB interrupt if EPnINTE is one. This bit is cleared when the interrupt source is serviced. * UPRSM: Upstream Resume Interrupt This bit is set when the USBB sends a resume signal called "Upstream Resume". This triggers a USB interrupt if UPRSME is one. This bit is cleared when the UDINTCLR.UPRSMC bit is written to one to acknowledge the interrupt (USB clock inputs must be enabled before). * EORSM: End of Resume Interrupt This bit is set when the USBB detects a valid "End of Resume" signal initiated by the host. This triggers a USB interrupt if EORSME is one. This bit is cleared when the UDINTCLR.EORSMC bit is written to one to acknowledge the interrupt. * WAKEUP: Wake-Up Interrupt This bit is set when the USBB is reactivated by a filtered non-idle signal from the lines (not by an upstream resume). This triggers an interrupt if WAKEUPE is one. This bit is cleared when the UDINTCLR.WAKEUPC bit is written to one to acknowledge the interrupt (USB clock inputs must be enabled before). This bit is cleared when the Suspend (SUSP) interrupt bit is set. This interrupt is generated even if the clock is frozen by the FRZCLK bit. * EORST: End of Reset Interrupt This bit is set when a USB "End of Reset" has been detected. This triggers a USB interrupt if EORSTE is one. This bit is cleared when the UDINTCLR.EORSTC bit is written to one to acknowledge the interrupt. 689 32072H-AVR32-10/2012 AT32UC3A3 * SOF: Start of Frame Interrupt This bit is set when a USB "Start of Frame" PID (SOF) has been detected (every 1 ms). This triggers a USB interrupt if SOFE is one. The FNUM field is updated. In High-speed mode, the MFNUM field is cleared. This bit is cleared when the UDINTCLR.SOFC bit is written to one to acknowledge the interrupt. * MSOF: Micro Start of Frame Interrupt This bit is set in High-speed mode when a USB "Micro Start of Frame" PID (SOF) has been detected (every 125 us). This triggers a USB interrupt if MSOFE is one. The MFNUM field is updated. The FNUM field is unchanged. This bit is cleared when the UDINTCLR.MSOFC bit is written to one to acknowledge the interrupt. * SUSP: Suspend Interrupt This bit is set when a USB "Suspend" idle bus state has been detected for 3 frame periods (J state for 3 ms). This triggers a USB interrupt if SUSPE is one. This bit is cleared when the UDINTCLR.SUSPC bit is written to one to acknowledge the interrupt. This bit is cleared when the Wake-Up (WAKEUP) interrupt bit is set. 690 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.3 Device Global Interrupt Clear Register Register Name: UDINTCLR Access Type: Write-Only Offset: 0x0008 Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - UPRSMC EORSMC WAKEUPC EORSTC SOFC MSOFC SUSPC Writing a one to a bit in this register will clear the corresponding bit in UDINT. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 691 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.4 Device Global Interrupt Set Register Register Name: UDINTSET Access Type: Write-Only Offset: 0x000C Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTS DMA6INTS DMA5INTS DMA4INTS DMA3INTS DMA2INTS DMA1INTS - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - UPRSMS EORSMS WAKEUPS EORSTS SOFS MSOFS SUSPS Writing a one to a bit in this register will set the corresponding bit in UDINT, what may be useful for test or debug purposes. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 692 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.5 Device Global Interrupt Enable Register Register Name: UDINTE Access Type: Read-Only Offset: 0x0010 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTE DMA6INTE DMA5INTE DMA4INTE DMA3INTE DMA2INTE DMA1INTE - 23 22 21 20 19 18 17 16 - - - - EP7INTE EP6INTE EP5INTE EP4INTE 15 14 13 12 11 10 9 8 EP3INTE EP2INTE EP1INTE EP0INTE - - - - 7 6 5 4 3 2 1 0 - UPRSME EORSME WAKEUPE EORSTE SOFE MSOFE SUSPE 1: The corresponding interrupt is enabled. 0: The corresponding interrupt is disabled. A bit in this register is set when the corresponding bit in UDINTESET is written to one. A bit in this register is cleared when the corresponding bit in UDINTECLR is written to one. 693 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.6 Device Global Interrupt Enable Clear Register Register Name: UDINTECLR Access Type: Write-Only Offset: 0x0014 Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTEC DMA6INTEC DMA5INTEC DMA4INTEC DMA3INTEC DMA2INTEC DMA1INTEC - 23 22 21 20 19 18 17 16 - - - - EP7INTEC EP6INTEC EP5INTEC EP4INTEC 15 14 13 12 11 10 9 8 EP3INTEC EP2INTEC EP1INTEC EP0INTEC - - - - 7 6 5 4 3 2 1 0 - UPRSMEC EORSMEC WAKEUPEC EORSTEC SOFEC MSOFEC SUSPEC Writing a one to a bit in this register will clear the corresponding bit in UDINTE. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 694 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.7 Device Global Interrupt Enable Set Register Register Name: UDINTESET Access Type: Write-Only Offset: 0x0018 Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTES DMA6INTES DMA5INTES DMA4INTES DMA3INTES DMA2INTES DMA1INTES - 23 22 21 20 19 18 17 16 - - - - EP7INTES EP6INTES EP5INTES EP4INTES 15 14 13 12 11 10 9 8 EP3INTES EP2INTES EP1INTES EP0INTES - - - - 7 6 5 4 3 2 1 0 - UPRSMES EORSMES WAKEUPES EORSTES SOFES MSOFES SUSPES Writing a one to a bit in this register will set the corresponding bit in UDINTE. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 695 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.8 Endpoint Enable/Reset Register Register Name: UERST Access Type: Read/Write Offset: 0x001C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 EPRST7 EPRST6 EPRST5 EPRST4 EPRST3 EPRST2 EPRST1 EPRST0 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 EPEN7 EPEN6 EPEN5 EPEN4 EPEN3 EPEN2 EPEN1 EPEN0 * EPRSTn: Endpoint n Reset Writing a one to this bit will reset the endpoint n FIFO prior to any other operation, upon hardware reset or when a USB bus reset has been received. This resets the endpoint n registers (UECFGn, UESTAn, UECONn) but not the endpoint configuration (ALLOC, EPBK, EPSIZE, EPDIR, EPTYPE). All the endpoint mechanism (FIFO counter, reception, transmission, etc.) is reset apart from the Data Toggle Sequence field (DTSEQ) which can be cleared by setting the RSTDT bit (by writing a one to the RSTDTS bit). The endpoint configuration remains active and the endpoint is still enabled. Writing a zero to this bit will complete the reset operation and start using the FIFO. This bit is cleared upon receiving a USB reset. * EPENn: Endpoint n Enable 1: The endpoint n is enabled. 0: The endpoint n is disabled, what forces the endpoint n state to inactive (no answer to USB requests) and resets the endpoint n registers (UECFGn, UESTAn, UECONn) but not the endpoint configuration (ALLOC, EPBK, EPSIZE, EPDIR, EPTYPE). 696 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.9 Device Frame Number Register Register Name: UDFNUM Access Type: Read-Only Offset: 0x0020 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 FNCERR - 7 6 2 1 0 FNUM[10:5] 5 FNUM[4:0] 4 3 MFNUM * FNCERR: Frame Number CRC Error This bit is set when a corrupted frame number (or micro-frame number) is received. This bit and the SOF (or MSOF) interrupt bit are updated at the same time. This bit is cleared upon receiving a USB reset. * FNUM: Frame Number This field contains the 11-bit frame number information. It is provided in the last received SOF packet. This field is cleared upon receiving a USB reset. FNUM is updated even if a corrupted SOF is received. * MFNUM: Micro Frame Number This field contains the 3-bit micro frame number information. It is provided in the last received MSOF packet. This field is cleared at the beginning of each start of frame (SOF interrupt) or upon receiving a USB reset. MFNUM is updated even if a corrupted MSOF is received. 697 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.10 Endpoint n Configuration Register Register Name: UECFGn, n in [0..7] Access Type: Read/Write Offset: 0x0100 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - AUTOSW EPDIR 2 1 0 ALLOC - - NBTRANS 7 6 EPTYPE 5 - 4 EPSIZE 3 EPBK * NBTRANS: Number of transaction per microframe for isochronous endpoint This field shall be written to the number of transaction per microframe to perform high-bandwidth isochronous transfer This field can be written only for endpoint that have this capability (see UFEATURES register, ENHBISOn bit). This field is 0 otherwise. This field is irrelevant for non-isochronous endpoint. Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device.. NBTRANS Number of transaction 0 0 reserved to endpoint that does not have the high-bandwidth isochronous capability. 0 1 default value: one transaction per micro-frame. 1 0 2 transactions per micro-frame. This endpoint should be configured as double-bank. 1 1 3 transactions per micro-frame. This endpoint should be configured as triple-bank if supported (see Table 27-1 on page 624). * EPTYPE: Endpoint Type This field shall be written to select the endpoint type: EPTYPE Endpoint Type 0 0 Control 0 1 Isochronous 1 0 Bulk 1 1 Interrupt This field is cleared upon receiving a USB reset. 698 32072H-AVR32-10/2012 AT32UC3A3 * AUTOSW: Automatic Switch This bit is cleared upon receiving a USB reset. 1: The automatic bank switching is enabled. 0: The automatic bank switching is disabled. * EPDIR: Endpoint Direction This bit is cleared upon receiving a USB reset. 1: The endpoint direction is IN (nor for control endpoints). 0: The endpoint direction is OUT. * EPSIZE: Endpoint Size This field shall be written to select the size of each endpoint bank. The maximum size of each endpoint is specified in Table 271 on page 624. EPSIZE Endpoint Size 0 0 0 8 bytes 0 0 1 16 bytes 0 1 0 32 bytes 0 1 1 64 bytes 1 0 0 128 bytes 1 0 1 256 bytes 1 1 0 512 bytes This field is cleared upon receiving a USB reset (except for the endpoint 0). * EPBK: Endpoint Banks This field shall be written to select the number of banks for the endpoint: EPBK Endpoint Banks 0 0 1 (single-bank endpoint) 0 1 2 (double-bank endpoint) 1 0 3 (triple-bank endpoint) if supported (see Table 27-1 on page 624). 1 1 Reserved For control endpoints, a single-bank endpoint (0b00) shall be selected. This field is cleared upon receiving a USB reset (except for the endpoint 0). * ALLOC: Endpoint Memory Allocate Writing a one to this bit will allocate the endpoint memory. The user should check the CFGOK bit to know whether the allocation of this endpoint is correct. Writing a zero to this bit will free the endpoint memory. This bit is cleared upon receiving a USB reset (except for the endpoint 0). 699 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.11 Endpoint n Status Register Register Name: UESTAn, n in [0..7] Access Type: Read-Only 0x0100 Offset: 0x0130 + (n * 0x04) Reset Value: 0x00000100 31 30 29 28 26 25 24 19 18 17 16 - CFGOK CTRLDIR RWALL 11 10 9 8 - ERRORTRANS 3 2 1 0 NAKINI/ NAKOUTI/ HBISOFLUSHI HBISOINERRI RXSTPI/ UNDERFI RXOUTI TXINI - 27 BYCT 23 22 21 20 BYCT 15 14 13 CURRBK 12 NBUSYBK 7 6 5 SHORT PACKET STALLEDI/ CRCERRI OVERFI 4 DTSEQ * BYCT: Byte Count This field is set with the byte count of the FIFO. For IN endpoints, incremented after each byte written by the software into the endpoint and decremented after each byte sent to the host. For OUT endpoints, incremented after each byte received from the host and decremented after each byte read by the software from the endpoint. This field may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. * CFGOK: Configuration OK Status This bit is updated when the ALLOC bit is written to one. This bit is set if the endpoint n number of banks (EPBK) and size (EPSIZE) are correct compared to the maximal allowed number of banks and size for this endpoint and to the maximal FIFO size (i.e. the DPRAM size). If this bit is cleared, the user shall rewrite correct values to the EPBK and EPSIZE fields in the UECFGn register. * CTRLDIR: Control Direction This bit is set after a SETUP packet to indicate that the following packet is an IN packet. This bit is cleared after a SETUP packet to indicate that the following packet is an OUT packet. Writing a zero or a one to this bit has no effect. * RWALL: Read/Write Allowed This bit is set for IN endpoints when the current bank is not full, i.e., the user can write further data into the FIFO. This bit is set for OUT endpoints when the current bank is not empty, i.e., the user can read further data from the FIFO. This bit is never set if STALLRQ is one or in case of error. This bit is cleared otherwise. This bit shall not be used for control endpoints. 700 32072H-AVR32-10/2012 AT32UC3A3 * CURRBK: Current Bank This bit is set for non-control endpoints, to indicate the current bank: CURRBK Current Bank 0 0 Bank0 0 1 Bank1 1 0 Bank2 if supported (see Table 27-1 on page 624). 1 1 Reserved This field may be updated one clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. * NBUSYBK: Number of Busy Banks This field is set to indicate the number of busy banks: NBUSYBK Number of Busy Banks 0 0 0 (all banks free) 0 1 1 1 0 2 1 1 3 if supported (see Table 27-1 on page 624). For IN endpoints, it indicates the number of banks filled by the user and ready for IN transfer. When all banks are free, this triggers an EPnINT interrupt if NBUSYBKE is one. For OUT endpoints, it indicates the number of banks filled by OUT transactions from the host. When all banks are busy, this triggers an EPnINT interrupt if NBUSYBKE is one. When the FIFOCON bit is cleared (by writing a one to the FIFOCONC bit) to validate a new bank, this field is updated two or three clock cycles later to calculate the address of the next bank. An EPnINT interrupt is triggered if: - for IN endpoint, NBUSYBKE is one and all the banks are free. - for OUT endpoint, NBUSYBKE is one and all the banks are busy. * ERRORTRANS: High-bandwidth isochronous OUT endpoint transaction error Interrupt This bit is set when a transaction error occurs during the current micro-frame (the data toggle sequencing does not respect the usb 2.0 standard). This triggers an EPnINT interrupt if ERRORTRANSE is one. This bit is set as long as the current bank (CURRBK) belongs to the bad n-transactions (n=1,2 or 3) transferred during the micro-frame. Shall be cleared by software by clearing (at least once) the FIFOCON bit to switch to the bank that belongs to the next n-transactions (next micro-frame). Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * DTSEQ: Data Toggle Sequence This field is set to indicate the PID of the current bank: DTSEQ Data Toggle Sequence 0 0 Data0 0 1 Data1 1 0 Data2 (for high-bandwidth isochronous endpoint) 1 1 MData (for high-bandwidth isochronous endpoint) For IN transfers, it indicates the data toggle sequence that will be used for the next packet to be sent. This is not relative to the current bank. 701 32072H-AVR32-10/2012 AT32UC3A3 For OUT transfers, this value indicates the last data toggle sequence received on the current bank. By default DTSEQ is 0b01, as if the last data toggle sequence was Data1, so the next sent or expected data toggle sequence should be Data0. For High-bandwidth isochronous endpoint, an EPnINT interrupt is triggered if: - MDATAE is one and a MData packet has been received (DTSEQ=MData and RXOUTI is one). - DATAXE is one and a Data0/1/2 packet has been received (DTSEQ=Data0/1/2 and RXOUTI is one) Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * SHORTPACKET: Short Packet Interrupt This bit is set for non-control OUT endpoints, when a short packet has been received. This bit is set for non-control IN endpoints, a short packet is transmitted upon ending a DMA transfer, thus signaling an end of isochronous frame or a bulk or interrupt end of transfer, this only if the End of DMA Buffer Output Enable (DMAENDEN) bit and the Automatic Switch (AUTOSW) bit are written to one. This triggers an EPnINT interrupt if SHORTPACKETE is one. This bit is cleared when the SHORTPACKETC bit is written to one. This will acknowledge the interrupt. * STALLEDI: STALLed Interrupt This bit is set to signal that a STALL handshake has been sent. To do that, the software has to set the STALLRQ bit (by writing a one to the STALLRQS bit). This triggers an EPnINT interrupt if STALLEDE is one. This bit is cleared when the STALLEDIC bit is written to one. This will acknowledge the interrupt. * CRCERRI: CRC Error Interrupt This bit is set to signal that a CRC error has been detected in an isochronous OUT endpoint. The OUT packet is stored in the bank as if no CRC error had occurred. This triggers an EPnINT interrupt if CRCERRE is one. This bit is cleared when the CRCERRIC bit is written to one. This will acknowledge the interrupt. * OVERFI: Overflow Interrupt This bit is set when an overflow error occurs. This triggers an EPnINT interrupt if OVERFE is one. For all endpoint types, an overflow can occur during OUT stage if the host attempts to write into a bank that is too small for the packet. The packet is acknowledged and the RXOUTI bit is set as if no overflow had occurred. The bank is filled with all the first bytes of the packet that fit in. This bit is cleared when the OVERFIC bit is written to one. This will acknowledge the interrupt. * NAKINI: NAKed IN Interrupt This bit is set when a NAK handshake has been sent in response to an IN request from the host. This triggers an EPnINT interrupt if NAKINE is one. This bit is cleared when the NAKINIC bit is written to one. This will acknowledge the interrupt. * HBISOFLUSHI: High Bandwidth Isochronous IN Flush Interrupt This bit is set, for High-bandwidth isochronous IN endpoint (with NBTRANS=2 or 3), at the end of the micro-frame, if less than N transaction has been completed by the USBB without underflow error. This may occur in case of a missing IN token. In this case, the bank are flushed out to ensure the data synchronization between the host and the device. This triggers an EPnINT interrupt if HBISOFLUSHE is one. This bit is cleared when the HBISOFLUSHIC bit is written to one. This will acknowledge the interrupt. Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * NAKOUTI: NAKed OUT Interrupt This bit is set when a NAK handshake has been sent in response to an OUT request from the host. This triggers an EPnINT interrupt if NAKOUTE is one. This bit is cleared when the NAKOUTIC bit is written to one. This will acknowledge the interrupt. * HBISOINERRI: High bandwidth isochronous IN Underflow Error Interrupt This bit is set, for High-bandwidth isochronous IN endpoint (with NBTRANS=2 or 3), at the end of the microframe, if less than N bank was written by the cpu within this micro-frame. This triggers an EPnINT interrupt if HBISOINERRE is one. This bit is cleared when the HBISOINERRIC bit is written to one. This will acknowledge the interrupt. Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * UNDERFI: Underflow Interrupt This bit is set, for isochronous IN/OUT endpoints, when an underflow error occurs. This triggers an EPnINT interrupt if UNDERFE is one. 702 32072H-AVR32-10/2012 AT32UC3A3 An underflow can occur during IN stage if the host attempts to read from an empty bank. A zero-length packet is then automatically sent by the USBB. An underflow can also occur during OUT stage if the host sends a packet while the bank is already full. Typically, the CPU is not fast enough. The packet is lost. Shall be cleared by writing a one to the UNDERFIC bit. This will acknowledge the interrupt. This bit is inactive (cleared) for bulk and interrupt IN/OUT endpoints and it means RXSTPI for control endpoints. * RXSTPI: Received SETUP Interrupt This bit is set, for control endpoints, to signal that the current bank contains a new valid SETUP packet. This triggers an EPnINT interrupt if RXSTPE is one. Shall be cleared by writing a one to the RXSTPIC bit. This will acknowledge the interrupt and free the bank. This bit is inactive (cleared) for bulk and interrupt IN/OUT endpoints and it means UNDERFI for isochronous IN/OUT endpoints. * RXOUTI: Received OUT Data Interrupt This bit is set, for control endpoints, when the current bank contains a bulk OUT packet (data or status stage). This triggers an EPnINT interrupt if RXOUTE is one. Shall be cleared for control end points, by writing a one to the RXOUTIC bit. This will acknowledge the interrupt and free the bank. This bit is set for isochronous, bulk and, interrupt OUT endpoints, at the same time as FIFOCON when the current bank is full. This triggers an EPnINT interrupt if RXOUTE is one. Shall be cleared for isochronous, bulk and, interrupt OUT endpoints, by writing a one to the RXOUTIC bit. This will acknowledge the interrupt, what has no effect on the endpoint FIFO. The user then reads from the FIFO and clears the FIFOCON bit to free the bank. If the OUT endpoint is composed of multiple banks, this also switches to the next bank. The RXOUTI and FIFOCON bits are set/cleared in accordance with the status of the next bank. RXOUTI shall always be cleared before clearing FIFOCON. This bit is inactive (cleared) for isochronous, bulk and interrupt IN endpoints. * TXINI: Transmitted IN Data Interrupt This bit is set for control endpoints, when the current bank is ready to accept a new IN packet. This triggers an EPnINT interrupt if TXINE is one. This bit is cleared when the TXINIC bit is written to one. This will acknowledge the interrupt and send the packet. This bit is set for isochronous, bulk and interrupt IN endpoints, at the same time as FIFOCON when the current bank is free. This triggers an EPnINT interrupt if TXINE is one. This bit is cleared when the TXINIC bit is written to one. This will acknowledge the interrupt, what has no effect on the endpoint FIFO. The user then writes into the FIFO and clears the FIFOCON bit to allow the USBB to send the data. If the IN endpoint is composed of multiple banks, this also switches to the next bank. The TXINI and FIFOCON bits are set/cleared in accordance with the status of the next bank. TXINI shall always be cleared before clearing FIFOCON. This bit is inactive (cleared) for isochronous, bulk and interrupt OUT endpoints. 703 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.12 Endpoint n Status Clear Register Register Name: UESTAnCLR, n in [0..7] Access Type: Write-Only Offset: 0x0160 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 SHORT PACKETC STALLEDIC/ CRCERRIC OVERFIC NAKINIC/ NAKOUTIC/ HBISOFLUSHIC HBISOINERRIC RXSTPIC/ UNDERFIC RXOUTIC TXINIC Writing a one to a bit in this register will clear the corresponding bit in UESTA. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 704 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.13 Endpoint n Status Set Register Register Name: UESTAnSET, n in [0..7] Access Type: Write-Only Offset: 0x0190 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - NBUSYBKS - - 7 6 5 4 3 2 1 0 SHORT PACKETS STALLEDIS/ CRCERRIS OVERFIS NAKINIS/ NAKOUTIS/ HBISOFLUSHIS HBISOINERRIS RXSTPIS/ UNDERFIS RXOUTIS TXINIS - Writing a one to a bit in this register will set the corresponding bit in UESTA, what may be useful for test or debug purposes. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 705 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.14 Endpoint n Control Register Register Name: UECONn, n in [0..7] Access Type: Read-Only Offset: 0x01C0 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - STALLRQ RSTDT NYETDIS EPDISHDMA 15 14 13 12 11 10 9 8 - FIFOCON KILLBK NBUSYBKE - ERRORTRANSE DATAXE MDATAE 7 6 5 4 3 2 1 0 SHORT PACKETE STALLEDE/ CRCERRE OVERFE NAKINE/ NAKOUTE/ HBISOFLUSHE HBISOINERRE RXSTPE/ UNDERFE RXOUTE TXINE * STALLRQ: STALL Request This bit is set when the STALLRQS bit is written to one. This will request to send a STALL handshake to the host. This bit is cleared when a new SETUP packet is received or when the STALLRQC bit is written to zero. * RSTDT: Reset Data Toggle This bit is set when the RSTDTS bit is written to one. This will clear the data toggle sequence, i.e., set to Data0 the data toggle sequence of the next sent (IN endpoints) or received (OUT endpoints) packet. This bit is cleared instantaneously. The user does not have to wait for this bit to be cleared. * NYETDIS: NYET token disable This bit is set when the NYETDISS bit is written to one. This will send a ACK handshake instead of a NYET handshake in highspeed mode. This bit is cleared when the NYETDISC bit is written to one.This will let the USBB handling the high-speed handshake following the usb 2.0 standard. * EPDISHDMA: Endpoint Interrupts Disable HDMA Request Enable This bit is set when the EPDISHDMAS is written to one. This will pause the on-going DMA channel n transfer on any Endpoint n interrupt (EPnINT), whatever the state of the Endpoint n Interrupt Enable bit (EPnINTE). The user then has to acknowledge or to disable the interrupt source (e.g. RXOUTI) or to clear the EPDISHDMA bit (by writing a one to the EPDISHDMAC bit) in order to complete the DMA transfer. In ping-pong mode, if the interrupt is associated to a new system-bank packet (e.g. Bank1) and the current DMA transfer is running on the previous packet (Bank0), then the previous-packet DMA transfer completes normally, but the new-packet DMA transfer will not start (not requested). If the interrupt is not associated to a new system-bank packet (NAKINI, NAKOUTI, etc.), then the request cancellation may occur at any time and may immediately pause the current DMA transfer. This may be used for example to identify erroneous packets, to prevent them from being transferred into a buffer, to complete a DMA transfer by software after reception of a short packet, etc. 706 32072H-AVR32-10/2012 AT32UC3A3 * FIFOCON: FIFO Control For control endpoints: The FIFOCON and RWALL bits are irrelevant. The software shall therefore never use them on these endpoints. When read, their value is always 0. For IN endpoints: This bit is set when the current bank is free, at the same time as TXINI. This bit is cleared (by writing a one to the FIFOCONC bit) to send the FIFO data and to switch to the next bank. For OUT endpoints: This bit is set when the current bank is full, at the same time as RXOUTI. This bit is cleared (by writing a one to the FIFOCONC bit) to free the current bank and to switch to the next bank. * KILLBK: Kill IN Bank This bit is set when the KILLBKS bit is written to one. This will kill the last written bank. This bit is cleared by hardware after the completion of the "kill packet procedure". The user shall wait for this bit to be cleared before trying to process another IN packet. Caution: The bank is cleared when the "kill packet" procedure is completed by the USBB core : If the bank is really killed, the NBUSYBK field is decremented. If the bank is not "killed" but sent (IN transfer), the NBUSYBK field is decremented and the TXINI flag is set. This specific case can occur if at the same time an IN token is coming and the user wants to kill this bank. Note : If two banks are ready to be sent, the above specific case can not occur, because the first bank is sent (IN transfer) while the last bank is killed. * NBUSYBKE: Number of Busy Banks Interrupt Enable This bit is set when the NBUSYBKES bit is written to one. This will enable the Number of Busy Banks interrupt (NBUSYBK). This bit is cleared when the NBUSYBKEC bit is written to zero. This will disable the Number of Busy Banks interrupt (NBUSYBK). * ERRORTRANSE: Transaction Error Interrupt Enable This bit is set when the ERRORTRANSES bit is written to one. This will enable the transaction error interrupt (ERRORTRANS). This bit is cleared when the ERRORTRANSEC bit is written to one. This will disable the transaction error interrupt (ERRORTRANS). * DATAXE: DataX Interrupt Enable This bit is set when the DATAXES bit is written to one. This will enable the DATAX interrupt. (see DTSEQ bits) This bit is cleared when the DATAXEC bit is written to one. This will disable the DATAX interrupt. * MDATAE: MData Interrupt Enable This bit is set when the MDATAES bit is written to one. This will enable the Multiple DATA interrupt. (see DTSEQ bits) This bit is cleared when the MDATAEC bit is written to one. This will disable the Multiple DATA interrupt. * SHORTPACKETE: Short Packet Interrupt Enable This bit is set when the SHORTPACKETES bit is written to one. This will enable the Short Packet interrupt (SHORTPACKET). This bit is cleared when the SHORTPACKETEC bit is written to one. This will disable the Short Packet interrupt (SHORTPACKET). * STALLEDE: STALLed Interrupt Enable This bit is set when the STALLEDES bit is written to one. This will enable the STALLed interrupt (STALLEDI). This bit is cleared when the STALLEDEC bit is written to one. This will disable the STALLed interrupt (STALLEDI). * CRCERRE: CRC Error Interrupt Enable This bit is set when the CRCERRES bit is written to one. This will enable the CRC Error interrupt (CRCERRI). This bit is cleared when the CRCERREC bit is written to one. This will disable the CRC Error interrupt (CRCERRI). * OVERFE: Overflow Interrupt Enable This bit is set when the OVERFES bit is written to one. This will enable the Overflow interrupt (OVERFI). This bit is cleared when the OVERFEC bit is written to one. This will disable the Overflow interrupt (OVERFI). * NAKINE: NAKed IN Interrupt Enable This bit is set when the NAKINES bit is written to one. This will enable the NAKed IN interrupt (NAKINI). This bit is cleared when the NAKINEC bit is written to one. This will disable the NAKed IN interrupt (NAKINI). * HBISOFLUSHE: High Bandwidth Isochronous IN Flush Interrupt Enable This bit is set when the HBISOFLUSHES bit is written to one. This will enable the HBISOFLUSHI interrupt. 707 32072H-AVR32-10/2012 AT32UC3A3 This bit is cleared when the HBISOFLUSHEC bit disable the HBISOFLUSHI interrupt. Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * NAKOUTE: NAKed OUT Interrupt Enable This bit is set when the NAKOUTES bit is written to one. This will enable the NAKed OUT interrupt (NAKOUTI). This bit is cleared when the NAKOUTEC bit is written to one. This will disable the NAKed OUT interrupt (NAKOUTI). * HBISOINERRE: High Bandwidth Isochronous IN Error Interrupt Enable This bit is set when the HBISOINERRES bit is written to one. This will enable the HBISOINERRI interrupt. This bit is cleared when the HBISOINERREC bit disable the HBISOINERRI interrupt. Look at the UFEATURES register to know if the high-bandwidth isochronous feature is supported by the device. * RXSTPE: Received SETUP Interrupt Enable This bit is set when the RXSTPES bit is written to one. This will enable the Received SETUP interrupt (RXSTPI). This bit is cleared when the RXSTPEC bit is written to one. This will disable the Received SETUP interrupt (RXSTPI). * UNDERFE: Underflow Interrupt Enable This bit is set when the UNDERFES bit is written to one. This will enable the Underflow interrupt (UNDERFI). This bit is cleared when the UNDERFEC bit is written to one. This will disable the Underflow interrupt (UNDERFI). * RXOUTE: Received OUT Data Interrupt Enable This bit is set when the RXOUTES bit is written to one. This will enable the Received OUT Data interrupt (RXOUT). This bit is cleared when the RXOUTEC bit is written to one. This will disable the Received OUT Data interrupt (RXOUT). * TXINE: Transmitted IN Data Interrupt Enable This bit is set when the TXINES bit is written to one. This will enable the Transmitted IN Data interrupt (TXINI). This bit is cleared when the TXINEC bit is written to one. This will disable the Transmitted IN Data interrupt (TXINI). 708 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.15 Endpoint n Control Clear Register Register Name: UECONnCLR, n in [0..7] Access Type: Write-Only Offset: 0x0220 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - STALLRQC - NYETDISC EPDISHDMAC 15 14 13 12 11 10 9 8 - FIFOCONC - NBUSYBKEC - ERRORTRANSEC DATAXEC MDATEC 7 6 5 4 3 2 1 0 SHORT PACKETEC STALLEDEC/ CRCERREC OVERFEC NAKINEC/ NAKOUTEC/ HBISOFLUSHEC HBISOINERREC RXSTPEC/ UNDERFEC RXOUTEC TXINEC Writing a one to a bit in this register will clear the corresponding bit in UECONn. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 709 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.16 Endpoint n Control Set Register Register Name: UECONnSET, n in [0..7] Access Type: Write-Only Offset: 0x01F0 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - STALLRQS RSTDTS NYETDISS EPDISHDMAS 15 14 13 12 11 10 9 8 - - KILLBKS NBUSYBKES - ERRORTRANSES DATAXES MDATES 7 6 5 4 3 2 1 0 SHORT PACKETES STALLEDES/ CRCERRES OVERFES NAKINES/ NAKOUTES/ HBISOFLUSHES HBISOINERRES RXSTPES/ UNDERFES RXOUTES TXINES Writing a one to a bit in this register will set the corresponding bit in UECONn. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 710 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.17 Device DMA Channel n Next Descriptor Address Register Register Name: UDDMAnNEXTDESC, n in [1..7] Access Type: Read/Write Offset: 0x0310 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 10 9 8 3 2 1 0 - - - - NXTDESCADDR[31:24] 23 22 21 20 19 NXTDESCADDR[23:16] 15 14 13 12 11 NXTDESCADDR[15:8] 7 6 5 NXTDESCADDR[7:4] 4 * NXTDESCADDR: Next Descriptor Address This field contains the bits 31:4 of the 16-byte aligned address of the next channel descriptor to be processed. This field is written either or by descriptor loading. 711 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.18 Device DMA Channel n HSB Address Register Register Name: UDDMAnADDR, n in [1..7] Access Type: Read/Write Offset: 0x0314 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 HSBADDR[31:24] 23 22 21 20 19 HSBADDR[23:16] 15 14 13 12 11 HSBADDR[15:8] 7 6 5 4 3 HSBADDR[7:0] * HSBADDR: HSB Address This field determines the HSB bus current address of a channel transfer. The address written to the HSB address bus is HSBADDR rounded down to the nearest word-aligned address, i.e., HSBADDR[1:0] is considered as 0b00 since only word accesses are performed. Channel HSB start and end addresses may be aligned on any byte boundary. The user may write this field only when the Channel Enabled bit (CHEN) of the UDDMAnSTATUS register is cleared. This field is updated at the end of the address phase of the current access to the HSB bus. It is incremented of the HSB access byte-width. The HSB access width is 4 bytes, or less at packet start or end if the start or end address is not aligned on a word boundary. The packet start address is either the channel start address or the next channel address to be accessed in the channel buffer. The packet end address is either the channel end address or the latest channel address accessed in the channel buffer. The channel start address is written or loaded from the descriptor, whereas the channel end address is either determined by the end of buffer or the end of USB transfer if the Buffer Close Input Enable bit (BUFFCLOSEINEN) is set. 712 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.19 Device DMA Channel n Control Register Register Name: UDDMAnCONTROL, n in [1..7] Access Type: Read/Write Offset: 0x0318 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 CHBYTELENGTH[15:8] 23 22 21 20 19 CHBYTELENGTH[7:0] 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 BURSTLOCKEN DESCLDIRQEN EOBUFFIRQEN EOTIRQEN DMAENDEN BUFFCLOSE INEN LDNXTCH DESCEN CHEN * CHBYTELENGTH: Channel Byte Length This field determines the total number of bytes to be transferred for this buffer. The maximum channel transfer size 64kB is reached when this field is zero (default value). If the transfer size is unknown, the transfer end is controlled by the peripheral and this field should be written to zero. This field can be written or descriptor loading only after the UDDMAnSTATUS.CHEN bit has been cleared, otherwise this field is ignored. * BURSTLOCKEN: Burst Lock Enable 1: The USB data burst is locked for maximum optimization of HSB busses bandwidth usage and maximization of fly-by duration. 0: The DMA never locks the HSB access. * DESCLDIRQEN: Descriptor Loaded Interrupt Enable 1: The Descriptor Loaded interrupt is enabled.This interrupt is generated when a Descriptor has been loaded from the system bus. 0: The Descriptor Loaded interrupt is disabled. * EOBUFFIRQEN: End of Buffer Interrupt Enable 1: The end of buffer interrupt is enabled.This interrupt is generated when the channel byte count reaches zero. 0: The end of buffer interrupt is disabled. * EOTIRQEN: End of USB Transfer Interrupt Enable 1: The end of usb OUT data transfer interrupt is enabled. This interrupt is generated only if the BUFFCLOSEINEN bit is set. 0: The end of usb OUT data transfer interrupt is disabled. * DMAENDEN: End of DMA Buffer Output Enable Writing a one to this bit will properly complete the usb transfer at the end of the dma transfer. For IN endpoint, it means that a short packet (but not a Zero Length Packet) will be sent to the USB line to properly closed the usb transfer at the end of the dma transfer. For OUT endpoint, it means that all the banks will be properly released. (NBUSYBK=0) at the end of the dma transfer. 713 32072H-AVR32-10/2012 AT32UC3A3 * BUFFCLOSEINEN: Buffer Close Input Enable For Bulk and Interrupt endpoint, writing a one to this bit will automatically close the current DMA transfer at the end of the USB OUT data transfer (received short packet). For Full-speed Isochronous, it does not make sense, so BUFFCLOSEINEN should be left to zero. For high-speed OUT isochronous, it may make sense. In that case, if BUFFCLOSEINEN is written to one, the current DMA transfer is closed when the received PID packet is not MDATA. Writing a zero to this bit to disable this feature. * LDNXTCHDESCEN: Load Next Channel Descriptor Enable 1: the channel controller loads the next descriptor after the end of the current transfer, i.e. when the UDDMAnSTATUS.CHEN bit is reset. 0: no channel register is loaded after the end of the channel transfer. If the CHEN bit is written to zero, the next descriptor is immediately loaded upon transfer request (endpoint is free for IN endpoint, or endpoint is full for OUT endpoint). Table 27-6. LDNXTCHDES CEN CHEN DMA Channel Control Command Summary Current Bank 0 0 stop now 0 1 Run and stop at end of buffer 1 0 Load next descriptor now 1 1 Run and link at end of buffer * CHEN: Channel Enable Writing this bit to zero will disabled the DMA channel and no transfer will occur upon request. If the LDNXTCHDESCEN bit is written to zero, the channel is frozen and the channel registers may then be read and/or written reliably as soon as both UDDMAnSTATUS.CHEN and CHACTIVE bits are zero. Writing this bit to one will set the UDDMAnSTATUS.CHEN bit and enable DMA channel data transfer. Then any pending request will start the transfer. This may be used to start or resume any requested transfer. This bit is cleared when the channel source bus is disabled at end of buffer. If the LDNXTCHDESCEN bit has been cleared by descriptor loading, the user will have to write to one the corresponding CHEN bit to start the described transfer, if needed. If a channel request is currently serviced when this bit is zero, the DMA FIFO buffer is drained until it is empty, then the UDDMAnSTATUS.CHEN bit is cleared. If the LDNXTCHDESCEN bit is set or after this bit clearing, then the currently loaded descriptor is skipped (no data transfer occurs) and the next descriptor is immediately loaded. 714 32072H-AVR32-10/2012 AT32UC3A3 27.8.2.20 Device DMA Channel n Status Register Register Name: UDDMAnSTATUS, n in [1..7] Access Type: Read/Write Offset: 0x031C + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 CHBYTECNT[15:8] 23 22 21 20 19 CHBYTECNT[7:0] 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - DESCLD STA EOCHBUFF STA EOTSTA - - CHACTIVE CHEN * CHBYTECNT: Channel Byte Count This field contains the current number of bytes still to be transferred for this buffer. This field is decremented at each dma access. This field is reliable (stable) only if the CHEN bit is zero. * DESCLDSTA: Descriptor Loaded Status This bit is set when a Descriptor has been loaded from the HSB bus. This bit is cleared when read by the user. * EOCHBUFFSTA: End of Channel Buffer Status This bit is set when the Channel Byte Count counts down to zero. This bit is automatically cleared when read by software. * EOTSTA: End of USB Transfer Status This bit is set when the completion of the usb data transfer has closed the dma transfer. It is valid only if UDDMAnCONTROL.BUFFCLOSEINEN is one. Note that for OUT endpoint, if the UECFGn.AUTOSW is set, any received zerolength-packet will be cancelled by the DMA, and the EOTSTA will be set whatever the UDDMAnCONTROL.CHEN bit is. This bit is automatically cleared when read by software. * CHACTIVE: Channel Active 0: the DMA channel is no longer trying to source the packet data. 1: the DMA channel is currently trying to source packet data, i.e. selected as the highest-priority requesting channel. When a packet transfer cannot be completed due to an EOCHBUFFSTA, this bit stays set during the next channel descriptor load (if any) and potentially until USB packet transfer completion, if allowed by the new descriptor. When programming a DMA by descriptor (Load next descriptor now), the CHACTIVE bit is set only once the DMA is running (the endpoint is free for IN transaction, the endpoint is full for OUT transaction). * CHEN: Channel Enabled This bit is set (after one cycle latency) when the L.CHEN is written to one or when the descriptor is loaded. This bit is cleared when any transfer is ended either due to an elapsed byte count or a USB device initiated transfer end. 715 32072H-AVR32-10/2012 AT32UC3A3 0: the DMA channel no longer transfers data, and may load the next descriptor if the UDDMAnCONTROL.LDNXTCHDESCEN bit is zero. 1: the DMA channel is currently enabled and transfers data upon request. If a channel request is currently serviced when the UDDMAnCONTROL.CHEN bit is written to zero, the DMA FIFO buffer is drained until it is empty, then this status bit is cleared. 716 32072H-AVR32-10/2012 AT32UC3A3 27.8.3 USB Host Registers 27.8.3.1 Host General Control Register Register Name: UHCON Access Type: Read/Write Offset: 0x0400 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - RESUME RESET SOFE 7 6 5 4 3 2 1 0 - - - - - - - - SPDCONF * SPDCONF: Speed Configuration This field contains the host speed capability. SPDCONF Speed 0 0 Normal mode: the host start in full-speed mode and perform a high-speed reset to switch to the high-speed mode if the downstream peripheral is high-speed capable. 0 1 reserved, do not use this configuration 1 0 reserved, do not use this configuration 1 1 Full-speed: the host remains to full-speed mode whatever is the peripheral speed capability. * RESUME: Send USB Resume Writing a one to this bit will generate a USB Resume on the USB bus. This bit is cleared when the USB Resume has been sent or when a USB reset is requested. Writing a zero to this bit has no effect. This bit should be written to one only when the start of frame generation is enable. (SOFE bit is one). * RESET: Send USB Reset Writing a one to this bit will generate a USB Reset on the USB bus. This bit is cleared when the USB Reset has been sent. It may be useful to write a zero to this bit when a device disconnection is detected (UHINT.DDISCI is one) whereas a USB Reset is being sent. * SOFE: Start of Frame Generation Enable Writing a one to this bit will generate SOF on the USB bus in full speed mode and keep alive in low speed mode. Writing a zero to this bit will disable the SOF generation and to leave the USB bus in idle state. This bit is set when a USB reset is requested or an upstream resume interrupt is detected (UHINT.RXRSMI). 717 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.2 Host Global Interrupt Register Register Name: UHINT Access Type: Read-Only Offset: 0x0404 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INT DMA6INT DMA5INT DMA4INT DMA3INT DMA2INT DMA1INT - 23 22 21 20 19 18 17 16 - - - - - - - 15 14 13 12 11 10 9 8 P7INT P6INT P5INT P4INT P3INT P2INT P1INT P0INT 7 6 5 4 3 2 1 0 - HWUPI HSOFI RXRSMI RSMEDI RSTI DDISCI DCONNI * DMAnINT: DMA Channel n Interrupt This bit is set when an interrupt is triggered by the DMA channel n. This triggers a USB interrupt if the corresponding DMAnINTE is one (UHINTE register). This bit is cleared when the UHDMAnSTATUS interrupt source is cleared. * PnINT: Pipe n Interrupt This bit is set when an interrupt is triggered by the endpoint n (UPSTAn). This triggers a USB interrupt if the corresponding pipe interrupt enable bit is one (UHINTE register). This bit is cleared when the interrupt source is served. * HWUPI: Host Wake-Up Interrupt This bit is set when the host controller is in the suspend mode (SOFE is zero) and an upstream resume from the peripheral is detected. This bit is set when the host controller is in the suspend mode (SOFE is zero) and a peripheral disconnection is detected. This bit is set when the host controller is in the Idle state (USBSTA.VBUSRQ is zero, no VBus is generated). This interrupt is generated even if the clock is frozen by the FRZCLK bit. * HSOFI: Host Start of Frame Interrupt This bit is set when a SOF is issued by the Host controller. This triggers a USB interrupt when HSOFE is one. When using the host controller in low speed mode, this bit is also set when a keep-alive is sent. This bit is cleared when the HSOFIC bit is written to one. * RXRSMI: Upstream Resume Received Interrupt This bit is set when an Upstream Resume has been received from the Device. This bit is cleared when the RXRSMIC is written to one. * RSMEDI: Downstream Resume Sent Interrupt This bit set when a Downstream Resume has been sent to the Device. This bit is cleared when the RSMEDIC bit is written to one. * RSTI: USB Reset Sent Interrupt This bit is set when a USB Reset has been sent to the device. This bit is cleared when the RSTIC bit is written to one. 718 32072H-AVR32-10/2012 AT32UC3A3 * DDISCI: Device Disconnection Interrupt This bit is set when the device has been removed from the USB bus. This bit is cleared when the DDISCIC bit is written to one. * DCONNI: Device Connection Interrupt This bit is set when a new device has been connected to the USB bus. This bit is cleared when the DCONNIC bit is written to one. 719 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.3 Host Global Interrupt Clear Register Register Name: UHINTCLR Access Type: Write-Only Offset: 0x0408 Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - HWUPIC HSOFIC RXRSMIC RSMEDIC RSTIC DDISCIC DCONNIC Writing a one to a bit in this register will clear the corresponding bit in UHINT. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 720 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.4 Host Global Interrupt Set Register Register Name: UHINTSET Access Type: Write-Only Offset: 0x040C Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTS DMA6INTS DMA5INTS DMA4INTS DMA3INTS DMA2INTS DMA1INTS - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - HWUPIS HSOFIS RXRSMIS RSMEDIS RSTIS DDISCIS DCONNIS Writing a one to a bit in this register will set the corresponding bit in UHINT, what may be useful for test or debug purposes. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 721 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.5 Host Global Interrupt Enable Register Register Name: UHINTE Access Type: Read-Only Offset: 0x0410 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTE DMA6INTE DMA5INTE DMA4INTE DMA3INTE DMA2INTE DMA1INTE - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 P7INTE P6INTE P5INTE P4INTE P3INTE P2INTE P1INTE P0INTE 7 6 5 4 3 2 1 0 - HWUPIE HSOFIE RXRSMIE RSMEDIE RSTIE DDISCIE DCONNIE * DMAnINTE: DMA Channel n Interrupt Enable This bit is set when the DMAnINTES bit is written to one. This will enable the DMA Channel n Interrupt (DMAnINT). This bit is cleared when the DMAnINTEC bit is written to one. This will disable the DMA Channel n Interrupt (DMAnINT). * PnINTE: Pipe n Interrupt Enable This bit is set when the PnINTES bit is written to one. This will enable the Pipe n Interrupt (PnINT). This bit is cleared when the PnINTEC bit is written to one. This will disable the Pipe n Interrupt (PnINT). * HWUPIE: Host Wake-Up Interrupt Enable This bit is set when the HWUPIES bit is written to one. This will enable the Host Wake-up Interrupt (HWUPI). This bit is cleared when the HWUPIEC bit is written to one. This will disable the Host Wake-up Interrupt (HWUPI). * HSOFIE: Host Start of Frame Interrupt Enable This bit is set when the HSOFIES bit is written to one. This will enable the Host Start of Frame interrupt (HSOFI). This bit is cleared when the HSOFIEC bit is written to one. This will disable the Host Start of Frame interrupt (HSOFI). * RXRSMIE: Upstream Resume Received Interrupt Enable This bit is set when the RXRSMIES bit is written to one. This will enable the Upstream Resume Received interrupt (RXRSMI). This bit is cleared when the RXRSMIEC bit is written to one. This will disable the Downstream Resume interrupt (RXRSMI). * RSMEDIE: Downstream Resume Sent Interrupt Enable This bit is set when the RSMEDIES bit is written to one. This will enable the Downstream Resume interrupt (RSMEDI). This bit is cleared when the RSMEDIEC bit is written to one. This will disable the Downstream Resume interrupt (RSMEDI). * RSTIE: USB Reset Sent Interrupt Enable This bit is set when the RSTIES bit is written to one. This will enable the USB Reset Sent interrupt (RSTI). This bit is cleared when the RSTIEC bit is written to one. This will disable the USB Reset Sent interrupt (RSTI). * DDISCIE: Device Disconnection Interrupt Enable This bit is set when the DDISCIES bit is written to one. This will enable the Device Disconnection interrupt (DDISCI). This bit is cleared when the DDISCIEC bit is written to one. This will disable the Device Disconnection interrupt (DDISCI). * DCONNIE: Device Connection Interrupt Enable This bit is set when the DCONNIES bit is written to one. This will enable the Device Connection interrupt (DCONNI). This bit is cleared when the DCONNIEC bit is written to one. This will disable the Device Connection interrupt (DCONNI). 722 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.6 Host Global Interrupt Enable Clear Register Register Name: UHINTECLR Access Type: Write-Only Offset: 0x0414 Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTEC DMA6INTEC DMA5INTEC DMA4INTEC DMA3INTEC DMA2INTEC DMA1INTEC - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 P7INTEC P6INTEC P5INTEC P4INTEC P3INTEC P2INTEC P1INTEC P0INTEC 7 6 5 4 3 2 1 0 - HWUPIEC HSOFIEC RXRSMIEC RSMEDIEC RSTIEC DDISCIEC DCONNIEC Writing a one to a bit in this register will clear the corresponding bit in UHINTE. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 723 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.7 Host Global Interrupt Enable Set Register Register Name: UHINTESET Access Type: Write-Only Offset: 0x0418 Read Value: 0x00000000 31 30 29 28 27 26 25 24 DMA7INTES DMA6INTES DMA5INTES DMA4INTES DMA3INTES DMA2INTES DMA1INTES - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 P7INTES P6INTES P5INTES P4INTES P3INTES P2INTES P1INTES P0INTES 7 6 5 4 3 2 1 0 - HWUPIES HSOFIES RXRSMIES RSMEDIES RSTIES DDISCIES DCONNIES Writing a one to a bit in this register will set the corresponding bit in UHINT. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 724 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.8 Host Frame Number Register Register Name: UHFNUM Access Type: Read/Write Offset: 0x0420 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 11 10 9 8 2 1 0 FLENHIGH 15 14 - - 7 6 13 12 FNUM[10:5] 5 FNUM[4:0] 4 3 MFNUM * FLENHIGH: Frame Length In Full speed mode, this field contains the 8 high-order bits of the 16-bit internal frame counter (at 30MHz, counter length is 30000 to ensure a SOF generation every 1 ms). In High speed mode, this field contains the 8 high-order bits of the 16-bit internal frame counter (at 30MHz, counter length is 3750 to ensure a SOF generation every 125 us). * FNUM: Frame Number This field contains the current SOF number. This field can be written. In this case, the MFNUM field is reset to zero. * MFNUM: Micro Frame Number This field contains the current Micro Frame number (can vary from 0 to 7) updated every 125us. When operating in full-speed mode, this field is tied to zero. 725 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.9 Host Address 1 Register Register Name: UHADDR1 Access Type: Read/Write Offset: 0x0424 Reset Value: 0x00000000 31 30 29 28 - 23 22 21 20 - 25 24 19 18 17 16 10 9 8 2 1 0 UHADDRP2 14 13 12 - 7 26 UHADDRP3 - 15 27 11 UHADDRP1 6 5 4 3 UHADDRP0 * UHADDRP3: USB Host Address This field contains the address of the Pipe3 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP2: USB Host Address This field contains the address of the Pipe2 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP1: USB Host Address This field contains the address of the Pipe1 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP0: USB Host Address This field contains the address of the Pipe0 of the USB Device. This field is cleared when a USB reset is requested. 726 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.10 Host Address 2 Register Register Name: UHADDR2 Access Type: Read/Write Offset: 0x0428 Reset Value: 0x00000000 31 30 29 28 - 23 22 21 20 - 25 24 19 18 17 16 10 9 8 2 1 0 UHADDRP6 14 13 12 - 7 26 UHADDRP7 - 15 27 11 UHADDRP5 6 5 4 3 UHADDRP4 * UHADDRP7: USB Host Address This field contains the address of the Pipe7 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP6: USB Host Address This field contains the address of the Pipe6 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP5: USB Host Address This field contains the address of the Pipe5 of the USB Device. This field is cleared when a USB reset is requested. * UHADDRP4: USB Host Address This field contains the address of the Pipe4 of the USB Device. This field is cleared when a USB reset is requested. 727 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.11 Pipe Enable/Reset Register Register Name: UPRST Access Type: Read/Write Offset: 0x0041C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 PRST7 PRST6 PRST5 PRST4 PRST3 PRST2 PRST1 PRST0 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 PEN7 PEN6 PEN5 PEN4 PEN3 PEN2 PEN1 PEN0 * PRSTn: Pipe n Reset Writing a one to this bit will reset the Pipe n FIFO. This resets the endpoint n registers (UPCFGn, UPSTAn, UPCONn) but not the endpoint configuration (ALLOC, PBK, PSIZE, PTOKEN, PTYPE, PEPNUM, INTFRQ). All the endpoint mechanism (FIFO counter, reception, transmission, etc.) is reset apart from the Data Toggle management. The endpoint configuration remains active and the endpoint is still enabled. Writing a zero to this bit will complete the reset operation and allow to start using the FIFO. * PENn: Pipe n Enable Writing a one to this bit will enable the Pipe n. Writing a zero to this bit will disable the Pipe n, what forces the Pipe n state to inactive and resets the pipe n registers (UPCFGn, UPSTAn, UPCONn) but not the pipe configuration (ALLOC, PBK, PSIZE). 728 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.12 Pipe n Configuration Register Register Name: UPCFGn, n in [0..7] Access Type: Read/Write Offset: 0x0500 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 9 8 INTFRQ/BINTERVAL 23 22 21 20 - - - PINGEN 15 14 13 12 - - 7 6 PTYPE 5 - 4 PSIZE 19 PEPNUM 11 10 - AUTOSW 3 2 PBK PTOKEN 1 0 ALLOC - * INTFRQ: Pipe Interrupt Request Frequency This field contains the maximum value in millisecond of the polling period for an Interrupt Pipe. This value has no effect for a non-Interrupt Pipe. This field is cleared upon sending a USB reset. * BINTERVAL: bInterval parameter for the Bulk-Out/Ping transaction This field contains the Ping/Bulk-out period. If BINTERVAL>0 and PINGEN=1, one PING token is sent every BINTERVAL micro-frame until it is ACKed by the peripheral. If BINTERVAL=0 and PINGEN=1, multiple consecutive PING token is sent in the same micro-frame until it is ACKed. If BINTERVAL>0 and PINGEN=0, one OUT token is sent every BINTERVAL micro-frame until it is ACKed by the peripheral. If BINTERVAL=0 and PINGEN=0, multiple consecutive OUT token is sent in the same micro-frame until it is ACKed. This value must be in the range from 0 to 255. * PINGEN: Ping Enable This bit is relevant for High-speed Bulk-out transaction only (including the control data stage and the control status stage). Writing a zero to this bit will disable the ping protocol. Writing a one to this bit will enable the ping mechanism according to the usb 2.0 standard. This bit is cleared upon sending a USB reset. * PEPNUM: Pipe Endpoint Number This field contains the number of the endpoint targeted by the pipe. This value is from 0 to 15. This field is cleared upon sending a USB reset. * PTYPE: Pipe Type This field contains the pipe type. PTYPE 0 Pipe Type 0 Control 729 32072H-AVR32-10/2012 AT32UC3A3 PTYPE Pipe Type 0 1 Isochronous 1 0 Bulk 1 1 Interrupt This field is cleared upon sending a USB reset. * AUTOSW: Automatic Switch This bit is cleared upon sending a USB reset. 1: The automatic bank switching is enabled. 0: The automatic bank switching is disabled. * PTOKEN: Pipe Token This field contains the endpoint token. PTOKEN Endpoint Direction 00 SETUP 01 IN 10 OUT 11 reserved * PSIZE: Pipe Size This field contains the size of each pipe bank. PSIZE Endpoint Size 0 0 0 8 bytes 0 0 1 16 bytes 0 1 0 32 bytes 0 1 1 64 bytes 1 0 0 128 bytes 1 0 1 256 bytes 1 1 0 512 bytes 1 1 1 1024 bytes This field is cleared upon sending a USB reset. * PBK: Pipe Banks This field contains the number of banks for the pipe. PBK Endpoint Banks 0 0 1 (single-bank pipe) 0 1 2 (double-bank pipe) 1 0 3 (triple-bank pipe) if supported (see Table 27-1 on page 624). 1 1 Reserved For control endpoints, a single-bank pipe (0b00) should be selected. This field is cleared upon sending a USB reset. 730 32072H-AVR32-10/2012 AT32UC3A3 * ALLOC: Pipe Memory Allocate Writing a one to this bit will allocate the pipe memory. Writing a zero to this bit will free the pipe memory. This bit is cleared when a USB Reset is requested. Refer to the DPRAM Management chapter for more details. 731 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.13 Pipe n Status Register Register Name: UPSTAn, n in [0..7] Access Type: Read-Only Offset: 0x0530 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 - 27 26 25 24 19 18 17 16 - CFGOK - RWALL 11 10 9 8 - - PBYCT[10:4] 23 22 21 20 PBYCT[3:0] 15 14 13 CURRBK 12 NBUSYBK DTSEQ 7 6 5 4 3 2 1 0 SHORT PACKETI RXSTALLDI/ CRCERRI OVERFI NAKEDI PERRI TXSTPI/ UNDERFI TXOUTI RXINI * PBYCT: Pipe Byte Count This field contains the byte count of the FIFO. For OUT pipe, incremented after each byte written by the user into the pipe and decremented after each byte sent to the peripheral. For IN pipe, incremented after each byte received from the peripheral and decremented after each byte read by the user from the pipe. This field may be updated 1 clock cycle after the RWALL bit changes, so the user should not poll this field as an interrupt bit. * CFGOK: Configuration OK Status This bit is set/cleared when the UPCFGn.ALLOC bit is set. This bit is set if the pipe n number of banks (UPCFGn.PBK) and size (UPCFGn.PSIZE) are correct compared to the maximal allowed number of banks and size for this pipe and to the maximal FIFO size (i.e., the DPRAM size). If this bit is cleared, the user should rewrite correct values ot the PBK and PSIZE field in the UPCFGn register. * RWALL: Read/Write Allowed For OUT pipe, this bit is set when the current bank is not full, i.e., the software can write further data into the FIFO. For IN pipe, this bit is set when the current bank is not empty, i.e., the software can read further data from the FIFO. This bit is cleared otherwise. This bit is also cleared when the RXSTALL or the PERR bit is one. * CURRBK: Current Bank For non-control pipe, this field indicates the number of the current bank. CURRBK 0 Current Bank 0 Bank0 732 32072H-AVR32-10/2012 AT32UC3A3 CURRBK Current Bank 0 1 Bank1 1 0 Bank2 if supported (see Table 27-1 on page 624). 1 1 Reserved This field may be updated 1 clock cycle after the RWALL bit changes, so the user shall not poll this field as an interrupt bit. * NBUSYBK: Number of Busy Banks This field indicates the number of busy bank. For OUT pipe, this field indicates the number of busy bank(s), filled by the user, ready for OUT transfer. When all banks are busy, this triggers an PnINT interrupt if UPCONn.NBUSYBKE is one. For IN pipe, this field indicates the number of busy bank(s) filled by IN transaction from the Device. When all banks are free, this triggers an PnINT interrupt if UPCONn.NBUSYBKE is one. NBUSYBK Number of busy bank 0 0 All banks are free. 0 1 1 busy bank 1 0 2 busy banks if supported (see Table 27-1 on page 624). 1 1 reserved * DTSEQ: Data Toggle Sequence This field indicates the data PID of the current bank. DTSEQ * * * * * Data toggle sequence 0 0 Data0 0 1 Data1 1 0 reserved 1 1 reserved For OUT pipe, this field indicates the data toggle of the next packet that will be sent. For IN pipe, this field indicates the data toggle of the received packet stored in the current bank. SHORTPACKETI: Short Packet Interrupt This bit is set when a short packet is received by the host controller (packet length inferior to the PSIZE programmed field). This bit is cleared when the SHORTPACKETIC bit is written to one. RXSTALLDI: Received STALLed Interrupt This bit is set, for all endpoints but isochronous, when a STALL handshake has been received on the current bank of the pipe. The Pipe is automatically frozen. This triggers an interrupt if the RXSTALLE bit is one. This bit is cleared when the RXSTALLDIC bit is written to one. CRCERRI: CRC Error Interrupt This bit is set, for isochronous endpoint, when a CRC error occurs on the current bank of the Pipe. This triggers an interrupt if the TXSTPE bit is one. This bit is cleared when the CRCERRIC bit is written to one. OVERFI: Overflow Interrupt This bit is set when the current pipe has received more data than the maximum length of the current pipe. An interrupt is triggered if the OVERFIE bit is one. This bit is cleared when the OVERFIC bit is written to one. NAKEDI: NAKed Interrupt This bit is set when a NAK has been received on the current bank of the pipe. This triggers an interrupt if the NAKEDE bit is one. 733 32072H-AVR32-10/2012 AT32UC3A3 This bit is cleared when the NAKEDIC bit written to one. * PERRI: Pipe Error Interrupt This bit is set when an error occurs on the current bank of the pipe. This triggers an interrupt if the PERRE bit is set. Refers to the UPERRn register to determine the source of the error. This bit is cleared when the error source bit is cleared. * TXSTPI: Transmitted SETUP Interrupt This bit is set, for Control endpoints, when the current SETUP bank is free and can be filled. This triggers an interrupt if the TXSTPE bit is one. This bit is cleared when the TXSTPIC bit is written to one. * UNDERFI: Underflow Interrupt This bit is set, for isochronous and Interrupt IN/OUT pipe, when an error flow occurs. This triggers an interrupt if the UNDERFIE bit is one. This bit is set, for Isochronous or interrupt OUT pipe, when a transaction underflow occurs in the current pipe. (the pipe can't send the OUT data packet in time because the current bank is not ready). A zero-length-packet (ZLP) will be sent instead of. This bit is set, for Isochronous or interrupt IN pipe, when a transaction flow error occurs in the current pipe. i.e, the current bank of the pipe is not free whereas a new IN USB packet is received. This packet is not stored in the bank. For Interrupt pipe, the overflowed packet is ACKed to respect the USB standard. This bit is cleared when the UNDERFIEC bit is written to one. * TXOUTI: Transmitted OUT Data Interrupt This bit is set when the current OUT bank is free and can be filled. This triggers an interrupt if the TXOUTE bit is one. This bit is cleared when the TXOUTIC bit is written to one. * RXINI: Received IN Data Interrupt This bit is set when a new USB message is stored in the current bank of the pipe. This triggers an interrupt if the RXINE bit is one. This bit is cleared when the RXINIC bit is written to one. 734 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.14 Pipe n Status Clear Register Register Name: UPSTAnCLR, n in [0..7] Access Type: Write-Only Offset: 0x0560 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 SHORT PACKETIC RXSTALLDI C/ CRCERRIC OVERFIC NAKEDIC - TXSTPIC/ UNDERFIC TXOUTIC RXINIC Writing a one to a bit in this register will clear the corresponding bit in UPSTAn. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 735 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.15 Pipe n Status Set Register Register Name: UPSTAnSET, n in [0..7] Access Type: Write-Only Offset: 0x0590 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - NBUSYBKS - - - - 7 6 5 4 3 2 1 0 SHORT PACKETIS RXSTALLDIS/ OVERFIS NAKEDIS PERRIS TXSTPIS/ UNDERFIS TXOUTIS RXINIS CRCERRIS Writing a one to a bit in this register will set the corresponding bit in UPSTAn, what may be useful for test or debug purposes. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 736 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.16 Pipe n Control Register Register Name: UPCONn, n in [0..7] Access Type: Read-Only Offset: 0x05C0 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - RSTDT PFREEZE PDISHDMA 15 14 13 12 11 10 9 8 - FIFOCON - NBUSYBKE - - - - 7 6 5 4 3 2 1 0 SHORT PACKETIE RXSTALLDE/ CRCERRE OVERFIE NAKEDE PERRE TXSTPE/ UNDERFIE TXOUTE RXINE * RSTDT: Reset Data Toggle This bit is set when the RSTDTS bit is written to one. This will reset the Data Toggle to its initial value for the current Pipe. This bit is cleared when proceed. * PFREEZE: Pipe Freeze This bit is set when the PFREEZES bit is written to one or when the pipe is not configured or when a STALL handshake has been received on this Pipe or when an error occurs on the Pipe (PERR is one) or when (INRQ+1) In requests have been processed or when after a Pipe reset (UPRST.PRSTn rising) or a Pipe Enable (UPRST.PEN rising). This will Freeze the Pipe requests generation. This bit is cleared when the PFREEZEC bit is written to one. This will enable the Pipe request generation. * PDISHDMA: Pipe Interrupts Disable HDMA Request Enable See the UECONn.EPDISHDMA bit description. * FIFOCON: FIFO Control For OUT and SETUP Pipe: This bit is set when the current bank is free, at the same time than TXOUTI or TXSTPI. This bit is cleared when the FIFOCONC bit is written to one. This will send the FIFO data and switch the bank. For IN Pipe: This bit is set when a new IN message is stored in the current bank, at the same time than RXINI. This bit is cleared when the FIFOCONC bit is written to one. This will free the current bank and switch to the next bank. * NBUSYBKE: Number of Busy Banks Interrupt Enable This bit is set when the NBUSYBKES bit is written to one.This will enable the Transmitted IN Data interrupt (NBUSYBKE). This bit is cleared when the NBUSYBKEC bit is written to one. This will disable the Transmitted IN Data interrupt (NBUSYBKE). * SHORTPACKETIE: Short Packet Interrupt Enable This bit is set when the SHORTPACKETES bit is written to one. This will enable the Transmitted IN Data IT (SHORTPACKETIE). This bit is cleared when the SHORTPACKETEC bit is written to one. This will disable the Transmitted IN Data IT (SHORTPACKETE). 737 32072H-AVR32-10/2012 AT32UC3A3 * RXSTALLDE: Received STALLed Interrupt Enable This bit is set when the RXSTALLDES bit is written to one. This will enable the Transmitted IN Data interrupt (RXSTALLDE). This bit is cleared when the RXSTALLDEC bit is written to one. This will disable the Transmitted IN Data interrupt (RXSTALLDE). * CRCERRE: CRC Error Interrupt Enable This bit is set when the CRCERRES bit is written to one. This will enable the Transmitted IN Data interrupt (CRCERRE). This bit is cleared when the CRCERREC bit is written to one. This will disable the Transmitted IN Data interrupt (CRCERRE). * OVERFIE: Overflow Interrupt Enable This bit is set when the OVERFIES bit is written to one. This will enable the Transmitted IN Data interrupt (OVERFIE). This bit is cleared when the OVERFIEC bit is written to one. This will disable the Transmitted IN Data interrupt (OVERFIE). * NAKEDE: NAKed Interrupt Enable This bit is set when the NAKEDES bit is written to one. This will enable the Transmitted IN Data interrupt (NAKEDE). This bit is cleared when the NAKEDEC bit is written to one. This will disable the Transmitted IN Data interrupt (NAKEDE). * PERRE: Pipe Error Interrupt Enable This bit is set when the PERRES bit is written to one. This will enable the Transmitted IN Data interrupt (PERRE). This bit is cleared when the PERREC bit is written to one. This will disable the Transmitted IN Data interrupt (PERRE). * TXSTPE: Transmitted SETUP Interrupt Enable This bit is set when the TXSTPES bit is written to one. This will enable the Transmitted IN Data interrupt (TXSTPE). This bit is cleared when the TXSTPEC bit is written to one. This will disable the Transmitted IN Data interrupt (TXSTPE). * UNDERFIE: Underflow Interrupt Enable This bit is set when the UNDERFIES bit is written to one. This will enable the Transmitted IN Data interrupt (UNDERFIE). This bit is cleared when the UNDERFIEC bit is written to one. This will disable the Transmitted IN Data interrupt (UNDERFIE). * TXOUTE: Transmitted OUT Data Interrupt Enable This bit is set when the TXOUTES bit is written to one. This will enable the Transmitted IN Data interrupt (TXOUTE). This bit is cleared when the TXOUTEC bit is written to one. This will disable the Transmitted IN Data interrupt (TXOUTE). * RXINE: Received IN Data Interrupt Enable This bit is set when the RXINES bit is written to one. This will enable the Transmitted IN Data interrupt (RXINE). This bit is cleared when the RXINEC bit is written to one. This will disable the Transmitted IN Data interrupt (RXINE). 738 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.17 Pipe n Control Clear Register Register Name: UPCONnCLR, n in [0..7] Access Type: Write-Only Offset: 0x0620 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - PFREEZEC PDISHDMAC 15 14 13 12 11 10 9 8 - FIFOCONC - NBUSYBKEC - - - - 7 6 5 4 3 2 1 0 SHORT PACKETIEC RXSTALLDEC/ OVERFIEC NAKEDEC PERREC TXSTPEC/ UNDERFIEC TXOUTEC RXINEC CRCERREC Writing a one to a bit in this register will clear the corresponding bit in UPCONn. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 739 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.18 Pipe n Control Set Register Register Name: UPCONnSET, n in [0..7] Access Type: Write-Only Offset: 0x05F0 + (n * 0x04) Read Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - RSTDTS PFREEZES PDISHDMAS 15 14 13 12 11 10 9 8 - - - NBUSYBKES - - - - 7 6 5 4 3 2 1 0 SHORT PACKETIES RXSTALLDES/ OVERFIES NAKEDES PERRES TXSTPES/ UNDERFIES TXOUTES RXINES CRCERRES Writing a one to a bit in this register will set the corresponding bit in UPCONn. Writing a zero to a bit in this register has no effect. This bit always reads as zero. 740 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.19 Pipe n IN Request Register Register Name: UPINRQn, n in [0..7] Access Type: Read/Write Offset: 0x0650 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - INMODE 7 6 5 4 3 2 1 0 INRQ * INMODE: IN Request Mode Writing a one to this bit will allow the USBB to perform infinite IN requests when the Pipe is not frozen. Writing a zero to this bit will perform a pre-defined number of IN requests. This number is the INRQ field. * INRQ: IN Request Number before Freeze This field contains the number of IN transactions before the USBB freezes the pipe. The USBB will perform (INRQ+1) IN requests before to freeze the Pipe. This counter is automatically decreased by 1 each time a IN request has been successfully performed. This register has no effect when the INMODE bit is one (infinite IN requests generation till the pipe is not frozen). 741 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.20 Pipe n Error Register Register Name: UPERRn, n in [0..7] Access Type: Read/Write Offset: 0x0680 + (n * 0x04) Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 CRC16 TIMEOUT PID DATAPID DATATGL - COUNTER * COUNTER: Error Counter This field is incremented each time an error occurs (CRC16, TIMEOUT, PID, DATAPID or DATATGL). This field is cleared when receiving a good usb packet without any error. When this field reaches 3 (i.e., 3 consecutive errors), this pipe is automatically frozen (UPCONn.PFREEZE is set). Writing 0b00 to this field will clear the counter. * CRC16: CRC16 Error This bit is set when a CRC16 error has been detected. Writing a zero to this bit will clear the bit. Writing a one to this bit has no effect. * TIMEOUT: Time-Out Error This bit is set when a Time-Out error has been detected. Writing a zero to this bit will clear the bit. Writing a one to this bit has no effect. * PID: PID Error This bit is set when a PID error has been detected. Writing a zero to this bit will clear the bit. Writing a one to this bit has no effect. * DATAPID: Data PID Error This bit is set when a Data PID error has been detected. Writing a zero to this bit will clear the bit. Writing a one to this bit has no effect. * DATATGL: Data Toggle Error This bit is set when a Data Toggle error has been detected. Writing a zero to this bit will clear the bit. Writing a one to this bit has no effect. 742 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.21 Host DMA Channel n Next Descriptor Address Register Register Name: UHDMAnNEXTDESC, n in [1..7] Access Type: Read/Write Offset: 0x0710 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 10 9 8 3 2 1 0 - - - - NXTDESCADDR[31:24] 23 22 21 20 19 NXTDESCADDR[23:16] 15 14 13 12 11 NXTDESCADDR[15:8] 7 6 5 NXTDESCADDR[7:4] 4 Same as Section 27.8.2.17. 743 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.22 Host DMA Channel n HSB Address Register Register Name: UHDMAnADDR, n in [1..7] Access Type: Read/Write Offset: 0x0714 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 10 9 8 2 1 0 HSBADDR[31:24] 23 22 21 20 19 HSBADDR[23:16] 15 14 13 12 11 HSBADDR[15:8] 7 6 5 4 3 HSBADDR[7:0] Same as Section 27.8.2.18. 744 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.23 USB Host DMA Channel n Control Register Register Name: UHDMAnCONTROL, n in [1..7] Access Type: Read/Write Offset: 0x0718 + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 CHBYTELENGTH[15:8] 23 22 21 20 19 CHBYTELENGTH[7:0] 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 BURSTLOC KEN DESCLD IRQEN EOBUFF IRQEN EOTIRQEN DMAENDEN BUFFCLOSE INEN LDNXTCHD ESCEN CHEN Same as Section 27.8.2.19. (just replace the IN endpoint term by OUT endpoint, and vice-versa) 745 32072H-AVR32-10/2012 AT32UC3A3 27.8.3.24 USB Host DMA Channel n Status Register Register Name: UHDMAnSTATUS, n in [1..7] Access Type: Read/Write Offset: 0x071C + (n - 1) * 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 CHBYTECNT[15:8] 23 22 21 20 19 CHBYTECNT[7:0] 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - DESCLD STA EOCHBUFFS TA EOTSTA - - CHACTIVE CHEN Same as Section 27.8.2.20. 746 32072H-AVR32-10/2012 AT32UC3A3 27.8.4 USB Pipe/Endpoint n FIFO Data Register (USBFIFOnDATA) The application has access to the physical DPRAM reserved for the Endpoint/Pipe through a 64KB virtual address space. The application can access anywhere in the virtual 64KB segment (linearly or fixedly) as the DPRAM Fifo address increment is fully handled by hardware. Byte, half-word and word access are supported. Data should be access in a big-endian way. For instance, if the application wants to write into the Endpoint/Pipe3, it can access anywhere in the USBFIFO3DATA HSB segment address. i.e : an access to the 0x30000 offset, is strictly equivalent to an access to the 0x3FFFC offset. Note that the virtual address space size (64KB) has nothing to do with the Endpoint/Pipe size. Disabling the USBB (by writing a zero to the USBE bit) does not reset the DPRAM. 747 32072H-AVR32-10/2012 AT32UC3A3 27.9 Module Configuration The specific configuration for the USBB instance is listed in the following tables. The module bus clocks listed here are connected to the system bus clocks. Please refer to the Power Manager chapter for details. Table 27-7. Module Clock Name Module name Clock name Clock name USBB CLK_USBB_HSB CLK_USBB_PB Table 27-8. Register Reset Values Register Reset Value UVERS 0x00000320 UFEATURES 0x00014478 UADDRSIZE 0x00001000 UNAME1 0x48555342 UNAME2 0x004F5447 748 32072H-AVR32-10/2012 AT32UC3A3 28. Timer/Counter (TC) Rev: 2.2.3.3 28.1 Features * Three 16-bit Timer Counter channels * A wide range of functions including: - Frequency measurement - Event counting - Interval measurement - Pulse generation - Delay timing - Pulse width modulation - Up/down capabilities * Each channel is user-configurable and contains: - Three external clock inputs - Five internal clock inputs - Two multi-purpose input/output signals * Internal interrupt signal * Two global registers that act on all three TC channels 28.2 Overview The Timer Counter (TC) includes three identical 16-bit Timer Counter channels. Each channel can be independently programmed to perform a wide range of functions including frequency measurement, event counting, interval measurement, pulse generation, delay timing, and pulse width modulation. Each channel has three external clock inputs, five internal clock inputs, and two multi-purpose input/output signals which can be configured by the user. Each channel drives an internal interrupt signal which can be programmed to generate processor interrupts. The TC block has two global registers which act upon all three TC channels. The Block Control Register (BCR) allows the three channels to be started simultaneously with the same instruction. The Block Mode Register (BMR) defines the external clock inputs for each channel, allowing them to be chained. 749 32072H-AVR32-10/2012 AT32UC3A3 28.3 Block Diagram Figure 28-1. TC Block Diagram I/O Contr oller TIMER_CLOCK1 TCLK0 TIMER_CLOCK2 TIOA1 TIMER_CLOCK4 XC0 TIOA2 TIMER_CLOCK3 TCLK1 XC1 TCLK2 XC2 TIMER_CLOCK5 Timer/Counter Channel 0 TIOA TIOB TC0XC0S SYNC CLK0 CLK1 CLK2 A0 TIOA0 B0 TIOB0 INT0 TCLK0 XC0 TCLK1 TIOA0 XC1 TIOA2 XC2 TCLK2 Timer/Counter Channel 1 XC0 TCLK1 XC1 TCLK2 XC2 TIOA0 TIOA1 TC2XC2S TIOB A1 TIOA1 B1 TIOB1 SYNC TC1XC1S TCLK0 TIOA Timer/Counter Channel 2 INT1 TIOA TIOB A2 TIOA2 B2 TIOB2 SYNC INT2 Timer Count er Interrupt Controller 28.4 I/O Lines Description Table 28-1. 28.5 I/O Lines Description Pin Name Description Type CLK0-CLK2 External Clock Input Input A0-A2 I/O Line A Input/Output B0-B2 I/O Line B Input/Output Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 28.5.1 I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with I/O lines. The user must first program the I/O Controller to assign the TC pins to their peripheral functions. 750 32072H-AVR32-10/2012 AT32UC3A3 28.5.2 Power Management If the CPU enters a sleep mode that disables clocks used by the TC, the TC will stop functioning and resume operation after the system wakes up from sleep mode. 28.5.3 Clocks The clock for the TC bus interface (CLK_TC) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the TC before disabling the clock, to avoid freezing the TC in an undefined state. 28.5.4 Interrupts The TC interrupt request line is connected to the interrupt controller. Using the TC interrupt requires the interrupt controller to be programmed first. 28.5.5 28.6 Debug Operation The Timer Counter clocks are frozen during debug operation, unless the OCD system keeps peripherals running in debug operation. Functional Description 28.6.1 28.6.1.1 TC Description The three channels of the Timer Counter are independent and identical in operation. The registers for channel programming are listed in Figure 28-3 on page 766. Channel I/O Signals As described in Figure 28-1 on page 750, each Channel has the following I/O signals. Table 28-2. Channel I/O Signals Description Block/Channel Signal Name XC0, XC1, XC2 Channel Signal External Clock Inputs TIOA Capture mode: Timer Counter Input Waveform mode: Timer Counter Output TIOB Capture mode: Timer Counter Input Waveform mode: Timer Counter Input/Output INT SYNC 28.6.1.2 Description Interrupt Signal Output Synchronization Input Signal 16-bit counter Each channel is organized around a 16-bit counter. The value of the counter is incremented at each positive edge of the selected clock. When the counter has reached the value 0xFFFF and passes to 0x0000, an overflow occurs and the Counter Overflow Status bit in the Channel n Status Register (SRn.COVFS) is set. The current value of the counter is accessible in real time by reading the Channel n Counter Value Register (CVn). The counter can be reset by a trigger. In this case, the counter value passes to 0x0000 on the next valid edge of the selected clock. 751 32072H-AVR32-10/2012 AT32UC3A3 28.6.1.3 Clock selection At block level, input clock signals of each channel can either be connected to the external inputs TCLK0, TCLK1 or TCLK2, or be connected to the configurable I/O signals A0, A1 or A2 for chaining by writing to the BMR register. See Figure 28-2 on page 752. Each channel can independently select an internal or external clock source for its counter: * Internal clock signals: TIMER_CLOCK1, TIMER_CLOCK2, TIMER_CLOCK3, TIMER_CLOCK4, TIMER_CLOCK5. See the Module Configuration Chapter for details about the connection of these clock sources. * External clock signals: XC0, XC1 or XC2. See the Module Configuration Chapter for details about the connection of these clock sources. This selection is made by the Clock Selection field in the Channel n Mode Register (CMRn.TCCLKS). The selected clock can be inverted with the Clock Invert bit in CMRn (CMRn.CLKI). This allows counting on the opposite edges of the clock. The burst function allows the clock to be validated when an external signal is high. The Burst Signal Selection field in the CMRn register (CMRn.BURST) defines this signal. Note: In all cases, if an external clock is used, the duration of each of its levels must be longer than the CLK_TC period. The external clock frequency must be at least 2.5 times lower than the CLK_TC. Figure 28-2. Clock Selection TCCLKS TIMER_CLOCK1 TIMER_CLOCK2 CLKI TIMER_CLOCK3 TIMER_CLOCK4 TIMER_CLOCK5 Selected Clock XC0 XC1 XC2 BURST 1 28.6.1.4 Clock control The clock of each counter can be controlled in two different ways: it can be enabled/disabled and started/stopped. See Figure 28-3 on page 753. 752 32072H-AVR32-10/2012 AT32UC3A3 * The clock can be enabled or disabled by the user by writing to the Counter Clock Enable/Disable Command bits in the Channel n Clock Control Register (CCRn.CLKEN and CCRn.CLKDIS). In Capture mode it can be disabled by an RB load event if the Counter Clock Disable with RB Loading bit in CMRn is written to one (CMRn.LDBDIS). In Waveform mode, it can be disabled by an RC Compare event if the Counter Clock Disable with RC Compare bit in CMRn is written to one (CMRn.CPCDIS). When disabled, the start or the stop actions have no effect: only a CLKEN command in CCRn can re-enable the clock. When the clock is enabled, the Clock Enabling Status bit is set in SRn (SRn.CLKSTA). * The clock can also be started or stopped: a trigger (software, synchro, external or compare) always starts the clock. In Capture mode the clock can be stopped by an RB load event if the Counter Clock Stopped with RB Loading bit in CMRn is written to one (CMRn.LDBSTOP). In Waveform mode it can be stopped by an RC compare event if the Counter Clock Stopped with RC Compare bit in CMRn is written to one (CMRn.CPCSTOP). The start and the stop commands have effect only if the clock is enabled. Figure 28-3. Clock Control Selected Clock Trigger CLKSTA Q Q S CLKEN CLKDIS S R R Counter Clock 28.6.1.5 Stop Event Disable Event TC operating modes Each channel can independently operate in two different modes: * Capture mode provides measurement on signals. * Waveform mode provides wave generation. The TC operating mode selection is done by writing to the Wave bit in the CCRn register (CCRn.WAVE). In Capture mode, TIOA and TIOB are configured as inputs. In Waveform mode, TIOA is always configured to be an output and TIOB is an output if it is not selected to be the external trigger. 753 32072H-AVR32-10/2012 AT32UC3A3 28.6.1.6 Trigger A trigger resets the counter and starts the counter clock. Three types of triggers are common to both modes, and a fourth external trigger is available to each mode. The following triggers are common to both modes: * Software Trigger: each channel has a software trigger, available by writing a one to the Software Trigger Command bit in CCRn (CCRn.SWTRG). * SYNC: each channel has a synchronization signal SYNC. When asserted, this signal has the same effect as a software trigger. The SYNC signals of all channels are asserted simultaneously by writing a one to the Synchro Command bit in the BCR register (BCR.SYNC). * Compare RC Trigger: RC is implemented in each channel and can provide a trigger when the counter value matches the RC value if the RC Compare Trigger Enable bit in CMRn (CMRn.CPCTRG) is written to one. The channel can also be configured to have an external trigger. In Capture mode, the external trigger signal can be selected between TIOA and TIOB. In Waveform mode, an external event can be programmed to be one of the following signals: TIOB, XC0, XC1, or XC2. This external event can then be programmed to perform a trigger by writing a one to the External Event Trigger Enable bit in CMRn (CMRn.ENETRG). If an external trigger is used, the duration of the pulses must be longer than the CLK_TC period in order to be detected. Regardless of the trigger used, it will be taken into account at the following active edge of the selected clock. This means that the counter value can be read differently from zero just after a trigger, especially when a low frequency signal is selected as the clock. 28.6.2 Capture Operating Mode This mode is entered by writing a zero to the CMRn.WAVE bit. Capture mode allows the TC channel to perform measurements such as pulse timing, frequency, period, duty cycle and phase on TIOA and TIOB signals which are considered as inputs. Figure 28-4 on page 756 shows the configuration of the TC channel when programmed in Capture mode. 28.6.2.1 Capture registers A and B Registers A and B (RA and RB) are used as capture registers. This means that they can be loaded with the counter value when a programmable event occurs on the signal TIOA. The RA Loading Selection field in CMRn (CMRn.LDRA) defines the TIOA edge for the loading of the RA register, and the RB Loading Selection field in CMRn (CMRn.LDRB) defines the TIOA edge for the loading of the RB register. RA is loaded only if it has not been loaded since the last trigger or if RB has been loaded since the last loading of RA. RB is loaded only if RA has been loaded since the last trigger or the last loading of RB. Loading RA or RB before the read of the last value loaded sets the Load Overrun Status bit in SRn (SRn.LOVRS). In this case, the old value is overwritten. 754 32072H-AVR32-10/2012 AT32UC3A3 28.6.2.2 Trigger conditions In addition to the SYNC signal, the software trigger and the RC compare trigger, an external trigger can be defined. The TIOA or TIOB External Trigger Selection bit in CMRn (CMRn.ABETRG) selects TIOA or TIOB input signal as an external trigger. The External Trigger Edge Selection bit in CMRn (CMRn.ETREDG) defines the edge (rising, falling or both) detected to generate an external trigger. If CMRn.ETRGEDG is zero (none), the external trigger is disabled. 755 32072H-AVR32-10/2012 32072H-AVR32-10/2012 TIOA TIOB SYNC MTIOA MTIOB TIMER_CLOCK1 TIMER_CLOCK2 TIMER_CLOCK3 TIMER_CLOCK4 TIMER_CLOCK5 XC0 XC1 XC2 1 Edge Detector ETRGEDG SWTRG CLKI S R OVF LDRB Edge Detector Edge Detector Capture Register A LDBSTOP S R CLKEN LDRA If RA is Loaded CPCTRG 16-bit Counter RESET Trig CLK Q Q CLKSTA LDBDIS Capture Register B CLKDIS SR Timer/Counter Channel If RA is not Loaded or RB is Loaded ABETRG BURST TCCLKS Compare RC = Register C COVFS LDRBS INT AT32UC3A3 Figure 28-4. Capture Mode LOVRS CPCS LDRAS ETRGS IMR 756 AT32UC3A3 28.6.3 Waveform Operating Mode Waveform operating mode is entered by writing a one to the CMRn.WAVE bit. In Waveform operating mode the TC channel generates one or two PWM signals with the same frequency and independently programmable duty cycles, or generates different types of oneshot or repetitive pulses. In this mode, TIOA is configured as an output and TIOB is defined as an output if it is not used as an external event. Figure 28-5 on page 758 shows the configuration of the TC channel when programmed in Waveform operating mode. 28.6.3.1 Waveform selection Depending on the Waveform Selection field in CMRn (CMRn.WAVSEL), the behavior of CVn varies. With any selection, RA, RB and RC can all be used as compare registers. RA Compare is used to control the TIOA output, RB Compare is used to control the TIOB output (if correctly configured) and RC Compare is used to control TIOA and/or TIOB outputs. 757 32072H-AVR32-10/2012 32072H-AVR32-10/2012 TIOB SYNC TIMER_CLOCK1 TIMER_CLOCK2 TIMER_CLOCK3 TIMER_CLOCK4 TIMER_CLOCK5 XC0 XC1 XC2 EEVT Edge Detector EEVTEDG SWTRG ENETRG Trig CLK S R Register A Q CLKSTA Compare RA = OVF WAVSEL RESET 16-bit Counter WAVSEL Q SR Timer/Counter Channel 1 BURST CLKI Compare RC = Compare RB = CPCSTOP CPCDIS Register C CLKDIS Register B R S CLKEN CPAS INT BSWTRG BEEVT BCPB BCPC ASWTRG AEEVT ACPA ACPC O utput Contr oller O utput Cont r oller TCCLKS TIOB MTIOB TIOA MTIOA AT32UC3A3 Figure 28-5. Waveform Mode CPCS CPBS COVFS ETRGS IMR 758 AT32UC3A3 28.6.3.2 WAVSEL = 0 When CMRn.WAVSEL is zero, the value of CVn is incremented from 0 to 0xFFFF. Once 0xFFFF has been reached, the value of CVn is reset. Incrementation of CVn starts again and the cycle continues. See Figure 28-6 on page 759. An external event trigger or a software trigger can reset the value of CVn. It is important to note that the trigger may occur at any time. See Figure 28-7 on page 760. RC Compare cannot be programmed to generate a trigger in this configuration. At the same time, RC Compare can stop the counter clock (CMRn.CPCSTOP = 1) and/or disable the counter clock (CMRn.CPCDIS = 1). Figure 28-6. WAVSEL= 0 Without Trigger Counter Value Counter cleared by compare match with 0xFFFF 0xFFFF RC RB RA Waveform Examples Time TIOB TIOA 759 32072H-AVR32-10/2012 AT32UC3A3 Figure 28-7. WAVSEL= 0 With Trigger Counter Value Counter cleared by compare match with 0xFFFF 0xFFFF RC Counter cleared by trigger RB RA Waveform Examples Time TIOB TIOA 28.6.3.3 WAVSEL = 2 When CMRn.WAVSEL is two, the value of CVn is incremented from zero to the value of RC, then automatically reset on a RC Compare. Once the value of CVn has been reset, it is then incremented and so on. See Figure 28-8 on page 761. It is important to note that CVn can be reset at any time by an external event or a software trigger if both are programmed correctly. See Figure 28-9 on page 761. In addition, RC Compare can stop the counter clock (CMRn.CPCSTOP) and/or disable the counter clock (CMRn.CPCDIS = 1). 760 32072H-AVR32-10/2012 AT32UC3A3 Figure 28-8. WAVSEL = 2 Without Trigger Counter Value 0xFFFF Counter cleared by compare match with RC RC RB RA Waveform Examples Time TIOB TIOA Figure 28-9. WAVSEL = 2 With Trigger Counter Value 0xFFFF Counter cleared by compare match with RC Counter cleared by trigger RC RB RA Waveform Examples Time TIOB TIOA 28.6.3.4 WAVSEL = 1 When CMRn.WAVSEL is one, the value of CVn is incremented from 0 to 0xFFFF. Once 0xFFFF is reached, the value of CVn is decremented to 0, then re-incremented to 0xFFFF and so on. See Figure 28-10 on page 762. 761 32072H-AVR32-10/2012 AT32UC3A3 A trigger such as an external event or a software trigger can modify CVn at any time. If a trigger occurs while CVn is incrementing, CVn then decrements. If a trigger is received while CVn is decrementing, CVn then increments. See Figure 28-11 on page 762. RC Compare cannot be programmed to generate a trigger in this configuration. At the same time, RC Compare can stop the counter clock (CMRn.CPCSTOP = 1) and/or disable the counter clock (CMRn.CPCDIS = 1). Figure 28-10. WAVSEL = 1 Without Trigger Counter Value Counter decremented by compare match with 0xFFFF 0xFFFF RC RB RA Time Waveform Examples TIOB TIOA Figure 28-11. WAVSEL = 1 With Trigger Counter Value Counter decremented by compare match with 0xFFFF 0xFFFF Counter decremented by trigger RC RB Counter incremented by trigger RA Waveform Examples Time TIOB TIOA 762 32072H-AVR32-10/2012 AT32UC3A3 28.6.3.5 WAVSEL = 3 When CMRn.WAVSEL is three, the value of CVn is incremented from zero to RC. Once RC is reached, the value of CVn is decremented to zero, then re-incremented to RC and so on. See Figure 28-12 on page 763. A trigger such as an external event or a software trigger can modify CVn at any time. If a trigger occurs while CVn is incrementing, CVn then decrements. If a trigger is received while CVn is decrementing, CVn then increments. See Figure 28-13 on page 764. RC Compare can stop the counter clock (CMRn.CPCSTOP = 1) and/or disable the counter clock (CMRn.CPCDIS = 1). Figure 28-12. WAVSEL = 3 Without Trigger Counter Value 0xFFFF Counter cleared by compare match with RC RC RB RA Waveform Examples Time TIOB TIOA 763 32072H-AVR32-10/2012 AT32UC3A3 Figure 28-13. WAVSEL = 3 With Trigger Counter Value 0xFFFF Counter decremented by compare match with RC RC Counter decremented by trigger RB Counter incremented by trigger RA Waveform Examples TIOB Time TIOA 28.6.3.6 External event/trigger conditions An external event can be programmed to be detected on one of the clock sources (XC0, XC1, XC2) or TIOB. The external event selected can then be used as a trigger. The External Event Selection field in CMRn (CMRn.EEVT) selects the external trigger. The External Event Edge Selection field in CMRn (CMRn.EEVTEDG) defines the trigger edge for each of the possible external triggers (rising, falling or both). If CMRn.EEVTEDG is written to zero, no external event is defined. If TIOB is defined as an external event signal (CMRn.EEVT = 0), TIOB is no longer used as an output and the compare register B is not used to generate waveforms and subsequently no IRQs. In this case the TC channel can only generate a waveform on TIOA. When an external event is defined, it can be used as a trigger by writing a one to the CMRn.ENETRG bit. As in Capture mode, the SYNC signal and the software trigger are also available as triggers. RC Compare can also be used as a trigger depending on the CMRn.WAVSEL field. 28.6.3.7 Output controller The output controller defines the output level changes on TIOA and TIOB following an event. TIOB control is used only if TIOB is defined as output (not as an external event). The following events control TIOA and TIOB: * software trigger * external event * RC compare RA compare controls TIOA and RB compare controls TIOB. Each of these events can be programmed to set, clear or toggle the output as defined in the following fields in CMRn: * RC Compare Effect on TIOB (CMRn.BCPC) 764 32072H-AVR32-10/2012 AT32UC3A3 * RB Compare Effect on TIOB (CMRn.BCPB) * RC Compare Effect on TIOA (CMRn.ACPC) * RA Compare Effect on TIOA (CMRn.ACPA) 765 32072H-AVR32-10/2012 AT32UC3A3 28.7 User Interface Table 28-3. TC Register Memory Map Offset Register Register Name Access Reset 0x00 Channel 0 Control Register CCR0 Write-only 0x00000000 0x04 Channel 0 Mode Register CMR0 Read/Write 0x00000000 0x10 Channel 0 Counter Value CV0 Read-only 0x00000000 0x14 Channel 0 Register A RA0 Read/Write(1) 0x00000000 0x18 Channel 0 Register B RB0 Read/Write(1) 0x00000000 0x1C Channel 0 Register C RC0 Read/Write 0x00000000 0x20 Channel 0 Status Register SR0 Read-only 0x00000000 0x24 Interrupt Enable Register IER0 Write-only 0x00000000 0x28 Channel 0 Interrupt Disable Register IDR0 Write-only 0x00000000 0x2C Channel 0 Interrupt Mask Register IMR0 Read-only 0x00000000 0x40 Channel 1 Control Register CCR1 Write-only 0x00000000 0x44 Channel 1 Mode Register CMR1 Read/Write 0x00000000 0x50 Channel 1 Counter Value CV1 Read-only 0x00000000 0x54 Channel 1 Register A RA1 (1) 0x00000000 (1) 0x00000000 Read/Write 0x58 Channel 1 Register B RB1 Read/Write 0x5C Channel 1 Register C RC1 Read/Write 0x00000000 0x60 Channel 1 Status Register SR1 Read-only 0x00000000 0x64 Channel 1 Interrupt Enable Register IER1 Write-only 0x00000000 0x68 Channel 1 Interrupt Disable Register IDR1 Write-only 0x00000000 0x6C Channel 1 Interrupt Mask Register IMR1 Read-only 0x00000000 0x80 Channel 2 Control Register CCR2 Write-only 0x00000000 0x84 Channel 2 Mode Register CMR2 Read/Write 0x00000000 0x90 Channel 2 Counter Value CV2 Read-only 0x00000000 0x94 Channel 2 Register A RA2 Read/Write(1) 0x00000000 0x98 Channel 2 Register B RB2 Read/Write(1) 0x00000000 0x9C Channel 2 Register C RC2 Read/Write 0x00000000 0xA0 Channel 2 Status Register SR2 Read-only 0x00000000 0xA4 Channel 2 Interrupt Enable Register IER2 Write-only 0x00000000 0xA8 Channel 2 Interrupt Disable Register IDR2 Write-only 0x00000000 0xAC Channel 2 Interrupt Mask Register IMR2 Read-only 0x00000000 0xC0 Block Control Register BCR Write-only 0x00000000 0xC4 Block Mode Register BMR Read/Write 0x00000000 0xF8 Features Register FEATURES Read-only -(2) 0xFC Version Register VERSION Read-only -(2) 766 32072H-AVR32-10/2012 AT32UC3A3 Notes: 1. Read-only if CMRn.WAVE is zero. 2. The reset values are device specific. Please refer to the Module Configuration section at the end of this chapter. 767 32072H-AVR32-10/2012 AT32UC3A3 28.7.1 Name: Channel Control Register CCR Access Type: Write-only Offset: 0x00 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - - SWTRG CLKDIS CLKEN * SWTRG: Software Trigger Command 1: Writing a one to this bit will perform a software trigger: the counter is reset and the clock is started. 0: Writing a zero to this bit has no effect. * CLKDIS: Counter Clock Disable Command 1: Writing a one to this bit will disable the clock. 0: Writing a zero to this bit has no effect. * CLKEN: Counter Clock Enable Command 1: Writing a one to this bit will enable the clock if CLKDIS is not one. 0: Writing a zero to this bit has no effect. 768 32072H-AVR32-10/2012 AT32UC3A3 28.7.2 Name: Channel Mode Register: Capture Mode CMR Access Type: Read/Write Offset: 0x04 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 11 10 WAVE CPCTRG - - - ABETRG 7 6 5 4 3 2 LDBDIS LDBSTOP BURST LDRB CLKI LDRA 9 8 ETRGEDG 1 0 TCCLKS * LDRB: RB Loading Selection LDRB Edge 0 none 1 rising edge of TIOA 2 falling edge of TIOA 3 each edge of TIOA * LDRA: RA Loading Selection LDRA Edge 0 none 1 rising edge of TIOA 2 falling edge of TIOA 3 each edge of TIOA * WAVE 1: Capture mode is disabled (Waveform mode is enabled). 0: Capture mode is enabled. * CPCTRG: RC Compare Trigger Enable 1: RC Compare resets the counter and starts the counter clock. 0: RC Compare has no effect on the counter and its clock. * ABETRG: TIOA or TIOB External Trigger Selection 1: TIOA is used as an external trigger. 769 32072H-AVR32-10/2012 AT32UC3A3 0: TIOB is used as an external trigger. * ETRGEDG: External Trigger Edge Selection ETRGEDG Edge 0 none 1 rising edge 2 falling edge 3 each edge * LDBDIS: Counter Clock Disable with RB Loading 1: Counter clock is disabled when RB loading occurs. 0: Counter clock is not disabled when RB loading occurs. * LDBSTOP: Counter Clock Stopped with RB Loading 1: Counter clock is stopped when RB loading occurs. 0: Counter clock is not stopped when RB loading occurs. * BURST: Burst Signal Selection BURST Burst Signal Selection 0 The clock is not gated by an external signal 1 XC0 is ANDed with the selected clock 2 XC1 is ANDed with the selected clock 3 XC2 is ANDed with the selected clock * CLKI: Clock Invert 1: The counter is incremented on falling edge of the clock. 0: The counter is incremented on rising edge of the clock. * TCCLKS: Clock Selection TCCLKS Clock Selected 0 TIMER_CLOCK1 1 TIMER_CLOCK2 2 TIMER_CLOCK3 3 TIMER_CLOCK4 4 TIMER_CLOCK5 5 XC0 6 XC1 7 XC2 770 32072H-AVR32-10/2012 AT32UC3A3 28.7.3 Name: Channel Mode Register: Waveform Mode CMR Access Type: Read/Write Offset: 0x04 + n * 0x40 Reset Value: 0x00000000 31 30 29 BSWTRG 23 27 BEEVT 22 21 ASWTRG 15 28 20 WAVE 13 7 6 19 CPCDIS CPCSTOP 4 BURST BCPB 18 11 ENETRG 5 24 17 16 ACPC 12 WAVSEL 25 BCPC AEEVT 14 26 ACPA 10 9 EEVT 3 CLKI 8 EEVTEDG 2 1 0 TCCLKS * BSWTRG: Software Trigger Effect on TIOB BSWTRG Effect 0 none 1 set 2 clear 3 toggle * BEEVT: External Event Effect on TIOB BEEVT Effect 0 none 1 set 2 clear 3 toggle 771 32072H-AVR32-10/2012 AT32UC3A3 * BCPC: RC Compare Effect on TIOB BCPC Effect 0 none 1 set 2 clear 3 toggle * BCPB: RB Compare Effect on TIOB BCPB Effect 0 none 1 set 2 clear 3 toggle * ASWTRG: Software Trigger Effect on TIOA ASWTRG Effect 0 none 1 set 2 clear 3 toggle * AEEVT: External Event Effect on TIOA AEEVT Effect 0 none 1 set 2 clear 3 toggle * ACPC: RC Compare Effect on TIOA ACPC Effect 0 none 1 set 2 clear 3 toggle 772 32072H-AVR32-10/2012 AT32UC3A3 * ACPA: RA Compare Effect on TIOA ACPA Effect 0 none 1 set 2 clear 3 toggle * WAVE 1: Waveform mode is enabled. 0: Waveform mode is disabled (Capture mode is enabled). * WAVSEL: Waveform Selection WAVSEL Effect 0 UP mode without automatic trigger on RC Compare 1 UPDOWN mode without automatic trigger on RC Compare 2 UP mode with automatic trigger on RC Compare 3 UPDOWN mode with automatic trigger on RC Compare * ENETRG: External Event Trigger Enable 1: The external event resets the counter and starts the counter clock. 0: The external event has no effect on the counter and its clock. In this case, the selected external event only controls the TIOA output. * EEVT: External Event Selection EEVT Note: Signal selected as external event TIOB Direction 0 TIOB input(1) 1 XC0 output 2 XC1 output 3 XC2 output 1. If TIOB is chosen as the external event signal, it is configured as an input and no longer generates waveforms and subsequently no IRQs. * EEVTEDG: External Event Edge Selection EEVTEDG Edge 0 none 1 rising edge 2 falling edge 3 each edge * CPCDIS: Counter Clock Disable with RC Compare 1: Counter clock is disabled when counter reaches RC. 0: Counter clock is not disabled when counter reaches RC. 773 32072H-AVR32-10/2012 AT32UC3A3 * CPCSTOP: Counter Clock Stopped with RC Compare 1: Counter clock is stopped when counter reaches RC. 0: Counter clock is not stopped when counter reaches RC. * BURST: Burst Signal Selection BURST Burst Signal Selection 0 The clock is not gated by an external signal. 1 XC0 is ANDed with the selected clock. 2 XC1 is ANDed with the selected clock. 3 XC2 is ANDed with the selected clock. * CLKI: Clock Invert 1: Counter is incremented on falling edge of the clock. 0: Counter is incremented on rising edge of the clock. * TCCLKS: Clock Selection TCCLKS Clock Selected 0 TIMER_CLOCK1 1 TIMER_CLOCK2 2 TIMER_CLOCK3 3 TIMER_CLOCK4 4 TIMER_CLOCK5 5 XC0 6 XC1 7 XC2 774 32072H-AVR32-10/2012 AT32UC3A3 28.7.4 Name: Channel Counter Value Register CV Access Type: Read-only Offset: 0x10 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 CV[15:8] 7 6 5 4 CV[7:0] * CV: Counter Value CV contains the counter value in real time. 775 32072H-AVR32-10/2012 AT32UC3A3 28.7.5 Name: Channel Register A RA Access Type: Read-only if CMRn.WAVE = 0, Read/Write if CMRn.WAVE = 1 Offset: 0x14 + n * 0X40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 RA[15:8] 7 6 5 4 RA[7:0] * RA: Register A RA contains the Register A value in real time. 776 32072H-AVR32-10/2012 AT32UC3A3 28.7.6 Name: Channel Register B RB Access Type: Read-only if CMRn.WAVE = 0, Read/Write if CMRn.WAVE = 1 Offset: 0x18 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 RB[15:8] 7 6 5 4 RB[7:0] * RB: Register B RB contains the Register B value in real time. 777 32072H-AVR32-10/2012 AT32UC3A3 28.7.7 Name: Channel Register C RC Access Type: Read/Write Offset: 0x1C + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 3 2 1 0 RC[15:8] 7 6 5 4 RC[7:0] * RC: Register C RC contains the Register C value in real time. 778 32072H-AVR32-10/2012 AT32UC3A3 28.7.8 Name: Channel Status Register SR Access Type: Read-only Offset: 0x20 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - MTIOB MTIOA CLKSTA 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS Note: Reading the Status Register will also clear the interrupt bit for the corresponding interrupts. * MTIOB: TIOB Mirror 1: TIOB is high. If CMRn.WAVE is zero, this means that TIOB pin is high. If CMRn.WAVE is one, this means that TIOB is driven high. 0: TIOB is low. If CMRn.WAVE is zero, this means that TIOB pin is low. If CMRn.WAVE is one, this means that TIOB is driven low. * MTIOA: TIOA Mirror 1: TIOA is high. If CMRn.WAVE is zero, this means that TIOA pin is high. If CMRn.WAVE is one, this means that TIOA is driven high. 0: TIOA is low. If CMRn.WAVE is zero, this means that TIOA pin is low. If CMRn.WAVE is one, this means that TIOA is driven low. * CLKSTA: Clock Enabling Status 1: This bit is set when the clock is enabled. 0: This bit is cleared when the clock is disabled. * ETRGS: External Trigger Status 1: This bit is set when an external trigger has occurred. 0: This bit is cleared when the SR register is read. * LDRBS: RB Loading Status 1: This bit is set when an RB Load has occurred and CMRn.WAVE is zero. 0: This bit is cleared when the SR register is read. * LDRAS: RA Loading Status 1: This bit is set when an RA Load has occurred and CMRn.WAVE is zero. 0: This bit is cleared when the SR register is read. * CPCS: RC Compare Status 1: This bit is set when an RC Compare has occurred. 0: This bit is cleared when the SR register is read. 779 32072H-AVR32-10/2012 AT32UC3A3 * CPBS: RB Compare Status 1: This bit is set when an RB Compare has occurred and CMRn.WAVE is one. 0: This bit is cleared when the SR register is read. * CPAS: RA Compare Status 1: This bit is set when an RA Compare has occurred and CMRn.WAVE is one. 0: This bit is cleared when the SR register is read. * LOVRS: Load Overrun Status 1: This bit is set when RA or RB have been loaded at least twice without any read of the corresponding register and CMRn.WAVE is zero. 0: This bit is cleared when the SR register is read. * COVFS: Counter Overflow Status 1: This bit is set when a counter overflow has occurred. 0: This bit is cleared when the SR register is read. 780 32072H-AVR32-10/2012 AT32UC3A3 28.7.9 Name: Channel Interrupt Enable Register IER Access Type: Write-only Offset: 0x24 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. 781 32072H-AVR32-10/2012 AT32UC3A3 28.7.10 Name: Channel Interrupt Disable Register IDR Access Type: Write-only Offset: 0x28 + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. 782 32072H-AVR32-10/2012 AT32UC3A3 28.7.11 Name: Channel Interrupt Mask Register IMR Access Type: Read-only Offset: 0x2C + n * 0x40 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is set when the corresponding bit in IER is written to one. 783 32072H-AVR32-10/2012 AT32UC3A3 28.7.12 Name: Block Control Register BCR Access Type: Write-only Offset: 0xC0 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - - - - SYNC * SYNC: Synchro Command 1: Writing a one to this bit asserts the SYNC signal which generates a software trigger simultaneously for each of the channels. 0: Writing a zero to this bit has no effect. 784 32072H-AVR32-10/2012 AT32UC3A3 28.7.13 Name: Block Mode Register BMR Access Type: Read/Write Offset: 0xC4 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - TC2XC2S TC1XC1S TC0XC0S * TC2XC2S: External Clock Signal 2 Selection TC2XC2S Signal Connected to XC2 0 TCLK2 1 none 2 TIOA0 3 TIOA1 * TC1XC1S: External Clock Signal 1 Selection TC1XC1S Signal Connected to XC1 0 TCLK1 1 none 2 TIOA0 3 TIOA2 785 32072H-AVR32-10/2012 AT32UC3A3 * TC0XC0S: External Clock Signal 0 Selection TC0XC0S Signal Connected to XC0 0 TCLK0 1 none 2 TIOA1 3 TIOA2 786 32072H-AVR32-10/2012 AT32UC3A3 28.7.14 Name: Features Register FEATURES Access Type: Read-only Offset: 0xF8 Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - BRPBHSB UPDNIMPL 7 6 5 4 3 2 1 0 CTRSIZE * BRPBHSB: Bridge type is PB to HSB 1: Bridge type is PB to HSB. 0: Bridge type is not PB to HSB. * UPDNIMPL: Up/down is implemented 1: Up/down counter capability is implemented. 0: Up/down counter capability is not implemented. * CTRSIZE: Counter size This field indicates the size of the counter in bits. 787 32072H-AVR32-10/2012 AT32UC3A3 28.7.15 Name: Version Register VERSION Access Type: Read-only Offset: 0xFC Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 VARIANT 11 10 VERSION[11:8] 3 2 1 0 VERSION[7:0] * VARIANT: Variant number Reserved. No functionality associated. * VERSION: Version number Version number of the module. No functionality associated. 788 32072H-AVR32-10/2012 AT32UC3A3 28.8 Module Configuration The specific configuration for each TC instance is listed in the following tables.The module bus clocks listed here are connected to the system bus clocks according to the table in the Power Manager section. Table 28-4. 28.8.1 Module Clock Name Module name Clock name TC0 CLK_TC0 TC1 CLK_TC1 Clock Connections Each Timer/Counter channel can independently select an internal or external clock source for its counter: Table 28-5. Timer/Counter Internal Clock Connections Name Connection TIMER_CLOCK1 32 KHz clock TIMER_CLOCK2 PBA Clock / 2 TIMER_CLOCK3 PBA Clock / 8 TIMER_CLOCK4 PBA Clock / 32 TIMER_CLOCK5 PBA Clock / 128 789 32072H-AVR32-10/2012 AT32UC3A3 29. Analog-to-Digital Converter (ADC) Rev: 2.0.0.1 29.1 Features * Integrated multiplexer offering up to eight independent analog inputs * Individual enable and disable of each channel * Hardware or software trigger - External trigger pin - Timer counter outputs (corresponding TIOA trigger) * Peripheral DMA Controller support * Possibility of ADC timings configuration * Sleep mode and conversion sequencer - Automatic wakeup on trigger and back to sleep mode after conversions of all enabled channels 29.2 Overview The Analog-to-Digital Converter (ADC) is based on a Successive Approximation Register (SAR) 10-bit ADC. It also integrates an 8-to-1 analog multiplexer, making possible the analog-to-digital conversions of 8 analog lines. The conversions extend from 0V to VDDANA. The ADC supports an 8-bit or 10-bit resolution mode, and conversion results are reported in a common register for all channels, as well as in a channel-dedicated register. Software trigger, external trigger on rising edge of the TRIGGER pin, or internal triggers from timer counter output(s) are configurable. The ADC also integrates a sleep mode and a conversion sequencer and connects with a Peripheral DMA Controller channel. These features reduce both power consumption and processor intervention. Finally, the user can configure ADC timings, such as startup time and sample & hold time. 790 32072H-AVR32-10/2012 AT32UC3A3 29.3 Block Diagram Figure 29-1. ADC Block Diagram Timer Counter Channels ADC Trigger Selection TRIGGER Control Logic ADC Interrupt Interrupt Controller VDDANA VREF ADDedicated Analog Inputs Peripheral DMA Controller AD- AD- Analog Inputs Multiplexed With I/O lines ADAD- I/O Controller Successive Approximation Register Analog-to-Digital Converter User Interface High Speed Bus (HSB) Peripheral Bridge Peripheral Bus (PB) AD- GND 29.4 I/O Lines Description Table 29-1. ADC Pins Description Pin Name Description VDDANA Analog power supply AD[0] - AD[7] Analog input channels TRIGGER External trigger 29.5 Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 29.5.1 I/O Lines The TRIGGER pin may be shared with other peripheral functions through the I/O Controller. 791 32072H-AVR32-10/2012 AT32UC3A3 29.5.2 Power Management In sleep mode, the ADC clock is automatically stopped after each conversion. As the logic is small and the ADC cell can be put into sleep mode, the Power Manager has no effect on the ADC behavior. 29.5.3 Clocks The clock for the ADC bus interface (CLK_ADC) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the ADC before disabling the clock, to avoid freezing the ADC in an undefined state. The CLK_ADC clock frequency must be in line with the ADC characteritics. Refer to Electrical Characteristics section for details. 29.5.4 Interrupts The ADC interrupt request line is connected to the interrupt controller. Using the ADC interrupt requires the interrupt controller to be programmed first. 29.5.5 Analog Inputs The analog input pins can be multiplexed with I/O lines. In this case, the assignment of the ADC input is automatically done as soon as the corresponding I/O is configured through the I/O contoller. By default, after reset, the I/O line is configured as a logic input. 29.5.6 Timer Triggers Timer Counters may or may not be used as hardware triggers depending on user requirements. Thus, some or all of the timer counters may be non-connected. 29.6 29.6.1 Functional Description Analog-to-digital Conversion The ADC uses the ADC Clock to perform conversions. Converting a single analog value to a 10bit digital data requires sample and hold clock cycles as defined in the Sample and Hold Time field of the Mode Register (MR.SHTIM) and 10 ADC Clock cycles. The ADC Clock frequency is selected in the Prescaler Rate Selection field of the MR register (MR.PRESCAL). The ADC Clock range is between CLK_ADC/2, if the PRESCAL field is 0, and CLK_ADC/128, if the PRESCAL field is 63 (0x3F). The PRESCAL field must be written in order to provide an ADC Clock frequency according to the parameters given in the Electrical Characteristics chapter. 29.6.2 Conversion Reference The conversion is performed on a full range between 0V and the reference voltage connected to VDDANA. Analog input values between these voltages are converted to digital values based on a linear conversion. 29.6.3 Conversion Resolution The ADC supports 8-bit or 10-bit resolutions. The 8-bit selection is performed by writing a one to the Resolution bit in the MR register (MR.LOWRES). By default, after a reset, the resolution is the highest and the Converted Data field in the Channel Data Registers (CDRn.DATA) is fully used. By writing a one to the LOWRES bit, the ADC switches in the lowest resolution and the conversion results can be read in the eight lowest significant bits of the Channel Data Registers (CDRn). The two highest bits of the DATA field in the corresponding CDRn register will be read 792 32072H-AVR32-10/2012 AT32UC3A3 as zero. The two highest bits of the Last Data Converted field in the Last Converted Data Register (LCDR.LDATA) will be read as zero too. Moreover, when a Peripheral DMA channel is connected to the ADC, a 10-bit resolution sets the transfer request size to 16-bit. Writing a one to the LOWRES bit automatically switches to 8-bit data transfers. In this case, the destination buffers are optimized. 29.6.4 Conversion Results When a conversion is completed, the resulting 10-bit digital value is stored in the CDR register of the current channel and in the LCDR register. Channels are enabled by writing a one to the Channel n Enable bit (CHn) in the CHER register. The corresponding channel End of Conversion bit in the Status Register (SR.EOCn) and the Data Ready bit in the SR register (SR.DRDY) are set. In the case of a connected Peripheral DMA channel, DRDY rising triggers a data transfer request. In any case, either EOC or DRDY can trigger an interrupt. Reading one of the CDRn registers clears the corresponding EOC bit. Reading LCDR clears the DRDY bit and the EOC bit corresponding to the last converted channel. Figure 29-2. EOCn and DRDY Flag Behavior Write CR With START=1 Read CDRn Write CR With START=1 Read LCDR CHn(CHSR) EOCn(SR) Conversion Time Conversion Time DRDY(SR) 793 32072H-AVR32-10/2012 AT32UC3A3 If the CDR register is not read before further incoming data is converted, the corresponding Overrun Error bit in the SR register (SR.OVREn) is set. In the same way, new data converted when DRDY is high sets the General Overrun Error bit in the SR register (SR.GOVRE). The OVREn and GOVRE bits are automatically cleared when the SR register is read. Figure 29-3. GOVRE and OVREn Flag Behavior Read SR TRIGGER CH0(CHSR) CH1(CHSR) LCDR Undefined Data CRD0 Undefined Data CRD1 EOC0(SR) EOC1(SR) Data B Data A Data C Data A Data C Undefined Data Data B Conversion Conversion Conversion Read CDR0 Read CDR1 GOVRE(SR) DRDY(ASR) OVRE0(SR) Warning: If the corresponding channel is disabled during a conversion or if it is disabled and then reenabled during a conversion, its associated data and its corresponding EOC and OVRE flags in SR are unpredictable. 794 32072H-AVR32-10/2012 AT32UC3A3 29.6.5 Conversion Triggers Conversions of the active analog channels are started with a software or a hardware trigger. The software trigger is provided by writing a one to the START bit in the Control Register (CR.START). The hardware trigger can be one of the TIOA outputs of the Timer Counter channels, or the external trigger input of the ADC (TRIGGER). The hardware trigger is selected with the Trigger Selection field in the Mode Register (MR.TRIGSEL). The selected hardware trigger is enabled by writing a one to the Trigger Enable bit in the Mode Register (MR.TRGEN). If a hardware trigger is selected, the start of a conversion is detected at each rising edge of the selected signal. If one of the TIOA outputs is selected, the corresponding Timer Counter channel must be programmed in Waveform Mode. Only one start command is necessary to initiate a conversion sequence on all the channels. The ADC hardware logic automatically performs the conversions on the active channels, then waits for a new request. The Channel Enable (CHER) and Channel Disable (CHDR) Registers enable the analog channels to be enabled or disabled independently. If the ADC is used with a Peripheral DMA Controller, only the transfers of converted data from enabled channels are performed and the resulting data buffers should be interpreted accordingly. Warning: Enabling hardware triggers does not disable the software trigger functionality. Thus, if a hardware trigger is selected, the start of a conversion can be initiated either by the hardware or the software trigger. 29.6.6 Sleep Mode and Conversion Sequencer The ADC Sleep Mode maximizes power saving by automatically deactivating the ADC when it is not being used for conversions. Sleep Mode is selected by writing a one to the Sleep Mode bit in the Mode Register (MR.SLEEP). The SLEEP mode is automatically managed by a conversion sequencer, which can automatically process the conversions of all channels at lowest power consumption. When a start conversion request occurs, the ADC is automatically activated. As the analog cell requires a start-up time, the logic waits during this time and starts the conversion on the enabled channels. When all conversions are complete, the ADC is deactivated until the next trigger. Triggers occurring during the sequence are not taken into account. The conversion sequencer allows automatic processing with minimum processor intervention and optimized power consumption. Conversion sequences can be performed periodically using a Timer/Counter output. The periodic acquisition of several samples can be processed automatically without any intervention of the processor thanks to the Peripheral DMA Controller. Note: The reference voltage pins always remain connected in normal mode as in sleep mode. 795 32072H-AVR32-10/2012 AT32UC3A3 29.6.7 ADC Timings Each ADC has its own minimal startup time that is defined through the Start Up Time field in the Mode Register (MR.STARTUP). This startup time is given in the Electrical Characteristics chapter. In the same way, a minimal sample and hold time is necessary for the ADC to guarantee the best converted final value between two channels selection. This time has to be defined through the Sample and Hold Time field in the Mode Register (MR.SHTIM). This time depends on the input impedance of the analog input, but also on the output impedance of the driver providing the signal to the analog input, as there is no input buffer amplifier. 29.6.8 Conversion Performances For performance and electrical characteristics of the ADC, see the Electrical Characteristics chapter. 796 32072H-AVR32-10/2012 AT32UC3A3 29.7 User Interface Table 29-2. Note: ADC Register Memory Map Offset Register Name Access Reset State 0x00 Control Register CR Write-only 0x00000000 0x04 Mode Register MR Read/Write 0x00000000 0x10 Channel Enable Register CHER Write-only 0x00000000 0x14 Channel Disable Register CHDR Write-only 0x00000000 0x18 Channel Status Register CHSR Read-only 0x00000000 0x1C Status Register SR Read-only 0x000C0000 0x20 Last Converted Data Register LCDR Read-only 0x00000000 0x24 Interrupt Enable Register IER Write-only 0x00000000 0x28 Interrupt Disable Register IDR Write-only 0x00000000 0x2C Interrupt Mask Register IMR Read-only 0x00000000 0x30 Channel Data Register 0 CDR0 Read-only 0x00000000 ... ...(if implemented) ... ... ... 0x4C Channel Data Register 7(if implemented) CDR7 Read-only 0x00000000 0xFC Version Register VERSION Read-only - (1) 1. The reset values are device specific. Please refer to the Module Configuration section at the end of this chapter. 797 32072H-AVR32-10/2012 AT32UC3A3 29.7.1 Name: Control Register CR Access Type: Write-only Offset: 0x00 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 START 0 SWRST * START: Start Conversion Writing a one to this bit will begin an analog-to-digital conversion. Writing a zero to this bit has no effect. This bit always reads zero. * SWRST: Software Reset Writing a one to this bit will reset the ADC. Writing a zero to this bit has no effect. This bit always reads zero. 798 32072H-AVR32-10/2012 AT32UC3A3 29.7.2 Name: Mode Register MR Access Type: Read/Write Offset: 0x04 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 23 - 22 21 20 19 STARTUP 15 14 13 12 26 25 24 18 17 16 11 10 9 8 3 2 TRGSEL 1 0 TRGEN SHTIM PRESCAL 7 - 6 - 5 SLEEP 4 LOWRES * SHTIM: Sample & Hold Time Sample & Hold Time = (SHTIM+3) / ADCClock * STARTUP: Start Up Time Startup Time = (STARTUP+1) * 8 / ADCClock This Time should respect a minimal value. Refer to Electrical Characteristics section for details. * PRESCAL: Prescaler Rate Selection ADCClock = CLK_ADC / ( (PRESCAL+1) * 2 ) * SLEEP: Sleep Mode 1: Sleep Mode is selected. 0: Normal Mode is selected. * LOWRES: Resolution 1: 8-bit resolution is selected. 0: 10-bit resolution is selected. * TRGSEL: Trigger Selection TRGSEL Selected TRGSEL 0 0 0 Internal Trigger 0, depending of chip integration 0 0 1 Internal Trigger 1, depending of chip integration 0 1 0 Internal Trigger 2, depending of chip integration 0 1 1 Internal Trigger 3, depending of chip integration 1 0 0 Internal Trigger 4, depending of chip integration 1 0 1 Internal Trigger 5, depending of chip integration 1 1 0 External trigger * TRGEN: Trigger Enable 1: The hardware trigger selected by the TRGSEL field is enabled. 0: The hardware triggers are disabled. Starting a conversion is only possible by software. 799 32072H-AVR32-10/2012 AT32UC3A3 29.7.3 Name: Channel Enable Register CHER Access Type: Write-only Offset: 0x10 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 CH7 6 CH6 5 CH5 4 CH4 3 CH3 2 CH2 1 CH1 0 CH0 * CHn: Channel n Enable Writing a one to these bits will set the corresponding bit in CHSR. Writing a zero to these bits has no effect. These bits always read a zero. 800 32072H-AVR32-10/2012 AT32UC3A3 29.7.4 Name: Channel Disable Register CHDR Access Type: Write-only Offset: 0x14 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 CH7 6 CH6 5 CH5 4 CH4 3 CH3 2 CH2 1 CH1 0 CH0 * CHn: Channel n Disable Writing a one to these bits will clear the corresponding bit in CHSR. Writing a zero to these bits has no effect. These bits always read a zero. Warning: If the corresponding channel is disabled during a conversion or if it is disabled then reenabled during a conversion, its associated data and its corresponding EOC and OVRE flags in SR are unpredictable. 801 32072H-AVR32-10/2012 AT32UC3A3 29.7.5 Name: Channel Status Register CHSR Access Type: Read-only Offset: 0x18 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 CH7 6 CH6 5 CH5 4 CH4 3 CH3 2 CH2 1 CH1 0 CH0 * CHn: Channel n Status These bits are set when the corresponding bits in CHER is written to one. These bits are cleared when the corresponding bits in CHDR is written to one. 1: The corresponding channel is enabled. 0: The corresponding channel is disabled. 802 32072H-AVR32-10/2012 AT32UC3A3 29.7.6 Name: Status Register SR Access Type: Read-only Offset: 0x1C Reset Value: 0x000C0000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 RXBUFF 18 ENDRX 17 GOVRE 16 DRDY 15 OVRE7 14 OVRE6 13 OVRE5 12 OVRE4 11 OVRE3 10 OVRE2 9 OVRE1 8 OVRE0 7 EOC7 6 EOC6 5 EOC5 4 EOC4 3 EOC3 2 EOC2 1 EOC1 0 EOC0 * RXBUFF: RX Buffer Full This bit is set when the Buffer Full signal from the Peripheral DMA is active. This bit is cleared when the Buffer Full signal from the Receive Peripheral DMA is inactive. * ENDRX: End of RX Buffer This bit is set when the End Receive signal from the Peripheral DMA is active. This bit is cleared when the End Receive signal from the Peripheral DMA is inactive. * GOVRE: General Overrun Error This bit is set when a General Overrun Error has occurred. This bit is cleared when the SR register is read. 1: At least one General Overrun Error has occurred since the last read of the SR register. 0: No General Overrun Error occurred since the last read of the SR register. * DRDY: Data Ready This bit is set when a data has been converted and is available in the LCDR register. This bit is cleared when the LCDR register is read. 0: No data has been converted since the last read of the LCDR register. 1: At least one data has been converted and is available in the LCDR register. * OVREn: Overrun Error n These bits are set when an overrun error on the corresponding channel has occurred (if implemented). These bits are cleared when the SR register is read. 0: No overrun error on the corresponding channel (if implemented) since the last read of SR. 1: There has been an overrun error on the corresponding channel (if implemented) since the last read of SR. * EOCn: End of Conversion n These bits are set when the corresponding conversion is complete. These bits are cleared when the corresponding CDR or LCDR registers are read. 0: Corresponding analog channel (if implemented) is disabled, or the conversion is not finished. 1: Corresponding analog channel (if implemented) is enabled and conversion is complete. 803 32072H-AVR32-10/2012 AT32UC3A3 29.7.7 Name: Last Converted Data Register LCDR Access Type: Read-only Offset: 0x20 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 7 6 5 4 3 2 1 8 LDATA[9:8] 0 LDATA[7:0] * LDATA: Last Data Converted The analog-to-digital conversion data is placed into this register at the end of a conversion and remains until a new conversion is completed. 804 32072H-AVR32-10/2012 AT32UC3A3 29.7.8 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x24 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 RXBUFF 18 ENDRX 17 GOVRE 16 DRDY 15 OVRE7 14 OVRE6 13 OVRE5 12 OVRE4 11 OVRE3 10 OVRE2 9 OVRE1 8 OVRE0 7 EOC7 6 EOC6 5 EOC5 4 EOC4 3 EOC3 2 EOC2 1 EOC1 0 EOC0 Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. 805 32072H-AVR32-10/2012 AT32UC3A3 29.7.9 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x28 Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 RXBUFF 18 ENDRX 17 GOVRE 16 DRDY 15 OVRE7 14 OVRE6 13 OVRE5 12 OVRE4 11 OVRE3 10 OVRE2 9 OVRE1 8 OVRE0 7 EOC7 6 EOC6 5 EOC5 4 EOC4 3 EOC3 2 EOC2 1 EOC1 0 EOC0 Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. 806 32072H-AVR32-10/2012 AT32UC3A3 29.7.10 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x2C Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 RXBUFF 18 ENDRX 17 GOVRE 16 DRDY 15 OVRE7 14 OVRE6 13 OVRE5 12 OVRE4 11 OVRE3 10 OVRE2 9 OVRE1 8 OVRE0 7 EOC7 6 EOC6 5 EOC5 4 EOC4 3 EOC3 2 EOC2 1 EOC1 0 EOC0 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is cleared when the corresponding bit in IER is written to one. 807 32072H-AVR32-10/2012 AT32UC3A3 29.7.11 Name: Channel Data Register CDRx Access Type: Read-only Offset: 0x2C-0x4C Reset Value: 0x00000000 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 7 6 5 4 3 2 1 8 DATA[9:8] 0 DATA[7:0] * DATA: Converted Data The analog-to-digital conversion data is placed into this register at the end of a conversion and remains until a new conversion is completed. The Convert Data Register (CDR) is only loaded if the corresponding analog channel is enabled. 808 32072H-AVR32-10/2012 AT32UC3A3 29.7.12 Name: Version Register VERSION Access Type: Read-only Offset: 0xFC Reset Value: - 31 - 30 - 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 18 17 16 15 - 14 - 13 - 12 - 11 10 9 VERSION[11:8] 8 7 6 5 4 3 2 0 VARIANT 1 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION: Version Number Version number of the module. No functionality associated. 809 32072H-AVR32-10/2012 AT32UC3A3 29.8 Module Configuration The specific configuration for the ADC instance is listed in the following tables. Table 29-3. Module configuration Feature ADC ADC_NUM_CHANNELS 8 Internal Trigger 0 TIOA Ouput A of the Timer Counter 0 Channel 0 Internal Trigger 1 TIOB Ouput B of the Timer Counter 0 Channel 0 Internal Trigger 2 TIOA Ouput A of the Timer Counter 0 Channel 1 Internal Trigger 3 TIOB Ouput B of the Timer Counter 0 Channel 1 Internal Trigger 4 TIOA Ouput A of the Timer Counter 0 Channel 2 Internal Trigger 5 TIOB Ouput B of the Timer Counter 0 Channel 2 Table 29-4. Module Clock Name Module name Clock name ADC CLK_ADC Table 29-5. Register Reset Values Module name Reset Value VERSION 0x00000200 810 32072H-AVR32-10/2012 AT32UC3A3 30. HSB Bus Performance Monitor (BUSMON) Rev 1.0.0.0 30.1 Features * Allows performance monitoring of High Speed Bus master interfaces - Up to 4 masters can be monitored - Peripheral Bus access to monitor registers * The following is monitored - Data transfer cycles - Bus stall cycles - Maximum access latency for a single transfer * Automatic handling of event overflow 30.2 Overview BUSMON allows the user to measure the activity and stall cycles on the High Speed Bus (HSB). Up to 4 device-specific masters can be measured. Each of these masters is part of a measurement channel. Which masters that are connected to a channel is device-specific. Devices may choose not to implement all channels. 30.3 Block Diagram Figure 30-1. BUSMON Block Diagram Master A Master B Master C Master D Master E Master F Master G Master H Master I Master J Master K Master L Master M Master N Master O Master P Channel 0 Slave 0 Registers Channel 1 Slave 1 Registers Channel 2 Slave 2 Registers Channel 3 Slave 3 Registers Control Peripheral Bus Interface 811 32072H-AVR32-10/2012 AT32UC3A3 30.4 Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 30.4.1 Clocks The clock for the BUSMON bus interface (CLK_BUSMON) is generated by the Power Manager. This clock is enabled at reset and can be disabled in the Power Manager. It is recommended to disable the BUSMON before disabling the clock, to avoid freezing the BUSMON in an undefined state. 30.5 Functional Description Three different parameters can be measured by each channel: * The number of data transfer cycles since last channel reset * The number of stall cycles since last channel reset * The maximum continuous number of stall cycles since last channel reset (This approximates the max latency in the transfers.) These measurements can be extracted by software and used to generate indicators for bus latency, bus load and maximum bus latency. Each of the counters have a fixed width, and may therefore overflow. When overflow is encountered in either the Channel n Data Cycles (DATAn) register or the Channel n Stall Cycles (STALLn) register of a channel, all registers in the channel are reset. This behavior is altered if the Channel n Overflow Freeze (CHnOF) bit is set in the Control (CONTROL) register. If this bit is written to one, the channel registers are frozen when either DATAn or STALLn reaches its maximum value. This simplifies one-shot readout of the counter values. The registers can also be manually reset by writing to the CONTROL register. The Channeln Max Initiation Latency (LATn) register is saturating, when its max count is reached, it will be set to its maximum value. The LATn register is reset whenever DATAn and STALLn are reset. A counter must manually be enabled by writing to the CONTROL register. 812 32072H-AVR32-10/2012 AT32UC3A3 30.6 User interface Table 30-1. Note: BUSMON Register Memory Map Offset Register Register Name Access Reset 0x00 Control register CONTROL Read/Write 0x00000000 0x10 Channel0 Data Cycles register DATA0 Read 0x00000000 0x14 Channel0 Stall Cycles register STALL0 Read 0x00000000 0x18 Channel0 Max Initiation Latency register LAT0 Read 0x00000000 0x20 Channel1 Data Cycles register DATA1 Read 0x00000000 0x24 Channel1 Stall Cycles register STALL1 Read 0x00000000 0x28 Channel1 Max Initiation Latency register LAT1 Read 0x00000000 0x30 Channel2 Data Cycles register DATA2 Read 0x00000000 0x34 Channel2 Stall Cycles register STALL2 Read 0x00000000 0x38 Channel2 Max Initiation Latency register LAT2 Read 0x00000000 0x40 Channel3 Data Cycles register DATA3 Read 0x00000000 0x44 Channel3 Stall Cycles register STALL3 Read 0x00000000 0x48 Channel3 Max Initiation Latency register LAT3 Read 0x00000000 0x50 Parameter register PARAMETER Read -(1) 0x54 Version register VERSION Read -(1) 1. The reset values are device specific. Please refer to the Module Configuration section at the end of this chapter. 813 32072H-AVR32-10/2012 AT32UC3A3 30.6.1 Name: Control Register CONTROL Access Type: Read/Write Offset: 0x00 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - CH3RES CH2RES CH1RES CH0RES 15 14 13 12 11 10 9 8 - - - - CH3OF CH2OF CH1OF CH0OF 7 6 5 4 3 2 1 0 - - - - CH3EN CH2EN CH1EN CH0EN * CHnRES: Channel Counter Reset Writting a one to this bit will reset the counter in the channel n. Writting a zero to this bit has no effect. This bit always reads as zero. * CHnOF: Channel Overflow Freeze 1: All channel n registers are frozen just before DATA or STALL overflows. 0: The channel n registers are reset if DATA or STALL overflows. * CHnEN: Channel Enabled 1: The channel n is enabled. 0: The channel n is disabled. 814 32072H-AVR32-10/2012 AT32UC3A3 30.6.2 Name: Channel n Data Cycles Register DATAn Access Type: Read-Only Offset: 0x10 + n*0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DATA[31:24] 23 22 21 20 DATA[23:16] 15 14 13 12 DATA[15:8] 7 6 5 4 DATA[7:0] * DATA: Data cycles counted since the last reset. 815 32072H-AVR32-10/2012 AT32UC3A3 30.6.3 Name: Channel n Stall Cycles Register STALLn Access Type: Read-Only Offset: 0x14 + n*0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 STALL[31:24] 23 22 21 20 STALL[23:16] 15 14 13 12 STALL[15:8] 7 6 5 4 STALL[7:0] * STALL: Stall cycles counted since the last reset. 816 32072H-AVR32-10/2012 AT32UC3A3 30.6.4 Name: Channel n Max Transfer Initiation Cycles Register LATn Access Type: Read-Only Offset: 0x18 + n*0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 LAT[31:24] 23 22 21 20 LAT[23:16] 15 14 13 12 LAT[15:8] 7 6 5 4 LAT[7:0] * LAT: This field is cleared whenever the DATA or STALL register is reset. Maximum transfer initiation cycles counted since the last reset. This counter is saturating. 817 32072H-AVR32-10/2012 AT32UC3A3 30.6.5 Name: Parameter Register PARAMETER Access Type: Read-only Offset: 0x50 Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - CH3IMPL CH2IMPL CH1IMPL CH0IMPL * CHnIMP: Channel Implementation 1: The corresponding channel is implemented. 0: The corresponding channel is not implemented. 818 32072H-AVR32-10/2012 AT32UC3A3 30.6.6 Name: Version Register VERSION Access Type: Read-only Offset: 0x54 Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 VARIANT 11 10 VERSION[11:8] 3 2 1 0 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION: Version Number Version number of the module. No functionality associated. 819 32072H-AVR32-10/2012 AT32UC3A3 30.7 Module Configuration Table 30-2. Register Reset Values Register Reset Value VERSION 0x00000100 PARAMETER 0x0000000F 820 32072H-AVR32-10/2012 AT32UC3A3 31. MultiMedia Card Interface (MCI) Rev. 4.1.0.0 31.1 Features * * * * * * * * * * * * * * 31.2 Compatible with Multimedia Card specification version 4.3 Compatible with SD Memory Card specification version 2.0 Compatible with SDIO specification version 1.1 Compatible with CE-ATA specification 1.1 Cards clock rate up to master clock divided by two Boot Operation Mode support High Speed mode support Embedded power management to slow down clock rate when not used Supports 2 - Each slot for either a MultiMediaCard bus (up to 30 cards) or an SD Memory Card Support for stream, block and multi-block data read and write Supports connection to DMA Controller - Minimizes processor intervention for large buffer transfers Built in FIFO (from 16 to 256 bytes) with large memory aperture supporting incremental access Support for CE-ATA completion cignal disable command Protection against unexpected modification on-the-Fly of the configuration registers Overview The Multimedia Card Interface (MCI) supports the MultiMedia Card (MMC) specification V4.3, the SD Memory Card specification V2.0, the SDIO V1.1 specificationand CE-ATA specification V1.1. The MCI includes a Command Register (CMDR), Response Registers (RSPRn), data registers, time-out counters and error detection logic that automatically handle the transmission of commands and, when required, the reception of the associated responses and data with a limited processor overhead. The MCI supports stream, block and multi block data read and write, and is compatible with the DMA Controller, minimizing processor intervention for large buffers transfers. The MCI operates at a rate of up to CLK_MCI divided by 2 and supports the interfacing of 2. Each slot may be used to interface with a MultiMediaCard bus (up to 30 Cards) or with a SD Memory Card. Only one slot can be selected at a time (slots are multiplexed). The SDCard/SDIO Slot Selection field in the SDCard/SDIO Register (SDCR.SDCSEL) performs this selection. The SD Memory Card communication is based on a 9-pin interface (clock, command, four data and three power lines) and the MultiMedia Card on a 7-pin to 13-pin nterface (clock, command, one to eight data, three power lines and one reserved for future use). The SD Memory Card interface also supports MultiMedia Card operations. The main differences between SD and MultiMedia Cards are the initialization process and the bus topology. MCI fully supports CE-ATA Revision 1.1, built on the MMC System specification V4.0. The module includes dedicated hardware to issue the command completion signal and capture the host command completion signal disable. 821 32072H-AVR32-10/2012 AT32UC3A3 31.3 Block Diagram Figure 31-1. MCI Block Diagram Peripheral Bus Brigde DMA Controller Peripheral Bus CLK CMD I/O controller MCI Interface Power Manager DATA CLK_MCI Interrupt Control MCI Interrupt Figure 31-2. Application Block Diagram Application Layer Ex: File System, Audio, Security, etc Physical Layer MCI Interface 12 34567 12 3 4 56 78 9 910 1213 8 MMC SDCard 822 32072H-AVR32-10/2012 AT32UC3A3 31.4 I/O Lines Description Table 31-1. I/O Lines Description Pin Name Pin Description Type (1) Comments CMD[1:0] Command/Response Input/Output/ PP/OD CMD of a MMC or SDCard/SDIO CLK Clock Input/Output CLK of a MMC or SD Card/SDIO DATA[7:0] Data 0..7 of Slot A Input/Output/PP DAT[0..7] of a MMC DAT[0..3] of a SD Card/SDIO DATA[15:8] Data 0..7 of Slot B Input/Output/PP DAT[0..7] of a MMC DAT[0..3] of a SD Card/SDIO 1. PP: Push/Pull, OD: Open Drain 31.5 Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 31.5.1 Power Management If the CPU enters a sleep mode that disables clocks used by the MCI, the MCI will stop functioning and resume operation after the system wakes up from sleep mode. 31.5.2 I/O Lines The pins used for interfacing the MultiMedia Cards or SD Cards may be multiplexed with GPIO lines. User must first program the I/O controller to assign the peripheral functions to MCI pins. 31.5.3 Clocks The clock for the MCI bus interface (CLK_MCI) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the MCI before disabling the clock, to avoid freezing the MCI in an undefined state. 31.5.4 Interrupt The MCI interrupt request line is connected to the interrupt controller. Using the MCI interrupt requires the interrupt controller to be programmed first. 31.6 31.6.1 Functional Description Bus Topology Figure 31-3. Multimedia Memory Card Bus Topology 12 34567 910 1213 8 MMC 823 32072H-AVR32-10/2012 AT32UC3A3 The MultiMedia Card communication is based on a 13-pin serial bus interface. It has three communication lines and four supply lines. Table 31-2. Bus Topology Pin Number Name Type(1) Description MCI Pin Name(2) (Slot z) 1 DAT[3] I/O/PP Data DATAz[3] 2 CMD I/O/PP/OD Command/response CMDz 3 VSS1 S Supply voltage ground VSS 4 VDD S Supply voltage VDD 5 CLK I/O Clock CLK 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data 0 DATAz[0] 8 DAT[1] I/O/PP Data 1 DATAz[1] 9 DAT[2] I/O/PP Data 2 DATAz[2] 10 DAT[4] I/O/PP Data 4 DATAz[4] 11 DAT[5] I/O/PP Data 5 DATAz[5] 12 DAT[6] I/O/PP Data 6 DATAz[6] 13 DAT[7] I/O/PP Data 7 DATAz[7] Notes: 1. I: Input, O: Output, PP: Push/Pull, OD: Open Drain. Figure 31-4. MMC Bus Connections (One Slot) MCI CMD DATA[0] CLK 12 34567 12 34567 12 34567 91011 1213 8 91011 1213 8 91011 1213 8 MMC1 MMC2 MMC3 Figure 31-5. SD Memory Card Bus Topology 12345678 9 SDCARD 824 32072H-AVR32-10/2012 AT32UC3A3 The SD Memory Card bus includes the signals listed in Table 31-3 on page 825. Table 31-3. SD Memory Card Bus Signals Pin Number Name Type Description MCI Pin Name(2) (Slot z) 1 CD/DAT[3] I/O/PP Card detect/ Data line Bit 3 DATAz[3] 2 CMD PP Command/response CMDz 3 VSS1 S Supply voltage ground VSS 4 VDD S Supply voltage VDD 5 CLK I/O Clock CLK 6 VSS2 S Supply voltage ground VSS 7 DAT[0] I/O/PP Data line Bit 0 DATAz[0] 8 DAT[1] I/O/PP Data line Bit 1 or Interrupt DATAz[1] 9 DAT[2] I/O/PP Data line Bit 2 DATAz[2] Notes: (1) 1. I: input, O: output, PP: Push Pull, OD: Open Drain. DATA[3:0] CLK SDCARD 9 CMD 1 2 34 5 6 78 Figure 31-6. SD Card Bus Connections with One Slot DATA[3:0] CLK SDCARD1 DATA[7:4] CLK SDCARD2 9 CMD[1] 1 234 5678 9 CMD[0] 1 234 5678 Figure 31-7. SD Card Bus Connections with Two Slots 825 32072H-AVR32-10/2012 AT32UC3A3 Figure 31-8. Mixing MultiMedia and SD Memory Cards with Two Slots DATA[7:0] CMD[0] CLK CLK 12 34567 91011 1213 8 91011 1213 8 91011 1213 8 MMC1 MMC2 MMC3 SDCARD 9 CMD[1] 12 34567 12 345 678 DATA[11:8] 12 34567 When the MCI is configured to operate with SD memory cards, the width of the data bus can be selected in the SDCard /SDIO Bus Width field in the SDCR register (SDCR.SDCBUS). See Section "31.7.4" on page 847. for details. In the case of multimedia cards, only the data line 0 is used. The other data lines can be used as independent GPIOs. When more than one card (MMC or SD) is plugged to the device, it is strongly recommended to connect each card's clock to a dedicate MCI CLK pin of the device. Otherwise, Compliance to specifications is not guaranteed. 31.6.2 MultiMedia Card Operations After a power-on reset, the cards are initialized by a special message-based MultiMedia Card bus protocol. Each message is represented by one of the following tokens: * Command: a command is a token that starts an operation. A command is sent from the host either to a single card (addressed command) or to all connected cards (broadcast command). a command is transferred serially on the CMD line. * Response: a response is a token which is sent from an addressed card or (synchronously) from all connected cards to the host as an answer to a previously received command. A response is transferred serially on the CMD line. * Data: data can be transferred from the card to the host or vice versa. Data is transferred via the data line. Card addressing is implemented using a session address assigned during the initialization phase by the bus controller to all currently connected cards. Their unique CID number identifies individual cards. 826 32072H-AVR32-10/2012 AT32UC3A3 The structure of commands, responses and data blocks is described in the MultiMedia-Card System Specification. Refer also to Table 31-5 on page 828. MultiMediaCard bus data transfers are composed of these tokens. There are different types of operations. Addressed operations always contain a command and a response token. In addition, some operations have a data token; the others transfer their information directly within the command or response structure. In this case, no data token is present in an operation. The bits on the DAT and the CMD lines are transferred synchronous to the MCI clock (CLK). Two types of data transfer commands are defined: * Sequential commands: these commands initiate a continuous data stream. They are terminated only when a stop command follows on the CMD line. This mode reduces the command overhead to an absolute minimum. * Block-oriented commands: these commands send a data block succeeded by CRC bits. Both read and write operations allow either single or multiple block transmission. A multiple block transmission is terminated when a stop command follows on the CMD line similarly to the sequential read or when a multiple block transmission has a pre-defined block count (See Section "31.6.3" on page 829.). The MCI provides a set of registers to perform the entire range of MultiMedia Card operations. 31.6.2.1 Command - Response Operation After reset, the MCI is disabled and becomes valid after setting the Multi-Media Interface Enable bit in the Control Register (CR.MCIEN). The Power Save Mode Enable bit in the CR register (CR.PWEN) saves power by dividing the MCI clock (CLK) by 2PWSDIV + 1 when the bus is inactive. The Power Saving Divider field locates in the Mode Register (MR.PWSDIV). The two bits, Read Proof Enable and Write Proof Enable in the MR register (MR.RDPROOF and MR.WRPROOF) allow stopping the MCI Clock (CLK) during read or write access if the internal FIFO is full. This will guarantee data integrity, not bandwidth. All the timings for MultiMedia Card are defined in the MultiMediaCard System Specification. The two bus modes (open drain and push/pull) needed to process all the operations are defined in the Command Register (CMDR). The CMDR register allows a command to be carried out. For example, to perform an ALL_SEND_CID command Table 31-4. ALL_SEND_CID command Host Command CMD S T Content CRC NID Cycles E Z ****** CID Z S T Content Z Z Z 827 32072H-AVR32-10/2012 AT32UC3A3 The command ALL_SEND_CID and the fields and values for CMDR register are described in Table 31-5 on page 828 and Table 31-6 on page 828. Table 31-5. CMD Index CMD2 Note: ALL_SEND_CID Command Description Type bcr Argument [31:0] stuff bits Resp R2 Abbreviation ALL_SEND_CID Command Description Asks all cards to send their CID numbers on the CMD line bcr means broadcast command with response. Table 31-6. Fields and Values for the CMDR register Field Value CMDNB (command number) 2 (CMD2) RSPTYP (response type) 2 (R2: 136 bits response) SPCMD (special command) 0 (not a special command) OPCMD (open drain command) 1 MAXLAT (max latency for command to response) 0 (NID cycles ==> 5 cycles) TRCMD (transfer command) 0 (No transfer) TRDIR (transfer direction) X (available only in transfer command) TRTYP (transfer type) X (available only in transfer command) IOSPCMD (SDIO special command) 0 (not a special command) The Argument Register (ARGR) contains the argument field of the command. To send a command, the user must perform the following steps: * Set the ARGR register with the command argument. * Set the CMDR register (see Table 31-6 on page 828). The command is sent immediately after writing the command register. As soon as the command register is written, then the Command Ready bit in the Status Register (SR.CMDRDY) is cleared. It is released and the end of the card response. If the command requires a response, it can be read in the Response Registers (RSPRn). The response size can be from 48 bits up to 136 bits depending on the command. The MCI embeds an error detection to prevent any corrupted data during the transfer. The following flowchart shows how to send a command to the card and read the response if needed. In this example, the status register bits are polled but setting the appropriate bits in the Interrupt Enable Register (IER) allows using an interrupt method. 828 32072H-AVR32-10/2012 AT32UC3A3 Figure 31-9. Command/Response Functional Flow Diagram Set the command argument ARGR = Argument(1) Set the command CMD = Command Read the SR register Wait for SR.CMDRY bit set to one 0 SR.CMDRDY 1 Check error bits in the SR register(1) Yes Status error bits? Read response if required RETURN ERROR(1) RETURN OK Note: 31.6.3 1. If the command is SEND_OP_COND, the CRC error bit is always present (refer to R3 response in the MultiMedia Card specification). Data Transfer Operation The MultiMedia Card allows several read/write operations (single block, multiple blocks, stream, etc.). These kind of transfers can be selected setting the Transfer Type field in the CMDR register (CMDR.TRTYP). These operations can be done using the features of the DMA Controller. In all cases, the Data Block Length must be defined either in the Data Block Length field in the MR register (MR.BLKLEN)), or in the Block Register (BLKR). This field determines the size of the data block. 829 32072H-AVR32-10/2012 AT32UC3A3 Consequent to MMC Specification 3.1, two types of multiple block read (or write) transactions are defined (the host can use either one at any time): * Open-ended/Infinite Multiple block read (or write): The number of blocks for the read (or write) multiple block operation is not defined. The card will continuously transfer (or program) data blocks until a stop transmission command is received. * Multiple block read (or write) with pre-defined block count (since version 3.1 and higher): The card will transfer (or program) the requested number of data blocks and terminate the transaction. The stop command is not required at the end of this type of multiple block read (or write), unless terminated with an error. In order to start a multiple block read (or write) with pre-defined block count, the host must correctly set the BLKR register. Otherwise the card will start an openended multiple block read. The MMC/SDIO Block Count - SDIO Byte Count field in the BLKR register (BLKR.BCNT) defines the number of blocks to transfer (from 1 to 65535 blocks). Writing zero to this field corresponds to an infinite block transfer. 31.6.4 Read/Write Operation The following flowchart shows how to read a single block with or without use of DMA Controller facilities. In this example (see Figure 31-10 on page 831), a polling method is used to wait for the end of read. Similarly, the user can configure the IER register to trigger an interrupt at the end of read. 830 32072H-AVR32-10/2012 AT32UC3A3 Figure 31-10. Read Functional Flow Diagram Send SELECT/DESELECT_CARD Command(1) to select the card Send SET_BLOCKLEN command(1) No Read with DMA Write a zero in the DMA.DMAEN bit Write the BlockLenght in the MR.BLKLEN field(2) Write the block count in the BLKR.BCNT field (if necessary) Yes Write a one in the DMA.DMAEN bit Write the BlockLenght in the MR.BLKLEN field(2) Configure the DMA channel X write the Data Adress in the DMA Controller write the (MR.BLKLEN)/4 for Transfer Size in the DMA Controller Send READ_SINGLE_BLOCK command(1) Send READ_SINGLE_BLOCK command(1) Number of words to read = (MR.BLKLEN)/4 Yes Number of words to read = 0 ? Read the SR register No Read the SR register SR.RXRDY = 0 ? SR.XFRDONE = 0 ? Yes No Yes RETURN No Read data in the RDR register Number of words to read = Number of words to read -1 RETURN Note: 1. It is assumed that this command has been correctly sent (see Figure 31-9 on page 829). 2. This field is also accessible in the BLKR register. 831 32072H-AVR32-10/2012 AT32UC3A3 In write operation, the Padding Value bit in the MR register (MR.PADV) is used to define the padding value when writing non-multiple block size. When the MR.PADV is zero, then 0x00 value is used when padding data, otherwise 0xFF is used. Write a one in the DMA Hardware Handshaking Enable bit in the DMA Configuration Register (DMA.DMAEN) enables DMA transfer. The following flowchart shows how to write a single block with or without use of DMA facilities (see Figure 31-11 on page 833). Polling or interrupt method can be used to wait for the end of write according to the contents of the Interrupt Mask Register (IMR). 832 32072H-AVR32-10/2012 AT32UC3A3 Figure 31-11. Write Functional Flow Diagram Send SELECT/DESELECT_CARD Command(1) to select the card Send SET_BLOCKLEN command(1) No Write using DMA Write a zero in the DMA.DMAEN bit Write the BlockLenght in the MR.BLKLEN field(2) Write the block count in the BLKR.BCNT field (if necessary) Yes Write a one in the DMA.DMAEN bit Write the BlockLenght in the MR.BLKLEN field(2) Configure the DMA channel X write the Data Adress in the DMA Controller write the (MR.BLKLEN)/4 for Transfer Size in the DMA Controller Send WRITE_SINGLE_BLOCK command(1) Number of words to write = BlockLength/4 Send WRITE_SINGLE_BLOCK command(1) Enable the DMA channel X Yes Number of words to write = 0 ? Read the SR register No Read the SR register Yes SR.NOTBUSY = 0 ? SR.TXRDY = 0 ? Yes No No Write Data to transmit in the TDR register RETURN Number of words to write = Number of words to write - 1 RETURN Note: 1. It is assumed that this command has been correctly sent (see Figure 31-9 on page 829). 2. This field is also accessible in BLKR register. 833 32072H-AVR32-10/2012 AT32UC3A3 The following flowchart shows how to manage a multiple write block transfer with the DMA Controller (see Figure 31-12 on page 835). Polling or interrupt method can be used to wait for the end of write according to the contents of the IMR register. 834 32072H-AVR32-10/2012 AT32UC3A3 Figure 31-12. Multiple Write Functional Flow Diagram Send SELECT/DESELECT_CARD Command(1) to select the card Send SET_BLOCKLEN command(1) Write a zero in the DMA.DMAEN bit Write the block lenght in the MR.BLKLEN field(2) Write the block count in the BLKR.BCNT field (if necessary) Configure the DMA channel X write the Data Adress in the DMA Controller write the (MR.BLKLEN)/4 for Transfer Size in the DMA Controller Send WRITE_MULTIPLE_BLOCK command(1) Enable the DMA channel X Read the SR register Yes SR.BLKE = 0 ? No Send STOP_TRANSMISSION command(1) Yes SR.NOTBUSY = 0 ? No RETURN Note: 1. It is assumed that this command has been correctly sent (see Figure 31-9 on page 829). 2. This field is also accessible in BLKR register. 835 32072H-AVR32-10/2012 AT32UC3A3 31.6.4.1 WRITE_SINGLE_BLOCK operation using DMA Controller 1. Wait until the current command execution has successfully terminated. c. Check that the Transfer Done bit in the SR register (SR.XFRDONE) is set 2. Write the block length in the card. This value defines the value block_lenght. 3. Write the MR.BLKLEN with block_lenght value. 4. Configure the DMA Channel in the DMA Controller. 5. Write the DMA register with the following fields: - Write the dma_offset to the DMA Write Buffer Offset field (DMA.OFFSET). - Write the DMA Channel Read and Write Chunk Size field (DMA.CHKSIZE). - Write a one to he DMA.DMAEN bit to enable DMA hardware handshaking in the MCI. 6. Write a one to the DMA Transfer done bit in IER register (IER.DMADONE). 7. Issue a WRITE_SINGLE_BLOCK command. 8. Wait for DMA Transfer done bit in SR register (SR.DMADONE) is set. 31.6.4.2 READ_SINGLE_BLOCK operation using DMA Controller 1. Wait until the current command execution has successfully terminated. d. Check that the SR.XFRDONE bit is set. 2. Write the block length in the card. This value defines the value block_lenght. 3. Write the MR.BLKLEN with block_lenght value. 4. Configure the DMA Channel in the DMA Controller. 5. Write the DMA register with the following fields: - Write zero to the DMA.OFFSET field. - Write the DMA.CHKSIZE field. - Write to one the DMA.DMAEN bit to enable DMA hardware handshaking in the MCI. 6. Write a one to the IER.DMADONE bit. 7. Issue a READ_SINGLE_BLOCK command. 8. Wait for SR.DMADONE bit is set. 31.6.4.3 WRITE_MULTIPLE_BLOCK 1. Wait until the current command execution has successfully terminated. a. Check that the SR.XFRDONE bit is set. 2. Write the block length in the card. This value defines the value block_lenght. 3. Write the MR.BLKLEN with block_lenght value. 4. Program the DMA Controller to use a list of descriptors. Each descriptor transfers one block of data. 5. Program the DMA register with the following fields: - Write the dma_offset in the DMA.OFFSET field. - Write the DMA.CHKSIZE field. - Write a one to the DMA.DMAEN bit to enable DMA hardware handshaking in the MCI. 6. Write a one to the IER.DMADONE bit. 7. Issue a WRITE_MULTIPLE_BLOCK command. 8. Wait for DMA chained buffer transfer complete interrupt. 836 32072H-AVR32-10/2012 AT32UC3A3 31.6.4.4 READ_MULTIPLE_BLOCK 1. Wait until the current command execution has successfully terminated. a. Check that the SR.CMDRDY and the SR.NOTBUSY are set. 2. Write the block length in the card. This value defines the value block_lenght. 3. Write the MR.BLKLEN with block_lenght value. 4. Program the DMA Controller to use a list of descriptors. 5. Write the DMA register with the following fields: - Write zero to the DMA.OFFSET. - Write the DMA.CHKSIZE. - Write a one to the DMA.DMAEN bit to enable DMA hardware handshaking in the MCI. 6. Write a one to the IER.DMADONE bit. 7. Issue a READ_MULTIPLE_BLOCK command. 8. Wait for DMA end of chained buffer transfer interrupt. 31.6.5 SD/SDIO Card Operation The MCI allows processing of SD Memory (Secure Digital Memory Card) and SDIO (SD Input Output) Card commands. SD/SDIO cards are based on the MultiMedia Card (MMC) format, but are physically slightly thicker and feature higher data transfer rates, a lock switch on the side to prevent accidental overwriting and security features. The physical form factor, pin assignment and data transfer protocol are forward-compatible with the MultiMedia Card with some additions. SD slots can actually be used for more than flash memory cards. Devices that support SDIO can use small devices designed for the SD form factor, such as GPS receivers, Wi-Fi or Bluetooth adapters, modems, barcode readers, IrDA adapters, FM radio tuners, RFID readers, digital cameras and more. SD/SDIO is covered by numerous patents and trademarks, and licensing is only available through the Secure Digital Card Association. The SD/SDIO Card communication is based on a nine-pin interface (Clock, Command, four Data and three Power lines). The communication protocol is defined as a part of this specification. The main difference between the SD/SDIO Card and the MultiMedia Card is the initialization process. The SD/SDIO Card Register (SDCR) allows selection of the Card Slot (SDCSEL) and the data bus width (SDCBUS). The SD/SDIO Card bus allows dynamic configuration of the number of data lines. After power up, by default, the SD/SDIO Card uses only DAT[0] for data transfer. After initialization, the host can change the bus width (number of active data lines). 31.6.5.1 SDIO Data Transfer Type SDIO cards may transfer data in either a multi-byte (1 to 512 bytes) or an optional block format (1 to 511 blocks), while the SD memory cards are fixed in the block transfer mode. The CMDR.TRTYP field allows to choose between SDIO Byte or SDIO Block transfer. The number of bytes/blocks to transfer is set through the BCNT field in the BLKR register. In SDIO Block mode, the field BLKLEN must be set to the data block size while this field is not used in SDIO Byte mode. 837 32072H-AVR32-10/2012 AT32UC3A3 An SDIO Card can have multiple I/O or combined I/O and memory (called Combo Card). Within a multi-function SDIO or a Combo card, there are multiple devices (I/O and memory) that share access to the SD bus. In order to allow the sharing of access to the host among multiple devices, SDIO and combo cards can implement the optional concept of suspend/resume (Refer to the SDIO Specification for more details). To send a suspend or a resume command, the host must set the SDIO Special Command field in CMDR register (CMDR.IOSPCMD). 31.6.5.2 31.6.6 SDIO Interrupts Each function within an SDIO or Combo card may implement interrupts (Refer to the SDIO Specification for more details). In order to allow the SDIO card to interrupt the host, an interrupt function is added to a pin on the DAT[1] line to signal the card's interrupt to the host. An SDIO interrupt on each slot can be enabled in the IER register. The SDIO interrupt is sampled regardless of the currently selected slot. CE-ATA Operation CE-ATA maps the streamlined ATA command set onto the MMC interface. The ATA task file is mapped onto MMC register space. CE-ATA utilizes five MMC commands: * GO_IDLE_STATE (CMD0): used for hard reset. * STOP_TRANSMISSION (CMD12): causes the ATA command currently executing to be aborted. * FAST_IO (CMD39): Used for single register access to the ATA taskfile registers, eight bit access only. * RW_MULTIPLE_REGISTERS (CMD60): used to issue an ATA command or to access the control/status registers. * RW_MULTIPLE_BLOCK (CMD61): used to transfer data for an ATA command. CE-ATA utilizes the same MMC command sequences for initialization as traditional MMC devices. 31.6.6.1 Executing an ATA Polling Command 1. Issue READ_DMA_EXT with RW_MULTIPLE_REGISTER (CMD60) for eight kB of DATA. 2. Read the ATA status register until DRQ is set. 3. Issue RW_MULTIPLE_BLOCK (CMD61) to transfer DATA. 4. Read the ATA status register until DRQ && BSY are set to 0. 31.6.6.2 Executing an ATA Interrupt Command 1. Issue READ_DMA_EXT with RW_MULTIPLE_REGISTER (CMD60) for eight kB of DATA with the IEN field written to zero to enable the command completion signal in the device. 2. Issue RW_MULTIPLE_BLOCK (CMD61) to transfer DATA. 3. Wait for Completion Signal Received Interrupt. 31.6.6.3 Aborting an ATA Command If the host needs to abort an ATA command prior to the completion signal it must send a special command to avoid potential collision on the command line. The Special Command field of 838 32072H-AVR32-10/2012 AT32UC3A3 CMDR register (CMDR.SPCMD) must be set to three to issue the CE-ATA completion Signal Disable Command. 31.6.6.4 CE-ATA Error Recovery Several methods of ATA command failure may occur, including: * No response to an MMC command, such as RW_MULTIPLE_REGISTER (CMD60). * CRC is invalid for an MMC command or response. * CRC16 is invalid for an MMC data packet. * ATA Status register reflects an error by setting the ERR bit to one. * The command completion signal does not arrive within a host specified time out period. Error conditions are expected to happen infrequently. Thus, a robust error recovery mechanism may be used for each error event. The recommended error recovery procedure after a time-out is: * Issue the command completion signal disable if IEN was cleared to zero and the RW_MULTIPLE_BLOCK (CMD61) response has been received. * Issue STOP_TRANSMISSION (CMD12) and successfully receive the R1 response. * Issue a software reset to the CE-ATA device using FAST_IO (CMD39). If STOP_TRANMISSION (CMD12) is successful, then the device is again ready for ATA commands. However, if the error recovery procedure does not work as expected or there is another time-out, the next step is to issue GO_IDLE_STATE (CMD0) to the device. GO_IDLE_STATE (CMD0) is a hard reset to the device and completely resets all device states. Note that after issuing GO_IDLE_STATE (CMD0), all device initialization needs to be completed again. If the CE-ATA device completes all MMC commands correctly but fails the ATA command with the ERR bit set in the ATA Status register, no error recovery action is required. The ATA command itself failed implying that the device could not complete the action requested, however, there was no communication or protocol failure. After the device signals an error by setting the ERR bit to one in the ATA Status register, the host may attempt to retry the command. 31.6.7 31.6.7.1 MCI Boot Operation Mode In boot operation mode, the processor can read boot data from the slave (MMC device) by keeping the CMD line low after power-on before issuing CMD1. The data can be read from either boot area or user area depending on register setting. Boot Procedure, processor mode 1. Configure MCI2 data bus width programming SDCBUS Field in the MCI_SDCR register. The BOOT_BUS_WIDTH field located in the device Extended CSD register must be set accordingly. 2. Set the bytecount to 512 bytes and the blockcount to the desired number of block, writing BLKLEN and BCNT fields of the MCI_BLKR Register. 3. Issue the Boot Operation Request command by writing to the MCI_CMDR register with SPCMD field set to BOOTREQ, TRDIR set to READ and TRCMD set to "start data transfer". 4. The BOOT_ACK field located in the MCI_CMDR register must be set to one, if the BOOT_ACK field of the MMC device located in the Extended CSD register is set to one. 5. Host processor can copy boot data sequentialy as soon as the RXRDY flag is asserted. 839 32072H-AVR32-10/2012 AT32UC3A3 6. When Data transfer is completed, host processor shall terminate the boot stream by writing the MCI_CMDR register with SPCMD field set to BOOTEND. 31.6.7.2 Boot Procedure, dma mode 1. Configure MCI2 data bus width programming SDCBUS Field in the MCI_SDCR register. The BOOT_BUS_WIDTH field in the device Extended CSD register must be set accordingly. 2. Set the bytecount to 512 bytes and the blockcount to the desired number of block, writing BLKLEN and BCNT fields of the MCI_BLKR Register. 3. Enable DMA transfer in the MCI_DMA register. 4. Configure DMA controller, program the total amount of data to be transferred and enable the relevant channel. 5. Issue the Boot Operation Request command by writing to the MCI_CMDR register with SPCND set to BOOTREQ, TRDIR set to READ and TRCMD set to "start data transfer". 6. DMA controller copies the boot partition to the memory. 7. When DMA transfer is completed, host processor shall terminate the boot stream by writing the MCI_CMDR register with SPCMD field set to BOOTEND. 31.6.8 31.6.8.1 MCI Transfer Done Timings Definition The SR.XFRDONE bit indicates exactly when the read or write sequence is finished. 31.6.8.2 Read Access During a read access, the SR.XFRDONE bit behaves as shown in Figure 31-13 on page 840. Figure 31-13. SR.XFRDONE During a Read Access CMD line MCI read CMD Card response The CMDRDY flag is released 8 tbit lafter the end of the card response. CMDRDY flag Data 1st Block Last Block Not busy flag XFRDONE flag 840 32072H-AVR32-10/2012 AT32UC3A3 31.6.8.3 Write Access During a write access, the SR.XFRDONE bit behaves as shown in Figure 31-14 on page 841. Figure 31-14. SR.XFRDONE During a Write Access CMD line MCI writeCMD Card response The CMDRDY flag is released 8 tbit lafter the end of the card response. CMDRDY flag D0 is tied by the card D0 is released D0 1st Block Last Block 1st Block Last Block Data bus - D0 Not busy flag XFRDONE flag 31.7 User Interface Table 31-7. MCI Register Memory Map Offset Register Name Access Reset 0x000 Control Register CR Write-only 0x00000000 0x004 Mode Register MR Read-write 0x00000000 0x008 Data Time-out Register DTOR Read-write 0x00000000 0x00C SD/SDIO Card Register SDCR Read-write 0x00000000 0x010 Argument Register ARGR Read-write 0x00000000 0x014 Command Register CMDR Write-only 0x00000000 0x018 Block Register BLKR Read-write 0x00000000 0x01C Completion Signal Time-out Register CSTOR Read-write 0x00000000 0x020 Response Register RSPR Read-only 0x00000000 0x024 Response Register RSPR1 Read-only 0x00000000 0x028 Response Register RSPR2 Read-only 0x00000000 0x02C Response Register RSPR3 Read-only 0x00000000 0x030 Receive Data Register RDR Read-only 0x00000000 0x034 Transmit Data Register TDR Write-only 0x00000000 0x040 Status Register SR Read-only 0x0C000025 841 32072H-AVR32-10/2012 AT32UC3A3 Table 31-7. 1. MCI Register Memory Map Offset Register Name Access Reset 0x044 Interrupt Enable Register IER Write-only 0x00000000 0x048 Interrupt Disable Register IDR Write-only 0x00000000 0x04C Interrupt Mask Register IMR Read-only 0x00000000 0x050 DMA Configuration Register DMA Read-write 0x00000000 0x054 Configuration Register CFG Read-write 0x00000000 0x0E4 Write Protection Mode Register WPMR Read-write 0x00000000 0x0E8 Write Protection Status Register WPSR Read-only 0x00000000 0x0FC Version Register VERSION Read-only - (1) 0x200-0x3FFC FIFO Memory Aperture - Read-write 0x00000000 The reset value are device specific. Please refer to the Module Configuration section at the end of this chapter. 842 32072H-AVR32-10/2012 AT32UC3A3 31.7.1 Name: Control Register CR Access Type: Write-only Offset: 0x000 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 SWRST - IOWAITDIS IOWAITEN PWSDIS PWSEN MCIDIS MCIEN * SWRST: Software Reset Writing a one to this bit will reset the MCI interface. Writing a zero to this bit has no effect. * IOWAITDIS: SDIO Read Wait Disable Writing a one to this bit will disable the SDIO Read Wait Operation. Writing a zero to this bit has no effect. * IOWAITEN: SDIO Read Wait Enable Writing a one to this bit will enable the SDIO Read Wait Operation. Writing a zero to this bit has no effect. * PWSDIS: Power Save Mode Disable Writing a one to this bit will disable the Power Saving Mode. Writing a zero to this bit has no effect. * PWSEN: Power Save Mode Enable Writing a one to this bit and a zero to PWSDIS will enable the Power Saving Mode. Writing a one to this bit and a one to PWSDIS will disable the Power Saving Mode. Writing a zero to this bit has no effect. Warning: Before enabling this mode, the user must write a value different from 0 to the PWSDIV field. * MCIDIS: Multi-Media Interface Disable Writing a one to this bit will disable the Multi-Media Interface. Writing a zero to this bit has no effect. * MCIEN: Multi-Media Interface Enable Writing a one to this bit and a zero to MCIDIS will enable the Multi-Media Interface. Writing a one to this bit and a one to MCIDIS will disable the Multi-Media Interface. Writing a zero to this bit has no effect. 843 32072H-AVR32-10/2012 AT32UC3A3 31.7.2 Name: Mode Register MR Access Type: Read-write Offset: 0x004 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 10 9 8 BLKLEN[15:8] 23 22 21 20 BLKLEN[7:0] 15 14 13 12 11 - PADV FBYTE WRPROOF RDPROOF 7 6 5 4 3 PWSDIV 2 1 0 CLKDIV * BLKLEN[15:0]: Data Block Length This field determines the size of the data block. This field is also accessible in the BLKR register. If FBYTE bit is zero, the BLKEN[1:0] field must be written to 0b00 Notes: 1. In SDIO Byte mode, BLKLEN field is not used. 2. BLKLEN should be written to one before sending the data transfer command. Otherwise, Overrun may occur even if RDPROOF bit is one. * PADV: Padding Value 0: 0x00 value is used when padding data in write transfer. 1: 0xFF value is used when padding data in write transfer. PADV is used only in manual transfer. * FBYTE: Force Byte Transfer Enabling Force Byte Transfer allows byte transfers, so that transfer of blocks with a size different from modulo 4 can be supported. Warning: BLKLEN value depends on FBYTE. Writing a one to this bit will enable the Force Byte Transfer. Writing a zero to this bit will disable the Force Byte Transfer. * WRPROOF Write Proof Enable Enabling Write Proof allows to stop the MCI Clock (CLK) during write access if the internal FIFO is full. This will guarantee data integrity, not bandwidth. Writing a one to this bit will enable the Write Proof mode. Writing a zero to this bit will disable the Write Proof mode. * RDPROOF Read Proof Enable Enabling Read Proof allows to stop the MCI Clock (CLK) during read access if the internal FIFO is full. This will guarantee data integrity, not bandwidth. Writing a one to this bit will enable the Read Proof mode. Writing a zero to this bit will disable the Read Proof mode. 844 32072H-AVR32-10/2012 AT32UC3A3 * PWSDIV: Power Saving Divider Multimedia Card Interface clock is divided by 2(PWSDIV) + 1 when entering Power Saving Mode. Warning: This value must be different from zero before enabling the Power Save Mode in the CR register (CR.PWSEN). * CLKDIV: Clock Divider The Multimedia Card Interface Clock (CLK) is CLK_MCI divided by (2*(CLKDIV+1)). 845 32072H-AVR32-10/2012 AT32UC3A3 31.7.3 Name: Data Time-out Register DTOR Access Type: Read/Write Offset: 0x008 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - DTOMUL DTOCYC These two fields determine the maximum number of CLK_MCI cycles that the MCI waits between two data block transfers. It is equal to (DTOCYC x Multiplier). If the data time-out defined by DTOCYC and DTOMUL has been exceeded, the Data Time-out Error bit in the SR register (SR.DTOE) is set. * DTOMUL: Data Time-out Multiplier Multiplier is defined by DTOMUL as shown in the following table DTOMUL Multiplier 0 1 1 16 2 128 3 256 4 1024 5 4096 6 65536 7 1048576 * DTOCYC: Data Time-out Cycle Number 846 32072H-AVR32-10/2012 AT32UC3A3 31.7.4 Name: SDCard/SDIO Register SDCR Access Type: Read/Write Offset: 0x00C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - - - SDCBUS SDCSEL * SDCBUS: SDCard/SDIO Bus Width SDCBUS BUS WIDTH 0 1 bit 1 Reserved 2 4 bits 3 8 bits * SDCSEL: SDCard/SDIO Slot SDCSEL SDCard/SDIO Slot 0 Slot A is selected. 1 Slot B is selected. 2 Reserved. 3 Reserved. 847 32072H-AVR32-10/2012 AT32UC3A3 31.7.5 Name: Argument Register ARGR Access Type: Read/Write Offset: 0x010 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 ARG[31:24] 23 22 21 20 ARG[23:16] 15 14 13 12 ARG[15:8] 7 6 5 4 ARG[7:0] * ARG[31:0]: Command Argument this field contains the argument field of the command. 848 32072H-AVR32-10/2012 AT32UC3A3 31.7.6 Name: Command Register CMDR Access Type: Write-only Offset: 0x014 Reset Value: 0x00000000 31 30 29 28 27 26 - - - - BOOTACK ATACS 23 22 21 20 19 18 - - 15 14 13 12 11 - - - MAXLAT OPDCMD 7 6 5 4 3 TRTYP RSPTYP 25 24 IOSPCMD 17 TRDIR 10 16 TRCMD 9 8 SPCMD 2 1 0 CMDNB This register is write-protected while SR.CMDRDY is zero. If an interrupt command is sent, this register is only writable by an interrupt response (SPCMD field). This means that the current command execution cannot be interrupted or modified. * BOOT_ACK: Boot Operation Acknowledge The master can choose to receive the boot acknowledge from the slave when a Boot Request command is isssued. Writing a one to this bit indicates that a Boot acknolwedge is expected within a programmable amount of time defined with DTOMUL and DTOCYC fields located in the DTOR register. If the acknowledge pattern is not received then an acknowledge timeout error is raised. If the acknowledge pattern is corrupted then an acknowledge pattern error is set. * ATACS: ATA with Command Completion Signal Writing a one to this bit will configure ATA completion signal within a programmed amount of time in Completion Signal Time-out Register (CSTOR). Writing a zero to this bit will configure no ATA completion signal. * IOSPCMD: SDIO Special Command IOSPCMD SDIO Special Command Type 0 Not a SDIO Special Command 1 SDIO Suspend Command 2 SDIO Resume Command 3 Reserved 849 32072H-AVR32-10/2012 AT32UC3A3 * TRTYP: Transfer Type TRTYP Transfer Type 0 MMC/SDCard Single Block 1 MMC/SDCard Multiple Block 2 MMC Stream 3 Reserved 4 SDIO Byte 5 SDIO Block others Reserved * TRDIR: Transfer Direction Writing a zero to this bit will configure the transfer direction as write transfer. Writing a one to this bit will configure the transfer direction as read transfer. * TRCMD: Transfer Command TRCMD Transfer Type 0 No data transfer 1 Start data transfer 2 Stop data transfer 3 Reserved * MAXLAT: Max Latency for Command to Response Writing a zero to this bit will configure a 5-cycle max latency. Writing a one to this bit will configure a 64-cycle max latency. * OPDCMD: Open Drain Command Writing a zero to this bit will configure the push-pull command. Writing a one to this bit will configure the open-drain command. * SPCMD: Special Command SPCMD Command 0 Not a special CMD. 1 Initialization CMD: 74 clock cycles for initialization sequence. 2 Synchronized CMD: Wait for the end of the current data block transfer before sending the pending command. 3 CE-ATA Completion Signal disable Command. The host cancels the ability for the device to return a command completion signal on the command line. 4 Interrupt command: Corresponds to the Interrupt Mode (CMD40). 5 Interrupt response: Corresponds to the Interrupt Mode (CMD40). others Reserved 850 32072H-AVR32-10/2012 AT32UC3A3 * RSPTYP: Response Type RSP Response Type 0 No response. 1 48-bit response. 2 136-bit response. 3 R1b response type * CMDNB: Command Number The Command Number to transmit. 851 32072H-AVR32-10/2012 AT32UC3A3 31.7.7 Name: Block Register BLKR Access Type: Read/Write Offset: 0x018 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 BLKLEN[15:8] 23 22 21 20 BLKLEN[7:0] 15 14 13 12 BCNT[15:8] 7 6 5 4 BCNT[7:0] * BLKLEN: Data Block Length This field determines the size of the data block. This field is also accessible in the MR register. If MR.FBYTE bit is zero, the BLKEN[17:16] field must be written to 0b00 Notes: 1. In SDIO Byte mode, BLKLEN field is not used. 2. BLKLEN should be specified before sending the data transfer command. Otherwise, Overrun may occur (even if MR.RDPROOF bit is set). * BCNT: MMC/SDIO Block Count - SDIO Byte Count This field determines the number of data byte(s) or block(s) to transfer. The transfer data type and the authorized values for BCNT field are determined by CMDR.TRTYP field: TRTYP Type of Transfer BCNT Authorized Values 0 MMC/SDCard Multiple Block From 1 to 65535: Value 0 corresponds to an infinite block transfer. 2 SDIO Byte From 1 to 512 bytes: Value 0 corresponds to a 512-byte transfer. Values from 0x200 to 0xFFFF are forbidden. 3 SDIO Block From 1 to 511 blocks: Value 0 corresponds to an infinite block transfer. Values from 0x200 to 0xFFFF are forbidden. - Reserved. Others Warning: In SDIO Byte and Block modes, writing to the seven last bits of BCNT field is forbidden and may lead to unpredictable results. 852 32072H-AVR32-10/2012 AT32UC3A3 31.7.8 Name: Completion Signal Time-out Register CSTOR Access Type: Read-write Offset: 0x01C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - CSTOMUL CSTOCYC These two fields determines the maximum number of CLK_MCI cycles that the MCI waits between two data block transfers. Its value is calculated by (CSTOCYC x Multiplier). These two fields also determine the maximum number of CLK_MCI cycles that the MCI waits between the end of the data transfer and the assertion of the completion signal. The data transfer comprises data phase and the optional busy phase. If a non-DATA ATA command is issued, the MCI starts waiting immediately after the end of the response until the completion signal. If the data time-out defined by CSTOCYC and CSTOMUL has been exceeded, the Completion Signal Time-out Error bit in the SR register (SR.CSTOE) is set. * CSTOMUL: Completion Signal Time-out Multiplier Multiplier is defined by CSTOMUL as shown in the following table: CSTOMUL Multiplier 0 1 1 16 2 128 3 256 4 1024 5 4096 6 65536 7 1048576 * CSTOCYC: Completion Signal Time-out Cycle Number 853 32072H-AVR32-10/2012 AT32UC3A3 31.7.9 Name: Response Register n RSPRn Access Type: Read-only Offset: 0x020 + 0*0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 RSP[31:24] 23 22 21 20 RSP[23:16] 15 14 13 12 RSP[15:8] 7 6 5 4 RSP[7:0] * RSP[31:0]: Response The response register can be read by N access(es) at the same RSPRn or at consecutive addresses (0x20 + n*0x04). N depends on the size of the response. 854 32072H-AVR32-10/2012 AT32UC3A3 31.7.10 Name: Receive Data Register RDR Access Type: Read-only Offset: 0x030 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DATA[31:24] 23 22 21 20 DATA[23:16] 15 14 13 12 DATA[15:8] 7 6 5 4 DATA[7:0] * DATA[31:0]: Data to Read The last data received. 855 32072H-AVR32-10/2012 AT32UC3A3 31.7.11 Name: Transmit Data Register TDR Access Type: Write-only Offset: 0x034 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DATA[31:24] 23 22 21 20 DATA[23:16] 15 14 13 12 DATA[15:8] 7 6 5 4 DATA[7:0] * DATA[31:0]: Data to Write The data to send. 856 32072H-AVR32-10/2012 AT32UC3A3 31.7.12 Name: Status Register SR Access Type: Read-only Offset: 0x040 Reset Value: 0x0C000025 31 30 29 28 27 26 25 24 UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY DMADONE BLKOVRE 23 22 21 20 19 18 17 16 CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE 15 14 13 12 11 10 9 8 TXBUFE RXBUFF CSRCV SDIOWAIT - - SDIOIRQB SDIOIRQA 7 6 5 4 3 2 1 0 ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY * ACKRCVE: Boot Operation Acknowledge Error This bit is set when a corrupted Boot Acknowlegde signal has been received. This bit is cleared by reading the SR register. * ACKRCV: Boot Operation Acknowledge Received This bit is set when a Boot acknowledge signal has been received. This bit is cleared by reading the SR register. * UNRE: Underrun Error This bit is set when at least one eight-bit data has been sent without valid information (not written). This bit is cleared when sending a new data transfer command if the Flow Error bit reset control mode in Configuration Register (CFG.FERRCTRL) is zero or when reading the SR register if CFG.FERRCTRL is one. * OVRE: Overrun Error This bit is set when at least one 8-bit received data has been lost (not read). This bit is cleared when sending a new data transfer command if CFG.FERRCTRL is zero, or when reading the SR register if CFG.FERRCTRL is one. * XFRDONE: Transfer Done This bit is set when the CR register is ready to operate and the data bus is in the idle state. This bit is cleared when a transfer is in progress. * FIFOEMPTY: FIFO empty This bit is set when the FIFO is empty. This bit is cleared when the FIFO contains at least one byte. * DMADONE: DMA Transfer done This bit is set when the DMA buffer transfer is completed. This bit is cleared when reading the SR register. * BLKOVRE: DMA Block Overrun Error This bit is set when a new block of data is received and the DMA controller has not started to move the current pending block. This bit is cleared when reading the SR register. 857 32072H-AVR32-10/2012 AT32UC3A3 * CSTOE: Completion Signal Time-out Error This bit is set when the completion signal time-out defined by the CSTOR.CSTOCYC field and the CSTOR.CSTOMUL field is reached. This bit is cleared when reading the SR register. * DTOE: Data Time-out Error This bit is set when the data time-out defined by the DTOR.DTOCYC field and the DTOR.DTOMUL field is reached. This bit is cleared when reading the SR register. * DCRCE: Data CRC Error This bit is set when a CRC16 error is detected in the last data block. This bit is cleared when reading the SR register. * RTOE: Response Time-out Error This bit is set when the response time-out defined by the CMDR.MAXLAT bit is reached. This bit is cleared when writing the CMDR register. * RENDE: Response End Bit Error This bit is set when the end bit of the response is not detected. This bit is cleared when writing the CMDR register. * RCRCE: Response CRC Error This bit is set when a CRC7 error is detected in the response. This bit is cleared when writing the CMDR register. * RDIRE: Response Direction Error This bit is set when the direction bit from card to host in the response is not detected. This bit is cleared when writing the CMDR register. * RINDE: Response Index Error This bit is set when a mismatch is detected between the command index sent and the response index received. This bit is cleared when writing the CMDR register. * TXBUFE: TX Buffer Empty Status This bit is set when the DMA Tx Buffer is empty. This bit is cleared when the DMA Tx Buffer is not empty. * RXBUFF: RX BUffer Full Status This bit is set when the DMA Rx Buffer is full. This bit is cleared when the DMA Rx Buffer is not full. * CSRCV: CE-ATA Completion Signal Received This bit is set when the device issues a command completion signal on the command line. This bit is cleared when reading the SR register. * SDIOWAIT: SDIO Read Wait Operation Status This bit is set when the data bus has entered IO wait state. This bit is cleared when normal bus operation. * SDIOIRQB: SDIO Interrupt for Slot B This bit is cleared when reading the SR register. This bit is set when a SDIO interrupt on Slot B occurs. * SDIOIRQA: SDIO Interrupt for Slot A This bit is set when a SDIO interrupt on Slot A occurs. This bit is cleared when reading the SR register. * ENDTX: End of RX Buffer This bit is set when the DMA Controller transmission is finished. This bit is cleared when the DMA Controller transmission is not finished. * ENDRX: End of RX Buffer This bit is set when the DMA Controller reception is finished. This bit is cleared when the DMA Controller reception is not finished. * NOTBUSY: MCI Not Busy This bit must be used only for write operations. 858 32072H-AVR32-10/2012 AT32UC3A3 * * * * * A block write operation uses a simple busy signalling of the write operation duration on the data (DAT[0]) line: during a data transfer block, if the card does not have a free data receive buffer, the card indicates this condition by pulling down the data line (DAT[0]) to LOW. The card stops pulling down the data line as soon as at least one receive buffer for the defined data transfer block length becomes free. The NOTBUSY bit allows to deal with these different states. 1: MCI is ready for new data transfer. 0: MCI is not ready for new data transfer. This bit is cleared at the end of the card response. This bit is set when the busy state on the data line is ended. This corresponds to a free internal data receive buffer of the card. Refer to the MMC or SD Specification for more details concerning the busy behavior. DTIP: Data Transfer in Progress This bit is set when the current data transfer is in progress. This bit is cleared at the end of the CRC16 calculation 1: The current data transfer is still in progress. 0: No data transfer in progress. BLKE: Data Block Ended This bit must be used only for Write Operations. This bit is set when a data block transfer has ended. This bit is cleared when reading SR. 1: a data block transfer has ended, including the CRC16 Status transmission, the bit is set for each transmitted CRC Status. 0: A data block transfer is not yet finished. Refer to the MMC or SD Specification for more details concerning the CRC Status. TXRDY: Transmit Ready This bit is set when the last data written in the TDR register has been transferred. This bit is cleared the last data written in the TDR register has not yet been transferred. RXRDY: Receiver Ready This bit is set when the data has been received since the last read of the RDR register. This bit is cleared when the data has not yet been received since the last read of the RDR register. CMDRDY: Command Ready This bit is set when the last command has been sent. This bit is cleared when writing the CMDR register 859 32072H-AVR32-10/2012 AT32UC3A3 31.7.13 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x044 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY DMADONE BLKOVRE 23 22 21 20 19 18 17 16 CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE 15 14 13 12 11 10 9 8 TXBUFF RXBUFF CSRCV SDIOWAIT - - SDIOIRQB SDIOIRQA 7 6 5 4 3 2 1 0 ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. 860 32072H-AVR32-10/2012 AT32UC3A3 31.7.14 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x048 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY DMADONE BLKOVRE 23 22 21 20 19 18 17 16 CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE 15 14 13 12 11 10 9 8 TXBUFF RXBUFF CSRCV SDIOWAIT - - SDIOIRQB SDIOIRQA 7 6 5 4 3 2 1 0 ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. 861 32072H-AVR32-10/2012 AT32UC3A3 31.7.15 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x04C Reset Value: 0x00000000 31 30 29 28 27 26 25 24 UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY DMADONE BLKOVRE 23 22 21 20 19 18 17 16 CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE 15 14 13 12 11 10 9 8 TXBUFF RXBUFF CSRCV SDIOWAIT - - SDIOIRQB SDIOIRQA 7 6 5 4 3 2 1 0 ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is set when the corresponding bit in IER is written to one. 862 32072H-AVR32-10/2012 AT32UC3A3 31.7.16 Name: DMA Configuration Register DMA Access Type: Read/Write Offset: 0x050 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - DAMEN 7 6 5 4 3 2 1 0 - - - CHKSIZE OFFSET * DMAEN: DMA Hardware Handshaking Enable 1: DMA Interface is enabled. 0: DMA interface is disabled. To avoid unpredictable behavior, DMA hardware handshaking must be disabled when CPU transfers are performed. To avoid data losses, the DMA register should be initialized before sending the data transfer command. This is also illustrated in Figure 31-10 on page 831 or Figure 31-11 on page 833 * CHKSIZE: DMA Channel Read and Write Chunk Size The CHKSIZE field indicates the number of data available when the DMA chunk transfer request is asserted. CHKSIZE value Number of data transferred 0 1 Only available if FIFO_SIZE>= 16 bytes 1 4 Only available if FIFO_SIZE>= 32 bytes 2 8 Only available if FIFO_SIZE>= 64 bytes 3 16 Only available if FIFO_SIZE>= 128 bytes 4 32 Only available if FIFO_SIZE>= 256 bytes others - Reserved * OFFSET: DMA Write Buffer Offset This field indicates the number of discarded bytes when the DMA writes the first word of the transfer. 863 32072H-AVR32-10/2012 AT32UC3A3 31.7.17 Name: Configuration Register CFG Access Type: Read/Write Offset: 0x054 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - LSYNC - - - HSMODE 7 6 5 4 3 2 1 0 - - - FERRCTRL - - - FIFOMODE * LSYNC: Synchronize on the last block 1: The pending command is sent at the end of the block transfer when the transfer length is not infinite. (block count shall be different from zero) 0: The pending command is sent at the end of the current data block. This register needs to configured before sending the data transfer command. * HSMODE: High Speed Mode 1: The host controller outputs command line and data lines on the rising edge of the card clock. The Host driver shall check the high speed support in the card registers. 0: Default bus timing mode. * FERRCTRL: Flow Error bit reset control mode 1: When an underflow/overflow condition bit is set, reading SR resets the bit. 0: When an underflow/overflow condition bit is set, a new Write/Read command is needed to reset the bit. * FIFOMODE: MCI Internal FIFO control mode 1: A write transfer starts as soon as one data is written into the FIFO. 0: A write transfer starts when a sufficient amount of data is written into the FIFO. When the block length is greater than or equal to 3/4 of the MCI internal FIFO size, then the write transfer starts as soon as half the FIFO is filled. When the block length is greater than or equal to half the internal FIFO size, then the write transfer starts as soon as one quarter of the FIFO is filled. In other cases, the transfer starts as soon as the total amount of data is written in the internal FIFO. 864 32072H-AVR32-10/2012 AT32UC3A3 31.7.18 Name: Write Protect Mode Register WPMR Access Type: Read/Write Offset: 0x0E4 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 WPKEY[23:16] 23 22 21 20 WPKEY[15:8] 15 14 13 12 WPKEY[7:0] 7 6 5 4 3 2 1 0 - - - - - - - WPEN * WPKEY[23:0]: Write Protection Key password This field should be written at value 0x4D4349 (ASCII code for "MCI"). Writing any other value in this field has no effect. * WPEN: Write Protection Enable 1: This bit enables the Write Protection if WPKEY corresponds. 0: This bit disables the Write Protection if WPKEY corresponds. 865 32072H-AVR32-10/2012 AT32UC3A3 31.7.19 Name: Write Protect Status Register WPSR Access Type: Read-only Offset: 0x0E8 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 10 9 8 2 1 0 WPVSRC[15:8] 15 14 13 12 11 WPVSRC[7:0] 7 6 5 4 - - - - 3 WPVS * WPVSRC[15:0]: Write Protection Violation Source This field contains address where the violation access occurs. * WPVS: Write Protection Violation Status WPVS Definition 0 No Write Protection Violation occurred since the last read of this register (WPSR) 1 Write Protection detected unauthorized attempt to write a control register had occurred (since the last read.) 2 Software reset had been performed while Write Protection was enabled (since the last read). 3 Both Write Protection violation and software reset with Write Protection enabled had occurred since the last read. others Reserved 866 32072H-AVR32-10/2012 AT32UC3A3 31.7.20 Name: Version Register VERSION Access: Read-only Offset: 0x0FC Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - 15 14 13 12 11 - - - - 7 6 5 4 VARIANT 10 9 8 VERSION[11:8] 3 2 1 0 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION: Version Number Version number of the module. No functionality associate 867 32072H-AVR32-10/2012 AT32UC3A3 31.7.21 Name: FIFO Memory Aperture - Access: Read/Write Offset: 0x200 - 0x3FFC Reset Value: 0x000000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DATA[31:24] 23 22 21 20 DATA[23:16] 15 14 13 12 DATA[15:8] 7 6 5 4 DATA[7:0] * DATA[31:0]:Data to read or Data to write 868 32072H-AVR32-10/2012 AT32UC3A3 31.8 Module Configuration The specific configuration for the MCI instance is listed in the following tables.The module bus clocks listed here are connected to the system bus clocks according to the table in the Power Manager section. Table 31-8. Module Clock Name Module name Clock name MCI CLK_MCI Table 31-9. Parameter Value Name Value FIFO_SIZE 128 Table 31-10. Register Reset Values Register Reset Value VERSION 0x00000410 869 32072H-AVR32-10/2012 AT32UC3A3 32. Memory Stick Interface (MSI) Rev: 2.1.0.0 32.1 Features * * * * * * 32.2 Memory Stick ver. 1.x & Memory Stick PRO support Memory Stick serial clock (serial mode: 20 MHz max., parallel mode: 40 MHz max.) Data transmit/receive FIFO of 64 bits x 4 16 bits CRC circuit DMACA transfer support Card insertion/removal detection Overview The Memory Stick Interface (MSI) is a host controller that supports Memory Stick Version 1.X and Memory Stick PRO. The communication protocol with the Memory Stick is started by write from the CPU to the command register. When the protocol finishes, the CPU is notified that the protocol has ended by an interrupt request. When the protocol is started and enters the data transfer state, data is requested by issuing a DMA transfer request (via DMACA) or an interrupt request to the CPU. The RDY time out time when the handshake state (BS2 in read protocol, BS3 for write protocol) is established in communication with the Memory Stick can be designated as the number of Memory Stick transfer clocks. When a time out occurs, the CPU is notified that the protocol has ended due to a time out error by an interrupt request. CRC circuit can be set off for test mode purpose. When CRC is off, CRC is not added to the data transmitted to the Memory Stick. An interrupt request can also be issued to the CPU when a Memory Stick is inserted or removed. Figure 32-1. Read packet BS BS0 Memory Stick SDIO / DATA[3:0] INT BS1 BS2 Host TPC BS3 BS0 Memory Stick RDY/BSY DATA CRC INT SCLK 870 32072H-AVR32-10/2012 AT32UC3A3 Figure 32-2. Write packet BS BS0 BS1 BS2 Memory Stick SDIO / DATA[3:0] INT BS3 Host TPC DATA BS0 Memory Stick CRC RDY/BSY INT SCLK 32.3 Block Diagram Figure 32-3. MSI block diagram CLK_MSI INS / Registers PB SDIO / DATA0 DATA1 FIFO 64 x 4 DATA2 DATA3 MS I/F SCLK BS 32.4 32.4.1 Data buffer Product Dependencies GPIO SCLK, DATA[3..0], BS & INS are I/O lines, multiplexed with other I/O lines. The I/O controller must be configured so that MSI can drive these I/O lines. 32.4.2 Power Manager MSI is clocked through the Power Manager (PM), thus programmer must first configure the PM to enable the CLK_MSI clock. 871 32072H-AVR32-10/2012 AT32UC3A3 32.4.3 Interrupt Controller MSI interrupt line is connected to the Interrupt Controller. In order to handle interrupts, Interrupt Controller(INTC) must be programmed before configuring MSI. 32.4.4 DMA Controller (DMACA) Handshake signals are connected to DMACA. In order to accelerate transfer from/to flash card, DMACA must be programmed before using MSI. 32.5 Connection to a Memory Stick The Memory Stick serial clock (SCLK) is maximum 20 MHz in serial mode, and maximum 40 MHz in parallel mode. SCLK is derived from peripheral clock (CLK_MSI) : f_SCLK = f_CLK_MSI / [2*(CLKDIV+1)] where CLKDIV = {0..255}. Pin DATA[1] is a power supply for some Memory Stick version, so leaving the pulldown resistor connected may result in wasteful current consumption. User should leave the DATA[1] pin pull-down open when Memory Stick Ver. 1.x is inserted. Table 32-1. Memory Stick pull-down configuration Memory Stick 1.x Memory Stick PRO Memory Stick inserted Pull-down open Pull-down enabled Memory Stick removed Pull-down enabled Pull-down enabled Figure 32-4. Memory Stick pull-down overview 872 32072H-AVR32-10/2012 AT32UC3A3 32.6 32.6.1 Functional Description Reset Operation An internal reset (initialization of the internal registers and operating sequence) is performed when PB reset is active or by setting SYS.RST=1. RST bit is cleared to 0 after the internal reset is completed. The protocol currently being executed stops, and the internal operating sequence is initialized. In addition, the FIFO is set to the empty state (SR.EMP=1, SR.FUL=0). However, when the host controller is reset during communication with the Memory Stick, the resulting bus state may differ from the Memory Stick. Therefore, when reset is performed during communication, also power-on-reset the Memory Stick. Internal registers are initialized to their initial value. However, some bits in following registers are not affected by RST bit : * SYS : CLKDIV[7:0], * ISR : all bits but DRQ, * SR : ISTA, * IMR : all bits. 32.6.2 Communication with the Memory Stick An example of communication with the Memory Stick is shown below. This example shows the case when Transfer Protocol Command (TPC) SET_CMD is executed. - Enable PEND and MSINT interrupt requests (write PEND=1, MSINT=1 in IER). - Set FIFO direction to "CPU to MS" (write FDIR=1 in SYS). - Write the command data to the FIFO (write DAT). - Write the TPC and the data transfer size to the command register to start the protocol (write CMD). - After the protocol ends, an interrupt request is output from the host controller (PEND=1 in ISR). To acknowledge this interrupt request, CPU must clear the source of interrupt by writing PEND=1 in ISCR. - Some TPC commands require additional time to be executed by Memory Stick therefore INT can appear later after protocol end. After INT generation, an interrupt request is output from the host controller (MSINT=1 in ISR). To acknowledge this interrupt request, CPU must clear the source of interrupt by writing MSINT=1 in ISCR. When the command register is written, the communication protocol with the Memory Stick starts and data transmit/receive is performed. The data transfer direction is determined from TPC[3]. When TPC[3]=0, the read protocol is performed, and when TPC[3]=1, the write protocole is performed. When TPC[3] and FDIR bit differ, the TPC[3] value is reflected to system register bit FDIR when the protocol starts. FIFO can be written after protocol start therefore data must be written each time ISR.DRQ=1. Even when the data is less than 8 bytes, always read and write 8 bytes of data. All interrupt 873 32072H-AVR32-10/2012 AT32UC3A3 sources can be cleared by setting corresponding bit in ISCR but DRQ which is cleared once FIFO has been read/written. Figure 32-5. Communication example CPU Interrupt enable FIFO direction setting Write to FIFO TPC setting MSI PEND=1, MSINT=1 FDIR=1 CMD TPC = SET_CMD Interrupt wait MSIER register MSSYS register MSDAT register MSCMD register Protocol start Communication with Memory Stick MSISR.PEND=1 Interrupt clear PEND=1 Interrupt wait Protocol end MSISCR register MS INT wait INT from Memory Stick MSISR.MSINT=1 Interrupt clear 32.6.3 MSINT=1 INT received MSISCR register Parallel Interface Mode Setting Procedure Host controller supports parallel mode and must be set to parallel interface mode after the Memory Stick. - Identify the Memory Stick media and confirm it is a Memory Stick PRO. For Memory stick media identification, see "Memory Stick Standard Format Specifications ver. 1.X Appendix D" or "Memory Stick Standard Format Specifications ver. 2.0 Application Notes 1.3 Media Identification Process". - Set the Memory Stick to parallel interface mode by executing TPC commands SET_R/W_REG_ADRS then WRITE_REG to set System Parameter bit PAM=1. - Write SRAC=0 and REI=0 to the system register (SYS) to switch host controller to parallel interface mode. - Change serial clock (SCLK) while communication is not being performed with the Memory Stick. 874 32072H-AVR32-10/2012 AT32UC3A3 Figure 32-6. Interface mode switching sequence Serial Interface Mode (MSSYS.SRAC=1, MSSYS.REI=1) SET_R/W_REG_ADRS TPC WRITE_REG TPC system parameter (PAM bit) Error OK Set Parallel Interface Mode (MSSYS.SRAC=0, MSSYS.REI=0) Change SCLK (MSSYS.CLKDIV[7:0]=X) 32.6.4 Data transfer requests After the communication protocol with the Memory Stick starts, a data transfer request is asserted to the CPU (DRQ bit in ISR) and to DMACA (internal signals), until data transfer of the amount indicated by DSZ (CMD) is finished. However, the data transfer request stops when the internal FIFO becomes either empty or full. Like CPU, DMACA uses Peripheral Bus to access FIFO so it is not recommended to access MSI registers during transfer. It is also not recommended to enable DRQ interrupt because ISR.DRQ bit is automatically cleared when FIFO is accessed. DMACA channel should be configured first and the data size should be a multiple of 64 bits (FIFO size is 4 * 64bits). 32.6.5 Interrupts The interrupt sources of MSI are : * * * * * * PEND : protocol command ended without error. DRQ : data request, FIFO is full or empty. MSINT : interrupt received from Memory Stick. CRC : protocol ended with CRC error. TOE : protocol ended with time out error. CD : card detected (inserted or removed). Each interrupt source can be enabled in Interrupt Enable register (IER) and disabled in Interrupt Disable register (IDR). The enable status is read in Interrupt Mask register (IMR). The status of 875 32072H-AVR32-10/2012 AT32UC3A3 the interrupt source, even if the interrupt is masked, can be read in ISR. DRQ interrupt request is cleared by reading (reception) or writing (transmission) FIFO, other interrupt requests are cleared by writing 1 to the corresponding bit in Interrupt Status Clear Register (ISCR). 32.6.6 OCD mode There is no OCD mode for MSI. 32.7 User Interface Table 32-2. MSI Register Memory Map Offset Register Name Access Reset State 0x0000 Command register CMD Read/Write 0x00000000 0x0004 Data register DAT Read/Write 0x4C004C00 0x0008 Status register SR Read Only 0x00001020 0x000C System register SYS Read/Write 0x00004015 0x0010 Interrupt Status register ISR Read Only 0x00000000 0x0014 Interrupt Status Clear register ISCR Write Only 0x00000000 0x0018 Interrupt Enable register IER Write Only 0x00000000 0x001C Interrupt Disable register IDR Write Only 0x00000000 0x0020 Interrupt Mask register IMR Read Only 0x00000000 0x0024 Version register VERSION Read Only 0x00000210 876 32072H-AVR32-10/2012 AT32UC3A3 32.7.1 Name : Command register CMD Access Type : Read/Write Offset : 0x00 Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - DSL 3 2 TPC 7 6 5 4 DSZ 1 0 DSZ * TPC : Transfer Protocol Code. code (dec) TPC Description 2 READ_LONG_DATA Transfer data from Data Buffer (512 bytes) 3 READ_SHORT_DATA Transfer data from Data Buffer (32~256 bytes) 4 READ_REG Read from a register 7 GET_INT Read from an INT register 8 SET_R/W_REG_ADRS Set an address of READ_REG/WRITE_REG 9 EX_SET_CMD Set command and parameters 11 WRITE_REG Write to a register 12 WRITE_SHORT_DATA Transfer data to Data Buffer (32~256 bytes) 13 WRITE_LONG_DATA Transfer data to Data Buffer (512 bytes) 14 SET_CMD Set command other - Banned for use TPC[3] indicates the transfer direction of data (1:write packet, 0:read packet) * DSL : Data Select. 0 : Data is transmitted to and received from Memory Stick using the internal FIFO. 877 32072H-AVR32-10/2012 AT32UC3A3 1 : Reserved. * DSZ : Data size. Length can be set from 1 byte to 1024 bytes. However, 1024 bytes is set when DSZ=0. 878 32072H-AVR32-10/2012 AT32UC3A3 32.7.2 Name : Data register DAT Access Type : Read/Write Offset : 0x04 Reset Value : 0x4C004C00 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 DATA 23 22 21 20 DATA 15 14 13 12 DATA 7 6 5 4 DATA This register is used to acces internal FIFO. Even when the data is less than 8 bytes, always read and write 8 bytes of data. 879 32072H-AVR32-10/2012 AT32UC3A3 32.7.3 Name : Status register SR Access Type : Read Only Offset : 0x08 Reset Value : 0x00001020 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - ISTA 15 14 13 12 11 10 9 8 - - - RDY - - - - 7 6 5 4 3 2 1 0 - - EMP FUL CED ERR BRQ CNK * ISTA : Insertion Status. It reflects the Memory Stick card presence. This is the inverse of INS pin. 0 : No card. 1 : Card is inserted. * RDY : Ready. RDY goes to 1 when the protocol ends. This bit bit is cleared to 0 by write to the command register. 0 : Command receive disabled due to communication with the Memory Stick. 1 : Command received or protocol ended. * EMP : FIFO Empty. This bit is set to 1 by writing system register bit FCLR=1. 0 : FIFO contains data. 1 : FIFO is empty. * FUL : FIFO Full. This bit is cleared to 0 by writing system register bit FCLR=1. 0 : FIFO has empty space. 1 : FIFO is full. * CED : MS Command End. In parallel mode, this bit reflects the CED bit in the status register of a Memory Stick (INT). Indicates the end of a command executed with SET_CMD TPC. In serial mode, this bit is always 0. It is cleared to 0 by writing to the command register (CMD). * ERR : Memory Stick Error. In parallel mode, this bit reflects the ERR bit in the status register of a Memory Stick (INT). It indicates the occurence of an error. In serial mode, this bit is always 0. It is cleared to 0 by writing to the command register (CMD). 880 32072H-AVR32-10/2012 AT32UC3A3 * BRQ : MS Data Buffer Request. In parallel mode, this bit reflects the BREQ bit in the status register of a Memory Stick (INT). It indicates that a host has requested to access a Memory Sticks page buffer.In serial mode, this bit is always 0. It is cleared to 0 by writing to the command register (CMD). * CNK : MS Command No Acknowledge. In parallel mode, this bit reflects the CMDNK bit in the status register of a Memory Stick (INT). It indicates that the command cannot be executed. In serial mode, this bit is always 0. It is cleared to 0 by writing to the command register (CMD). 881 32072H-AVR32-10/2012 AT32UC3A3 32.7.4 Name : System register SYS Access Type : Read/Write Offset : 0x0C Reset Value : 0x00004015 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 CLKDIV 15 14 13 12 11 10 9 8 RST SRAC - NOCRC - - FCLR FDIR 7 6 5 4 3 2 1 0 - - - REI REO BSY * CLKDIV : Clock Division. Write this field to change SCLK frequency = CLK_MSI / (2*(CLKDIV+1)). * RST : Reset. When RST is written, internal synchronous reset is performed. 0 : This bit is cleared to 0 after the internal reset is completed. 1 : Writing a 1 starts reset operation. * SRAC : Serial Access Mode. The SRAC cannot be changed during protocol execution. 0 : Write this bit to 0 to set parallel mode. 1 : Write this bit to 1 to set serial mode. * NOCRC : No CRC computation. 0 : Write 0 to enable CRC output. During read protocol, the CRC check is performed as usual regardless of NOCRC. 1 : Write 1 to disable CRC output. When NOCRC=1, the write protocol is executed without adding the CRC data. * FCLR : FIFO clear. Write 1 to initialize FIFO data. This bit is cleared after the FIFO is initialized. * FDIR : FIFO direction. 0 : Write 0 to set the FIFO direction to transmit. 1 : Write 1 to set the FIFO direction to receive. * REI : Rising Edge Input. When setting parallel mode, set REI=0. This setting cannot be changed during protocol execution. 0 : Write 0 to sample data at the falling edge of SCLK. 882 32072H-AVR32-10/2012 AT32UC3A3 1 : Write 1 to sample data at the rising edge of SCLK. * REO : Rising Edge output. This bit is used when not fixed hold time by the side of the Memory Stick in parallel communication. This setting cannot be changed during protocol execution. 0 : Write 0 to synchronize outputs with the falling edge of SCLK. 1 : Write 1 to synchronize outputs with the rising edge of SCLK. * BSY : Busy Count. This is the maximum BSY wait time until the RDY signal is output from the Memory Stick. 0 : Write a value to configure time out = BSY * 4 SCLK. 1 : Write 0 to disable time out detection. 883 32072H-AVR32-10/2012 AT32UC3A3 32.7.5 Name : Interrupt Status register ISR Access Type : Read Only Offset : 0x10 Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - CD TOE CRC MSINT DRQ PEND * CD : Card Detection. 0 : No card detected. This bit is cleared when the correponding bit in ISCR is set to 1. 1 : This bit is set to 1 when a Memory Stick card is inserted or removed. * TOE : Time Out Error. 0 : This bit is cleared to 0 when the corresponding bit in ISCR it set to 1. 1 : This bit is set to 1 when protol ended with time out error. * CRC : CRC error. 0 : No CRC error. This bit is cleared when the corresponding bit in ISCR is set to 1. 1 : This bit is set when protocol ends with CRC error. * MSINT : Memory Stick interruption. 0 : This bit is cleared to 0 when the corresponding bit in ISCR is set to 1. 1 : This bit is set to 1 when an interrupt request INT is received from Memory Stick. * DRQ : Data request, FIFO is full (reception) or empty (transmission). 0 : This bit is cleared to 0 when data access is no more required. 1 : This bit is set to 1 when data access is required (read or write). * PEND : Protocol End. 0 : This bit is cleared to 0 when the corresponding bit in ISCR is set to 1. 1 : This bit is set to 1 when protol ended witout error. 884 32072H-AVR32-10/2012 AT32UC3A3 32.7.6 Name : Interrupt Status Clear register ISCR Access Type : Write Only Offset : 0x14 Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - CD TOE CRC MSINT - PEND * CD : Card Detection clear bit. 0 : Writing 0 has no effect. 1 : Writing 1 clears corresponding bit in ISR. * TOE : Time Out Error clear bit. 0 : Writing 0 has no effect. 1 : Writing 1 clears corresponding bit in ISR. * CRC : CRC error clear bit. 0 : Writing 0 has no effect. 1 : Writing 1 clears corresponding bit in ISR. * MSINT : Memory Stick interruption clear bit. 0 : Writing 0 has no effect. 1 : Writing 1 clears corresponding bit in ISR. * PEND : Protocol End clear bit. 0 : Writing 0 has no effect. 1 : Writing 1 clears corresponding bit in ISR. 885 32072H-AVR32-10/2012 AT32UC3A3 32.7.7 Name : Interrupt Enable register IER Access Type : Write Only Offset : 0x18 Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - CD TOE CRC MSINT DRQ PEND * CD : Card Detection interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. * TOE : Time Out Error interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. * CRC : CRC error interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. * MSINT : Memory Stick interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. * DRQ : Data Request interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. * PEND : Protocol End interrupt enable. 0 : Writing 0 has no effect. 1 : Writing 1 set to 1 corresponding bit in IMR. 886 32072H-AVR32-10/2012 AT32UC3A3 32.7.8 Name : Interrupt Disable register IDR Access Type : Write Only Offset : 0x1C Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - CD TOE CRC MSINT DRQ PEND * CD : Card Detection interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. * TOE : Time Out Error interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. * CRC : CRC error interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. * MSINT : Memory Stick interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. * DRQ : Data Request interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. * PEND : Protocol End interrupt disable. 0 : Writing 0 has no effect. 1 : Writing 1 clears to 0 corresponding bit in IMR. 887 32072H-AVR32-10/2012 AT32UC3A3 32.7.9 Name : Interrupt Mask register IMR Access Type : Read Only Offset : 0x20 Reset Value : 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - - 7 6 5 4 3 2 1 0 - - CD TOE CRC MSINT DRQ PEND * CD : Card Detection interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. * TOE : Time Out Error interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. * CRC : CRC error interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. * MSINT : Memory Stick interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. * DRQ : Data Request interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. * PEND : Protocol End interrupt mask. 0 : Interrupt is disabled. 1 : Interrupt is enabled. 888 32072H-AVR32-10/2012 AT32UC3A3 32.7.10 Name : Version Register VERSION Access Type : Read Only Offset : 0x24 Reset Value : 0x00000210 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 1 0 VARIANT 11 10 VERSION[11:8] 3 2 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION : Version Number Version number of the module. No functionality associated. 889 32072H-AVR32-10/2012 AT32UC3A3 33. Advanced Encryption Standard (AES) Rev: 1.2.3.1 33.1 Features * Compliant with FIPS Publication 197, Advanced Encryption Standard (AES) * 128-bit/192-bit/256-bit cryptographic key * 12/14/16 clock cycles encryption/decryption processing time with a 128-bit/192-bit/256-bit cryptographic key * Support of the five standard modes of operation specified in the NIST Special Publication 800- * * * * 33.2 38A, Recommendation for Block Cipher Modes of Operation - Methods and Techniques: - Electronic Code Book (ECB) - Cipher Block Chaining (CBC) - Cipher Feedback (CFB) - Output Feedback (OFB) - Counter (CTR) 8-, 16-, 32-, 64- and 128-bit data size possible in CFB mode Last output data mode allows optimized Message Authentication Code (MAC) generation Hardware counter measures against differential power analysis attacks Connection to DMA Controller capabilities optimizes data transfers for all operating modes Overview The Advanced Encryption Standard (AES) is compliant with the American FIPS (Federal Information Processing Standard) Publication 197 specification. The AES supports all five confidentiality modes of operation for symmetrical key block cipher algorithms (ECB, CBC, OFB, CFB and CTR), as specified in the NIST Special Publication 80038A Recommendation. It is compatible with all these modes via DMA Controller, minimizing processor intervention for large buffer transfers. The 128-bit/192-bit/256-bit key is stored in write-only four/six/eight 32-bit KEY Word Registers (KEYWnR) which are all write-only registers. The 128-bit input data and initialization vector (for some modes) are each stored in 32-bit Input Data Registers (IDATAnR) and in Initialization Vector Registers (VnR) which are all write-only registers. As soon as the initialization vector, the input data and the key are configured, the encryption/decryption process may be started. Then the encrypted/decrypted data is ready to be read out on the four 32-bit Output Data Registers (ODATAnR) or through the DMA Controller. 33.3 Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 33.3.1 Power Management If the CPU enters a sleep mode that disables clocks used by the AES, the AES will stop functioning and resume operation after the system wakes up from sleep mode. 890 32072H-AVR32-10/2012 AT32UC3A3 33.3.2 Clocks The clock for the AES bus interface (CLK_AES) is generated by the Power Manager. This clock is enabled at reset, and can be disabled in the Power Manager. It is recommended to disable the AES before disabling the clock, to avoid freezing the AES in an undefined state. 33.3.3 Interrupts The AES interrupt request line is connected to the interrupt controller. Using the AES interrupt requires the interrupt controller to be programmed first. 33.4 Functional Description The AES specifies a FIPS-approved cryptographic algorithm that can be used to protect electronic data. The AES algorithm is a symmetric block cipher that can encrypt (encipher) and decrypt (decipher) information. Encryption converts data to an unintelligible form called ciphertext. Decrypting the ciphertext converts the data back into its original form, called plaintext. The Processing Mode bit in the Mode Register (MR.CIPHER) allows selection between the encryption and the decryption processes. The AES is capable of using cryptographic keys of 128/192/256 bits to encrypt and decrypt data in blocks of 128 bits. This 128-bit/192-bit/256-bit key is defined in the KEYWnR Registers (KEYWnR). The input to the encryption processes of the CBC, CFB, and OFB modes includes, in addition to the plaintext, a 128-bit data block called the initialization vector, which must be writing in the Initialization Vector Registers (IVnR). The initialization vector is used in an initial step in the encryption of a message and in the corresponding decryption of the message. The IVRnR registers are also used in the CTR mode to set the counter value. 33.4.1 Operation Modes The AES supports the following modes of operation: * ECB: Electronic Code Book * CBC: Cipher Block Chaining * OFB: Output Feedback * CFB: Cipher Feedback - CFB8 (CFB where the length of the data segment is 8 bits) - CFB16 (CFB where the length of the data segment is 16 bits) - CFB32 (CFB where the length of the data segment is 32 bits) - CFB64 (CFB where the length of the data segment is 64 bits) - CFB128 (CFB where the length of the data segment is 128 bits) * CTR: Counter The data pre-processing, post-processing and chaining for the concerned modes are automatically performed. Refer to the NIST Special Publication 800-38A Recommendation for more complete information. These modes are selected by writing the Operation Mode field in the Mode Register (MR.OPMOD). In CFB mode, five data size are possible (8 bits, 16 bits, 32 bits, 64 bits or 128 bits). 891 32072H-AVR32-10/2012 AT32UC3A3 These sizes are selected by writing the Cipher Feedback Data Size field in the MR register (MR.CFDS). 33.4.2 Start Modes The Start Mode field in the MR register (MR.SMOD) allows selection of the encryption (or decryption) start mode. 33.4.2.1 Manual mode The sequence is as follows: * Write the 128-bit/192-bit/256-bit key in the KEYWnR registers. * Write the initialization vector (or counter) in the IVnR registers. Note: The Initialization Vector Registers concern all modes except ECB. * Write the Data Ready bit in the Interrupt Enable Register (IER.DATRDY), depending on whether an interrupt is required or not at the end of processing. * Write the data to be encrypted/decrypted in the authorized Input Data Registers (IDATAnR). Table 33-1. Authorized Input Data Registers Operation Mode IDATAnR to Write ECB All CBC All OFB All 128-bit CFB All 64-bit CFB IDATA1R and IDATA2R 32-bit CFB IDATA1R 16-bit CFB IDATA1R 8-bit CFB IDATA1R CTR All Note: In 64-bit CFB mode, writing to IDATA3R and IDATA4R registers is not allowed and may lead to errors in processing. Note: In 32-bit, 16-bit and 8-bit CFB modes, writing to IDATA2R, IDATA3R and IDATA4R registers is not allowed and may lead to errors in processing. * Write the START bit in the Control Register (CR.START) to begin the encryption or the decryption process. * When the processing completes, the DATRDY bit in the Interrupt Status Register (ISR.DATRDY) is set. * If an interrupt has been enabled by writing the IER.DATRDY bit, the interrupt line of the AES is activated. * When the software reads one of the Output Data Registers (ODATAxR), the ISR.DATRDY bit is cleared. 33.4.2.2 Automatic mode The automatic mode is similar to the manual one, except that in this mode, as soon as the correct number of IDATAnR Registers is written, processing is automatically started without any action in the CR register. 892 32072H-AVR32-10/2012 AT32UC3A3 33.4.2.3 DMA mode The DMA Controller can be used in association with the AES to perform an encryption/decryption of a buffer without any action by the software during processing. In this starting mode, the type of the data transfer (byte, halfword or word) depends on the operation mode. Table 33-2. Data Transfer Type for the Different Operation Modes Operation Mode Data Transfer Type (DMA) ECB word CBC word OFB word CFB 128-bit word CFB 64-bit word CFB 32-bit word CFB 16-bit halfword CFB 8-bit byte CTR word The sequence is as follows: * Write the 128-bit/192-bit/256-bit key in the KEYWnR registers. * Write the initialization vector (or counter) in the IVnR registers. Note: The Initialization Vector Registers concern all modes except ECB. * Configure a channel of the DMA Controller with source address (data buffer to encrypt/decrypt) and destination address set to register IDATA1R (index is automatically incremented and rolled over to write IDATAnR). Then configure a second channel with source address set to ODATA1R (index is automatically incremented and rolled over to read ODATAnR) and destination address to write processed data. Note: Transmit and receive buffers can be identical. * Enable the DMA Controller in transmission and reception to start the processing. * The processing completion should be monitored with the DMA Controller. 33.4.3 Last Output Data Mode This mode is used to generate cryptographic checksums on data (MAC) by means of cipher block chaining encryption algorithm (CBC-MAC algorithm for example). After each end of encryption/decryption, the output data is available either on the ODATAnR registers for manual and automatic mode or at the address specified in the receive buffer pointer for DMA mode. The Last Output Data bit in the Mode Register (MR.LOD) allows retrieval of only the last data of several encryption/decryption processes. Therefore, there is no need to define a read buffer in DMA mode. This data is only available on the Output Data Registers (ODATAnR). 893 32072H-AVR32-10/2012 AT32UC3A3 33.4.3.1 Manual and automatic modes * When MR.LOD is zero The ISR.DATRDY bit is cleared when at least one of the ODATAnR registers is read. Figure 33-1. Manual and Automatic Modes when MR.LOD is zero Write CR.START (Manual mode) Or Write IDATAnR register(s) (Auto mode) Read ODATAnR register(s) ISR.DATRDY Encryption or Decryption Process If the user does not want to read the output data registers between each encryption/decryption, the ISR.DATRDY bit will not be cleared. If the ISR.DATRDY bit is not cleared, the user cannot know the end of the following encryptions/decryptions. * When MR.LOD is one The ISR.DATRDY bit is cleared when at least one IDATAnR register is written, so before the start of a new transfer. No more ODATAnR register reads are necessary between consecutive encryptions/decryptions. Figure 33-2. Manual and Automatic Modes when MR.LOD is one Write CR.START(Manual mode) or Write IDATAnR register(s) (Auto mode) Write IDATAnR register(s) ISR.DATRDY Encryption or Decryption Process 33.4.3.2 DMA mode * when MR.LOD is zero The end of the encryption/decryption should be monitored with the DMA Controller. 894 32072H-AVR32-10/2012 AT32UC3A3 Figure 33-3. DMA Mode when MR.LOD is zero E n a b le D M A C o n tro lle r C h a n n e ls (R e c e iv e a n d T ra n s m it C h a n n e ls) M u ltip le e n c ry p tio n o r d e c ry p tio n p ro c e s s e s D M A C o n tro lle r In te rru p t * when MR.LOD is one The user must first wait for the DMA Controller Interrupt, then for ISR.DATRDY to ensure that the encryption/decryption is completed. In this case, no receive buffers are required. The output data is only available in ODATAnR registers. Figure 33-4. DMA Mode when MR.LOD is one Enable DMA Controller Channels (only Transmit Channel) ISR.DATRDY Multiple Encryption or Decryption Processes DMA Controller Interrupt Following table summarizes the different cases. Table 33-3. Last Output Mode Behavior versus Start Modes Manual and Automatic Modes ISR.DATRDY bit Clearing Condition(1) Encrypted/Decrypted Data Result Location DMA Mode MR.LOD = 0 MR.LOD = 1 MR.LOD = 0 At least one ODATAnR register must be read At least one IDATAnR register must be written Not used In ODATAnR registers In ODATAnR registers At the address specified in the configuration of MR.LOD = 1 Managed by the DMA Controller In ODATAnR registers DMA Controller End of Encryption/Decryption Note: ISR.DATRDY ISR.DATRDY DMA Controller Interrupt DMA Controller Interrupt then DATRDY.ISR 1. Depending on the mode, there are other ways of clearing the DATRDY.ISR bit. See the Interrupt Status Register (ISR) definition. Warning: In DMA mode, reading to the ODATAnR registers before the last data transfer may lead to unpredictable results. 895 32072H-AVR32-10/2012 AT32UC3A3 33.4.4 33.4.4.1 Security Features Countermeasures The AES also features hardware countermeasures that can be useful to protect data against Differential Power Analysis (DPA) attacks. These countermeasures can be enabled through the Countermeasure Type field in the MR register (MR.CTYPE). This field is write-only, and all changes to it are taken into account if, at the same time, the Countermeasure Key field in the Mode Register (MR.CKEY) is correctly written (see the Mode Register (MR) description in Section 33.5.2). Note: Enabling countermeasures has an impact on the AES encryption/decryption throughput. By default, all the countermeasures are enabled. The best throughput is achieved with all the countermeasures disabled. On the other hand, the best protection is achieved with all of them enabled. The Random Number Generator Seed Loading bit in the CR register (CR.LOADSEED) allows a new seed to be loaded in the embedded random number generator used for the different countermeasures. 33.4.4.2 Unspecified register access detection When an unspecified register access occurs, the Unspecified Register Detection Status bit in the ISR register (ISR.URAD) is set to one. Its source is then reported in the Unspecified Register Access Type field in the ISR register (ISR.URAT). Only the last unspecified register access is available through the ISR.URAT field. Several kinds of unspecified register accesses can occur when: * Writing the IDATAnR registers during the data processing in DMA mode * Reading the ODATAnR registers during data processing * Writing the MR register during data processing * Reading the ODATAnR registers during sub-keys generation * Writing the MR register during sub-keys generation * Reading an write-only register The ISR.URAD bit and the ISR.URAT field can only be reset by the Software Reset bit in the CR register (CR.SWRST). 896 32072H-AVR32-10/2012 AT32UC3A3 33.5 User Interface Table 33-4. Note: AES Register Memory Map Offset Register Register Name Access Reset 0x00 Control Register CR Write-only 0x00000000 0x04 Mode Register MR Read/Write 0x00000000 0x10 Interrupt Enable Register IER Write-only 0x00000000 0x14 Interrupt Disable Register IDR Write-only 0x00000000 0x18 Interrupt Mask Register IMR Read-only 0x00000000 0x1C Interrupt Status Register ISR Read-only 0x0000001E 0x20 Key Word 1 Register KEYW1R Write-only 0x00000000 0x24 Key Word 2 Register KEYW2R Write-only 0x00000000 0x28 Key Word 3 Register KEYW3R Write-only 0x00000000 0x2C Key Word 4 Register KEYW4R Write-only 0x00000000 0x30 Key Word 5 Register KEYW5R Write-only 0x00000000 0x34 Key Word 6 Register KEYW6R Write-only 0x00000000 0x38 Key Word 7 Register KEYW7R Write-only 0x00000000 0x3C Key Word 8 Register KEYW8R Write-only 0x00000000 0x40 Input Data 1 Register IDATA1R Write-only 0x00000000 0x44 Input Data 2 Register IDATA2R Write-only 0x00000000 0x48 Input Data 3 Register IDATA3R Write-only 0x00000000 0x4C Input Data 4 Register IDATA4R Write-only 0x00000000 0x50 Output Data 1 Register ODATA1R Read-only 0x00000000 0x54 Output Data 2 Register ODATA2R Read-only 0xC01F0000 0x58 Output Data 3 Register ODATA3R Read-only 0x00000000 0x5C Output Data 4 Register ODATA4R Read-only 0x00000000 0x60 Initialization Vector 1 Register IV1R Write-only 0x00000000 0x64 Initialization Vector 2 Register IV2R Write-only 0x00000000 0x68 Initialization Vector 3 Register IV3R Write-only 0x00000000 0x6C Initialization Vector 4 Register IV4R Write-only 0x00000000 0xFC Version Register VR Read-only -(1) 1. The reset value are device specific. Please refer to the Module Configuration section at the end of this chapter. 897 32072H-AVR32-10/2012 AT32UC3A3 33.5.1 Name: Control Register CR Access Type: Write-only Offset: 0x00 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - LOADSEED 15 14 13 12 11 10 9 8 - - - - - - - SWRST 7 6 5 4 3 2 1 0 - - - - - - - START * LOADSEED: Random Number Generator Seed Loading Writing a one to this bit will load a new seed in the embedded random number generator used for the different countermeasures. writing a zero to this bit has no effect. * SWRST: Software Reset Writing a one to this bit will reset the AES. writing a zero to this bit has no effect. * START: Start Processing Writing a one to this bit will start manual encryption/decryption process. writing a zero to this bit has no effect. 898 32072H-AVR32-10/2012 AT32UC3A3 33.5.2 Name: Mode Register MR Access Type: Read/Write Offset: 0x04 Reset Value: 0x00000000 31 30 29 - - - 23 22 21 28 20 19 13 12 6 25 24 17 16 CFBS 11 OPMOD 7 18 - 14 LOD 26 CTYPE CKEY 15 27 10 9 KEYSIZE 5 4 PROCDLY 8 SMOD 3 2 1 0 - - - CIPHER * CTYPE: Countermeasure Type CTYPE Description X X X X 0 Countermeasure type 1 is disabled X X X X 1 Add random spurious power consumption during some configuration settings X X X 0 X Countermeasure type 2 is disabled X X X 1 X Add randomly 1 cycle to processing. X X 0 X X Countermeasure type 3 is disabled X X 1 X X Add randomly 1 cycle to processing (other version) X 0 X X X Countermeasure type 4 is disabled X 1 X X X Add randomly up to /13/15 cycles (for /192/256-bit key) to processing 0 X X X X Countermeasure type 5 is disabled 1 X X X X Add random spurious power consumption during processing (recommended with DMA access) All the countermeasures are enabled by default. CTYPE field is write-only and can only be modified if CKEY is correctly set. 899 32072H-AVR32-10/2012 AT32UC3A3 * CKEY: Countermeasure Key Writing the value 0xE to this field allows the CTYPE field to be modified. Writing another value to this field has no effect. This bit always reads as zero. * CFBS: Cipher Feedback Data Size CFBS Description 0 128-bit 1 64-bit 2 32-bit 3 16-bit 4 8-bit Others Reserved * LOD: Last Output Data Mode Writing a one to this bit will enabled the LOD mode. Writing a zero to this bit will disabled the LOD mode. These mode is described in the Table 33-3 on page 895. * OPMOD: Operation Mode OPMOD Description 0 ECB: Electronic Code Book mode 1 CBC: Cipher Block Chaining mode 2 OFB: Output Feedback mode 3 CFB: Cipher Feedback mode 4 CTR: Counter mode Others Reserved * KEYSIZE: Key Size KEYSIZE Description 0 AES Key Size is 128 bits 1 AES Key Size is 192 bits Others AES Key Size is 256 bits 900 32072H-AVR32-10/2012 AT32UC3A3 * SMOD: Start Mode SMOD Description 0 Manual mode 1 Automatic mode DMA mode 2 * LOD = 0: The encrypted/decrypted data are available at the address specified in the configuration of DMA Controller. * LOD = 1: The encrypted/decrypted data are available in the ODATAnR registers. 3 Reserved * PROCDLY: Processing Delay The processing time represents the number of clock cycles that the AES needs in order to perform one encryption/decryption with no countermeasures activated: Processing Time = 12 x ( PROCDLY + 1 ) The best performance is achieved with PROCDLY equal to 0. Writing a value to this field will update the processing time. Reading this field will give the current processing delay. * CIPHER: Processing Mode 0: Decrypts data is enabled. 1: Encrypts data is enabled. 901 32072H-AVR32-10/2012 AT32UC3A3 33.5.3 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x10 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - URAD 7 6 5 4 3 2 1 0 - - - - - - - DATRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will set the corresponding bit in IMR. 902 32072H-AVR32-10/2012 AT32UC3A3 33.5.4 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x14 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - URAD 7 6 5 4 3 2 1 0 - - - - - - - DATRDY Writing a zero to a bit in this register has no effect. Writing a one to a bit in this register will clear the corresponding bit in IMR. 903 32072H-AVR32-10/2012 AT32UC3A3 33.5.5 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x18 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - - - - - URAD 7 6 5 4 3 2 1 0 - - - - - - - DATRDY 0: The corresponding interrupt is disabled. 1: The corresponding interrupt is enabled. A bit in this register is cleared when the corresponding bit in IDR is written to one. A bit in this register is set when the corresponding bit in IER is written to one. 904 32072H-AVR32-10/2012 AT32UC3A3 33.5.6 Name: Interrupt Status Register ISR Access Type: Read-only Offset: 0x1C Reset Value: 0x0000001E 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - - - - - 15 14 13 12 11 10 9 8 - - - URAD URAT 7 6 5 4 3 2 1 0 - - - - - - - DATRDY * URAT: Unspecified Register Access Type: URAT Description 0 The IDATAnR register during the data processing in DMA mode. 1 The ODATAnR register read during the data processing. 2 The MR register written during the data processing. 3 The ODATAnR register read during the sub-keys generation. 4 The MR register written during the sub-keys generation. 5 Write-only register read access. Others Reserved Only the last Unspecified Register Access Type is available through the URAT field. This field is reset to 0 when SWRST bit in the Control Register is written to one. * URAD: Unspecified Register Access Detection Status This bit is set when at least one unspecified register access has been detected since the last software reset. This bit is cleared when SWRST bit in the Control Register is set to one. * * * * 905 32072H-AVR32-10/2012 AT32UC3A3 * DATRDY: Data Ready This bit is set/clear as described in the Table 33-3 on page 895. This bit is also cleared when SWRST bit in the Control Register is set to one. 906 32072H-AVR32-10/2012 AT32UC3A3 33.5.7 Name: Key Word n Register KEYWnR Access Type: Write-only Offset: 0x20 +(n-1)*0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 11 10 9 8 3 2 1 0 KEYWn[31:24] 23 22 21 20 19 KEYWn[23:16] 15 14 13 12 KEYWn[15:8] 7 6 5 4 KEYWn[7:0] * KEYWn[31:0]: Key Word n Writing the 128-bit/192-bit/256-bit cryptographic key used for encryption/decryption in the four/six/eight 32-bit Key Word registers. KEYW1 corresponds to the first word of the key and respectively KEYW4/KEYW6/KEYW8 to the last one. This field always read as zero to prevent the key from being read by another application. 907 32072H-AVR32-10/2012 AT32UC3A3 33.5.8 Name: Input Data n Register IDATAnR Access Type: Write-only Offset: 0x40 + (n-1)*0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 11 10 9 8 3 2 1 0 IDATAn[31:24] 23 22 21 20 19 IDATAn[23:16] 15 14 13 12 IDATAn[15:8] 7 6 5 4 IDATAn[7:0] * IDATAn[31:0]: Input Data Word n Writing the 128-bit data block used for encryption/decryption in the four 32-bit Input Data registers. IDATA1 corresponds to the first word of the data to be encrypted/decrypted, and IDATA4 to the last one. This field always read as zero to prevent the input data from being read by another application. 908 32072H-AVR32-10/2012 AT32UC3A3 33.5.9 Name: Output Data n Register ODATAnR Access Type: Read-only Offset: 0x50 + (n-1)*0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 18 17 16 11 10 9 8 3 2 1 0 ODATAn[31:24] 23 22 21 20 19 ODATAn[23:16] 15 14 13 12 ODATAn[15:8] 7 6 5 4 ODATAn[7:0] * ODATAn[31:0]: Output Data n Reading the four 32-bit ODATAnR give the 128-bit data block that has been encrypted/decrypted. ODATA1 corresponds to the first word, ODATA4 to the last one. 909 32072H-AVR32-10/2012 AT32UC3A3 33.5.10 Name: Initialization Vector n Register IVnR Access Type: Write-only Offset: 0x60 + (n-1)*0x04 Reset Value: 0x00000000 31 30 29 28 27 26 25 24 19 18 17 16 11 10 9 8 3 2 1 0 IVn[31:24] 23 22 21 20 IVn[23:16] 15 14 13 12 IVn[15:8] 7 6 5 4 IVn[7:0] * IVn[31:0]: Initialization Vector n The four 32-bit Initialization Vector registers set the 128-bit Initialization Vector data block that is used by some modes of operation as an additional initial input: MODE(OPMODE. Description CBC,OFB, CFB initialization vector CTR counter value ECB not used, must not be written IV1 corresponds to the first word of the Initialization Vector, IV4 to the last one. This field is always read as zero to prevent the Initialization Vector from being read by another application. 910 32072H-AVR32-10/2012 AT32UC3A3 33.5.11 Name: Version Register VERSION Access Type: Read-only Offset: 0xFC Reset Value: - 31 30 29 28 27 26 25 24 - - - - - - - - 23 22 21 20 19 18 17 16 - - - - 15 14 13 12 9 8 - - - - 7 6 5 4 VARIANT 11 10 VERSION[11:8] 3 2 1 0 VERSION[7:0] * VARIANT: Variant Number Reserved. No functionality associated. * VERSION[11:0] Version number of the module. No functionality associated. 911 32072H-AVR32-10/2012 AT32UC3A3 33.6 Module Configuration The specific configuration for each AES instance is listed in the following tables.The module bus clocks listed here are connected to the system bus clocks according to the table in the System Bus Clock Connections section. Table 33-5. Module clock name Module name Clock name AES CLK_AES Table 33-6. Register Reset Values Register Reset Value VERSION 0x00000123 912 32072H-AVR32-10/2012 AT32UC3A3 34. Audio Bitstream DAC (ABDAC) Rev: 1.0.1.1 34.1 Features * Digital Stereo DAC * Oversampled D/A conversion architecture - Oversampling ratio fixed 128x - FIR equalization filter - Digital interpolation filter: Comb4 - 3rd Order Sigma-Delta D/A converters * Digital bitstream outputs * Parallel interface * Connected to DMA Controller for background transfer without CPU intervention 34.2 Overview The Audio Bitstream DAC converts a 16-bit sample value to a digital bitstream with an average value proportional to the sample value. Two channels are supported, making the Audio Bitstream DAC particularly suitable for stereo audio. Each channel has a pair of complementary digital outputs, DATAn and DATANn, which can be connected to an external high input impedance amplifier. The output DATAn and DATANn should be as ideal as possible before filtering, to achieve the best SNR and THD quality. The outputs can be connected to a class D amplifier output stage to drive a speaker directly, or it can be low pass filtered and connected to a high input impedance amplifier. A simple 1st order low pass filter that filters all the frequencies above 50kHz should be adequate when applying the signal to a speaker or a bandlimited amplifier, as the speaker or amplifier will act as a filter and remove high frequency components from the signal. In some cases high frequency components might be folded down into the audible range, and in that case a higher order filter is required. For performance measurements on digital equipment a minimum of 4th order low pass filter should be used. This is to prevent aliasing in the measurements. For the best performance when not using a class D amplifier approach, the two outputs DATAn and DATANn, should be applied to a differential stage amplifier, as this will increase the SNR and THD. 913 32072H-AVR32-10/2012 AT32UC3A3 34.3 Block Diagram Figure 34-1. ABDAC Block Diagram Audio Bitstream DAC PM GCLK_ABDAC Clock Generator bit_clk sample_clk CHANNEL0[15:0] Equalization FIR COMB (INT=128) Sigma-Delta DA-MOD DATA0 Equalization FIR COMB (INT=128) Sigma-Delta DA-MOD DATA1 User Interface CHANNEL1[15:0] 34.4 I/O Lines Description Table 34-1. I/O Lines Description Pin Name Pin Description DATA0 Output from Audio Bitstream DAC Channel 0 Output DATA1 Output from Audio Bitstream DAC Channel 1 Output DATAN0 Inverted output from Audio Bitstream DAC Channel 0 Output DATAN1 Inverted output from Audio Bitstream DAC Channel 1 Output 34.5 Type Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 34.5.1 I/O Lines The output pins used for the output bitstream from the Audio Bitstream DAC may be multiplexed with IO lines. Before using the Audio Bitstream DAC, the I/O Controller must be configured in order for the Audio Bitstream DAC I/O lines to be in Audio Bitstream DAC peripheral mode. 914 32072H-AVR32-10/2012 AT32UC3A3 34.5.2 Clocks The CLK_ABDAC to the Audio Bitstream DAC is generated by the Power Manager (PM). Before using the Audio Bitstream DAC, the user must ensure that the Audio Bitstream DAC clock is enabled in the Power Manager. The ABDAC needs a separate clock for the D/A conversion operation. This clock, GCLK_ABDAC should be set up in the Generic Clock register in the Power Manager and its frequency must be as follow: f GCLK = 256 x f S where fs is the samping rate of the data stream to convert. For fs= 48 kHz this means that the GCLK_ABDAC clock must have a frequency of 12.288MHz. The two clocks, CLK_ABDAC and GCLK_ABDAC, must be in phase with each other. 34.5.3 Interrupts The ABDAC interrupt request line is connected to the interrupt controller. Using the ABDAC interrupt requires the interrupt controller to be programmed first. 34.6 34.6.1 Functional Description How to Initialize the Module In order to use the Audio Bitstream DAC the product dependencies given in Section 34.5 on page 914 must be resolved. Particular attention should be given to the configuration of clocks and I/O lines in order to ensure correct operation of the Audio Bitstream DAC. The Audio Bitstream DAC is enabled by writing a one to the enable bit in the Audio Bitstream DAC Control Register (CR.EN). The Transmit Ready Interrupt Status bit in the Interrupt Status Register (ISR.TXREADY) will be set whenever the ABDAC is ready to receive a new sample. A new sample value should be written to SDR before 256 ABDAC clock cycles, or an underrun will occur, as indicated by the Underrun Interrupt Status bit in ISR (ISR.UNDERRUN). ISR is cleared when read, or when writing one to the corresponding bits in the Interrupt Clear Register (ICR). 34.6.2 Data Format The input data format is two's complement. Two 16-bit sample values for channel 0 and 1 can be written to the least and most significant halfword of the Sample Data Register (SDR), respectively. An input value of 0x7FFF will result in an output voltage of approximately: 38 38 V OUT ( 0x7FFF ) ---------- VDDIO = ---------- 3, 3 0, 98V 128 128 An Input value of 0x8000 will result in an output value of approximately: 90 90 V OUT ( 0x8000 ) ---------- VDDIO = ---------- 3, 3 2, 32V 128 128 915 32072H-AVR32-10/2012 AT32UC3A3 If one want to get coherence between the sign of the input data and the output voltage one can use the DATAN signal or invert the sign of the input data by software. 34.6.3 Data Swapping When the SWAP bit in the ABDAC Control Register (CR.SWAP) is written to one, writing to the Sample Data Register (SDR) will cause the values written to the CHANNEL0 and CHANNEL1 fields to be swapped. 34.6.4 Peripheral DMA Controller The Audio Bitstream DAC is connected to the Peripheral DMA Controller. The Peripheral DMA Controller can be programmed to automatically transfer samples to the Audio Bitstream DAC Sample Data Register (SDR) when the Audio Bitstream DAC is ready for new samples. In this case only the CR.EN bit needs to be set in the Audio Bitstream DAC module. This enables the Audio Bitstream DAC to operate without any CPU intervention such as polling the Interrupt Status Register (ISR) or using interrupts. See the Peripheral DMA Controller documentation for details on how to setup Peripheral DMA transfers. 34.6.5 Construction The Audio Bitstream DAC is constructed of two 3rd order Sigma-Delta D/A converter with an oversampling ratio of 128. The samples are upsampled with a 4th order Sinc interpolation filter (Comb4) before being applied to the Sigma-Delta Modulator. In order to compensate for the pass band frequency response of the interpolation filter and flatten the overall frequency response, the input to the interpolation filter is first filtered with a simple 3-tap FIR filter.The total frequency response of the Equalization FIR filter and the interpolation filter is given in Figure 342 on page 917. The digital output bitstreams from the Sigma-Delta Modulators should be lowpass filtered to remove high frequency noise inserted by the modulation process. 34.6.6 Equalization Filter The equalization filter is a simple 3-tap FIR filter. The purpose of this filter is to compensate for the pass band frequency response of the sinc interpolation filter. The equalization filter makes the pass band response more flat and moves the -3dB corner a little higher. 34.6.7 Interpolation Filter The interpolation filter interpolates from fs to 128fs. This filter is a 4thorder Cascaded IntegratorComb filter, and the basic building blocks of this filter is a comb part and an integrator part. 34.6.8 Sigma-Delta Modulator This part is a 3rdorder Sigma-Delta Modulator consisting of three differentiators (delta blocks), three integrators (sigma blocks) and a one bit quantizer. The purpose of the integrators is to shape the noise, so that the noise is reduced in the band of interest and increased at the higher frequencies, where it can be filtered. 916 32072H-AVR32-10/2012 AT32UC3A3 34.6.9 Frequency Response Figure 34-2. Frequency Response, EQ-FIR+COMB4 1 0 A m p li t u d e [d B ] 0 -1 0 -2 0 -3 0 -4 0 -5 0 -6 0 0 1 2 3 4 5 F re q u e n c y 6 [F s ] 7 8 9 1 0 x 1 0 4 917 32072H-AVR32-10/2012 AT32UC3A3 34.7 User Interface Table 34-2. ABDAC Register Memory Map Offset Register Register Name Access Reset 0x00 Sample Data Register SDR Read/Write 0x00000000 0x08 Control Register CR Read/Write 0x00000000 0x0C Interrupt Mask Register IMR Read-only 0x00000000 0x10 Interrupt Enable Register IER Write-only 0x00000000 0x14 Interrupt Disable Register IDR Write-only 0x00000000 0x18 Interrupt Clear Register ICR Write-only 0x00000000 0x1C Interrupt Status Register ISR Read-only 0x00000000 918 32072H-AVR32-10/2012 AT32UC3A3 34.7.1 Name: Sample Data Register SDR Access Type: Read/Write Offset: 0x00 Reset Value: 0x00000000 31 30 29 28 27 CHANNEL1[15:8] 26 25 24 23 22 21 20 19 CHANNEL1[7:0] 18 17 16 15 14 13 12 11 CHANNEL0[15:8] 10 9 8 7 6 5 4 3 CHANNEL0[7:0] 2 1 0 * CHANNEL1: Sample Data for Channel 1 signed 16-bit Sample Data for channel 1. * CHANNEL0: Signed 16-bit Sample Data for Channel 0 signed 16-bit Sample Data for channel 0. 919 32072H-AVR32-10/2012 AT32UC3A3 34.7.2 Name: Control Register CR Access Type: Read/Write Offset: 0x08 Reset Value: 0x00000000 31 EN 30 SWAP 29 - 28 - 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - * EN: Enable Audio Bitstream DAC 1: The module is enabled. 0: The module is disabled. * SWAP: Swap Channels 1: The swap of CHANNEL0 and CHANNEL1 samples is enabled. 0: The swap of CHANNEL0 and CHANNEL1 samples is disabled. 920 32072H-AVR32-10/2012 AT32UC3A3 34.7.3 Name: Interrupt Mask Register IMR Access Type: Read-only Offset: 0x0C Reset Value: 0x00000000 31 - 30 - 29 TXREADY 28 UNDERRUN 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - 1: The corresponding interrupt is enabled. 0: The corresponding interrupt is disabled. A bit in this register is set when the corresponding bit in IER is written to one. A bit in this register is cleared when the corresponding bit in IDR is written to one. 921 32072H-AVR32-10/2012 AT32UC3A3 34.7.4 Name: Interrupt Enable Register IER Access Type: Write-only Offset: 0x10 Reset Value: 0x00000000 31 - 30 - 29 TXREADY 28 UNDERRUN 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - Writing a one to a bit in this register will set the corresponding bit in IMR. Writing a zero to a bit in this register has no effect. 922 32072H-AVR32-10/2012 AT32UC3A3 34.7.5 Name: Interrupt Disable Register IDR Access Type: Write-only Offset: 0x14 Reset Value: 0x00000000 31 - 30 - 29 TXREADY 28 UNDERRUN 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - Writing a one to a bit in this register will clear the corresponding bit in IMR. Writing a zero to a bit in this register has no effect. 923 32072H-AVR32-10/2012 AT32UC3A3 34.7.6 Name: Interrupt Clear Register ICR Access Type: Write-only Offset: 0x18 Reset Value: 0x00000000 31 - 30 - 29 TXREADY 28 UNDERRUN 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - Writing a one to a bit in this register will clear the corresponding bit in ISR and the corresponding interrupt request. Writing a zero to a bit in this register has no effect. 924 32072H-AVR32-10/2012 AT32UC3A3 34.7.7 Name: Interrupt Status Register ISR Access Type: Read-only Offset: 0x1C Reset Value: 0x00000000 31 - 30 - 29 TXREADY 28 UNDERRUN 27 - 26 - 25 - 24 - 23 - 22 - 21 - 20 - 19 - 18 - 17 - 16 - 15 - 14 - 13 - 12 - 11 - 10 - 9 - 8 - 7 - 6 - 5 - 4 - 3 - 2 - 1 - 0 - * TXREADY: TX Ready Interrupt Status This bit is set when the Audio Bitstream DAC is ready to receive a new data in SDR. This bit is cleared when the Audio Bitstream DAC is not ready to receive a new data in SDR. * UNDERRUN: Underrun Interrupt Status This bit is set when at least one Audio Bitstream DAC Underrun has occurred since the last time this bit was cleared (by reset or by writing in ICR). This bit is cleared when no Audio Bitstream DAC Underrun has occurred since the last time this bit was cleared (by reset or by writing in ICR). 925 32072H-AVR32-10/2012 AT32UC3A3 35. Programming and Debugging 35.1 Overview General description of programming and debug features, block diagram and introduction of main concepts. 35.2 Service Access Bus The AVR32 architecture offers a common interface for access to On-Chip Debug, programming, and test functions. These are mapped on a common bus called the Service Access Bus (SAB), which is linked to the JTAG port through a bus master module, which also handles synchronization between the debugger and SAB clocks. When accessing the SAB through the debugger there are no limitations on debugger frequency compared to chip frequency, although there must be an active system clock in order for the SAB accesses to complete. If the system clock is switched off in sleep mode, activity on the debugger will restart the system clock automatically, without waking the device from sleep. Debuggers may optimize the transfer rate by adjusting the frequency in relation to the system clock. This ratio can be measured with debug protocol specific instructions. The Service Access Bus uses 36 address bits to address memory or registers in any of the slaves on the bus. The bus supports sized accesses of bytes (8 bits), halfwords (16 bits), or words (32 bits). All accesses must be aligned to the size of the access, i.e. halfword accesses must have the lowest address bit cleared, and word accesses must have the two lowest address bits cleared. 35.2.1 SAB address map The Service Access Bus (SAB) gives the user access to the internal address space and other features through a 36 bits address space. The 4 MSBs identify the slave number, while the 32 LSBs are decoded within the slave's address space. The SAB slaves are shown in Table 35-1 on page 926. Table 35-1. 35.2.2 SAB Slaves, addresses and descriptions. Slave Address [35:32] Description Unallocated 0x0 Intentionally unallocated OCD 0x1 OCD registers HSB 0x4 HSB memory space, as seen by the CPU HSB 0x5 Alternative mapping for HSB space, for compatibility with other 32-bit AVR devices. Memory Service Unit 0x6 Memory Service Unit registers Reserved Other Unused SAB security restrictions The Service Access bus can be restricted by internal security measures. A short description of the security measures are found in the table below. 926 32072H-AVR32-10/2012 AT32UC3A3 35.2.2.1 Security measure and control location A security measure is a mechanism to either block or allow SAB access to a certain address or address range. A security measure is enabled or disabled by one or several control signals. This is called the control location for the security measure. These security measures can be used to prevent an end user from reading out the code programmed in the flash, for instance. Table 35-2. SAB Security measures. Security measure Control Location Description Security bit FLASHC security bit set Programming and debugging not possible, very restricted access. User code programming FLASHC UPROT + security bit set Restricts all access except parts of the flash and the flash controller for programming user code. Debugging is not possible unless an OS running from the secure part of the flash supports it. Below follows a more in depth description of what locations are accessible when the security measures are active. Table 35-3. Security bit SAB restrictions Name Address start Address end Access OCD DCCPU, OCD DCEMU, OCD DCSR 0x100000110 0x100000118 Read/Write User page 0x580800000 0x581000000 Read Other accesses - - Table 35-4. Blocked User code programming SAB restrictions Name Address start Address end Access OCD DCCPU, OCD DCEMU, OCD DCSR 0x100000110 0x100000118 Read/Write User page 0x580800000 0x581000000 Read FLASHC PB interface 0x5FFFE0000 0x5FFFE0400 Read/Write FLASH pages outside BOOTPROT 0x580000000 + BOOTPROT size 0x580000000 + Flash size Read/Write Other accesses - - Blocked 927 32072H-AVR32-10/2012 AT32UC3A3 35.3 On-Chip Debug (OCD) Rev: 1.4.2.1 35.3.1 Features * * * * * * * * 35.3.2 Debug interface in compliance with IEEE-ISTO 5001-2003 (Nexus 2.0) Class 2+ JTAG access to all on-chip debug functions Advanced program, data, ownership, and watchpoint trace supported NanoTrace JTAG-based trace access Auxiliary port for high-speed trace information Hardware support for 6 program and 2 data breakpoints Unlimited number of software breakpoints supported Automatic CRC check of memory regions Overview Debugging on the AT32UC3A3 is facilitated by a powerful On-Chip Debug (OCD) system. The user accesses this through an external debug tool which connects to the JTAG port and the Auxiliary (AUX) port. The AUX port is primarily used for trace functions, and a JTAG-based debugger is sufficient for basic debugging. The debug system is based on the Nexus 2.0 standard, class 2+, which includes: * Basic run-time control * Program breakpoints * Data breakpoints * Program trace * Ownership trace * Data trace In addition to the mandatory Nexus debug features, the AT32UC3A3 implements several useful OCD features, such as: * Debug Communication Channel between CPU and JTAG * Run-time PC monitoring * CRC checking * NanoTrace * Software Quality Assurance (SQA) support The OCD features are controlled by OCD registers, which can be accessed by JTAG when the NEXUS_ACCESS JTAG instruction is loaded. The CPU can also access OCD registers directly using mtdr/mfdr instructions in any privileged mode. The OCD registers are implemented based on the recommendations in the Nexus 2.0 standard, and are detailed in the AVR32UC Technical Reference Manual. 928 32072H-AVR32-10/2012 AT32UC3A3 35.3.3 Block Diagram Figure 35-1. On-Chip Debug Block Diagram JTAG JTAG AUX On-Chip Debug Memory Service Unit Service Access Bus Transmit Queue Watchpoints Debug PC Debug Instruction Breakpoints CPU 35.3.4 Program Trace Internal SRAM HSB Bus Matrix Data Trace Ownership Trace Memories and peripherals JTAG-based Debug Features A debugger can control all OCD features by writing OCD registers over the JTAG interface. Many of these do not depend on output on the AUX port, allowing a JTAG-based debugger to be used. A JTAG-based debugger should connect to the device through a standard 10-pin IDC connector as described in the AVR32UC Technical Reference Manual. 929 32072H-AVR32-10/2012 AT32UC3A3 Figure 35-2. JTAG-based Debugger PC JTAG-based debug tool 10-pin IDC JTAG AVR32 35.3.4.1 Debug Communication Channel The Debug Communication Channel (DCC) consists of a pair OCD registers with associated handshake logic, accessible to both CPU and JTAG. The registers can be used to exchange data between the CPU and the JTAG master, both runtime as well as in debug mode. 35.3.4.2 breakpoints One of the most fundamental debug features is the ability to halt the CPU, to examine registers and the state of the system. This is accomplished by breakpoints, of which many types are available: * Unconditional breakpoints are set by writing OCD registers by JTAG, halting the CPU immediately. * Program breakpoints halt the CPU when a specific address in the program is executed. * Data breakpoints halt the CPU when a specific memory address is read or written, allowing variables to be watched. * Software breakpoints halt the CPU when the breakpoint instruction is executed. When a breakpoint triggers, the CPU enters debug mode, and the D bit in the Status Register is set. This is a privileged mode with dedicated return address and return status registers. All privileged instructions are permitted. Debug mode can be entered as either OCD mode, running instructions from JTAG, or monitor mode, running instructions from program memory. 930 32072H-AVR32-10/2012 AT32UC3A3 35.3.4.3 OCD mode When a breakpoint triggers, the CPU enters OCD mode, and instructions are fetched from the Debug Instruction OCD register. Each time this register is written by JTAG, the instruction is executed, allowing the JTAG to execute CPU instructions directly. The JTAG master can e.g. read out the register file by issuing mtdr instructions to the CPU, writing each register to the Debug Communication Channel OCD registers. 35.3.4.4 monitor mode Since the OCD registers are directly accessible by the CPU, it is possible to build a softwarebased debugger that runs on the CPU itself. Setting the Monitor Mode bit in the Development Control register causes the CPU to enter monitor mode instead of OCD mode when a breakpoint triggers. Monitor mode is similar to OCD mode, except that instructions are fetched from the debug exception vector in regular program memory, instead of issued by JTAG. 35.3.4.5 program counter monitoring Normally, the CPU would need to be halted for a JTAG-based debugger to examine the current PC value. However, the AT32UC3A3 provides a Debug Program Counter OCD register, where the debugger can continuously read the current PC without affecting the CPU. This allows the debugger to generate a simple statistic of the time spent in various areas of the code, easing code optimization. 35.3.5 Memory Service Unit The Memory Service Unit (MSU) is a block dedicated to test and debug functionality. It is controlled through a dedicated set of registers addressed through the MEMORY_SERVICE JTAG command. 35.3.5.1 Cyclic Redundancy Check (CRC) The MSU can be used to automatically calculate the CRC of a block of data in memory. The OCD will then read out each word in the specified memory block and report the CRC32-value in an OCD register. 35.3.5.2 NanoTrace The MSU additionally supports NanoTrace. This is an AVR32-specific feature, in which trace data is output to memory instead of the AUX port. This allows the trace data to be extracted by JTAG MEMORY_ACCESS, enabling trace features for JTAG-based debuggers. The user must write MSU registers to configure the address and size of the memory block to be used for NanoTrace. The NanoTrace buffer can be anywhere in the physical address range, including internal and external RAM, through an EBI, if present. This area may not be used by the application running on the CPU. 35.3.6 AUX-based Debug Features Utilizing the Auxiliary (AUX) port gives access to a wide range of advanced debug features. Of prime importance are the trace features, which allow an external debugger to receive continuous information on the program execution in the CPU. Additionally, Event In and Event Out pins allow external events to be correlated with the program flow. The AUX port contains a number of pins, as shown in Table 35-5 on page 932. These are multiplexed with I/O Controller lines, and must explicitly be enabled by writing OCD registers before the debug session starts. The AUX port is mapped to two different locations, selectable by OCD Registers, minimizing the chance that the AUX port will need to be shared with an application. 931 32072H-AVR32-10/2012 AT32UC3A3 Debug tools utilizing the AUX port should connect to the device through a Nexus-compliant Mictor-38 connector, as described in the AVR32UC Technical Reference manual. This connector includes the JTAG signals and the RESET_N pin, giving full access to the programming and debug features in the device. Table 35-5. Auxiliary Port Signals Signal Direction MCKO Output Trace data output clock MDO[5:0] Output Trace data output MSEO[1:0] Output Trace frame control EVTI_N Input EVTO_N Output Description Event In Event Out Figure 35-3. AUX+JTAG based Debugger PC T ra c e b u ffe r A U X +JTA G d e b u g to o l M ic to r 3 8 AUX h ig h s p e e d JTAG AVR32 35.3.6.1 trace operation Trace features are enabled by writing OCD registers by JTAG. The OCD extracts the trace information from the CPU, compresses this information and formats it into variable-length messages according to the Nexus standard. The messages are buffered in a 16-frame transmit queue, and are output on the AUX port one frame at a time. 932 32072H-AVR32-10/2012 AT32UC3A3 The trace features can be configured to be very selective, to reduce the bandwidth on the AUX port. In case the transmit queue overflows, error messages are produced to indicate loss of data. The transmit queue module can optionally be configured to halt the CPU when an overflow occurs, to prevent the loss of messages, at the expense of longer run-time for the program. 35.3.6.2 program trace Program trace allows the debugger to continuously monitor the program execution in the CPU. Program trace messages are generated for every branch in the program, and contains compressed information, which allows the debugger to correlate the message with the source code to identify the branch instruction and target address. 35.3.6.3 data trace Data trace outputs a message every time a specific location is read or written. The message contains information about the type (read/write) and size of the access, as well as the address and data of the accessed location. The AT32UC3A3 contains two data trace channels, each of which are controlled by a pair of OCD registers which determine the range of addresses (or single address) which should produce data trace messages. 35.3.6.4 ownership trace Program and data trace operate on virtual addresses. In cases where an operating system runs several processes in overlapping virtual memory segments, the Ownership Trace feature can be used to identify the process switch. When the O/S activates a process, it will write the process ID number to an OCD register, which produces an Ownership Trace Message, allowing the debugger to switch context for the subsequent program and data trace messages. As the use of this feature depends on the software running on the CPU, it can also be used to extract other types of information from the system. 35.3.6.5 watchpoint messages The breakpoint modules normally used to generate program and data breakpoints can also be used to generate Watchpoint messages, allowing a debugger to monitor program and data events without halting the CPU. Watchpoints can be enabled independently of breakpoints, so a breakpoint module can optionally halt the CPU when the trigger condition occurs. Data trace modules can also be configured to produce watchpoint messages instead of regular data trace messages. 35.3.6.6 Event In and Event Out pins The AUX port also contains an Event In pin (EVTI_N) and an Event Out pin (EVTO_N). EVTI_N can be used to trigger a breakpoint when an external event occurs. It can also be used to trigger specific program and data trace synchronization messages, allowing an external event to be correlated to the program flow. When the CPU enters debug mode, a Debug Status message is transmitted on the trace port. All trace messages can be timestamped when they are received by the debug tool. However, due to the latency of the transmit queue buffering, the timestamp will not be 100% accurate. To improve this, EVTO_N can toggle every time a message is inserted into the transmit queue, allowing trace messages to be timestamped precisely. EVTO_N can also toggle when a breakpoint module triggers, or when the CPU enters debug mode, for any reason. This can be used to measure precisely when the respective internal event occurs. 933 32072H-AVR32-10/2012 AT32UC3A3 35.3.6.7 Software Quality Analysis (SQA) Software Quality Analysis (SQA) deals with two important issues regarding embedded software development. Code coverage involves identifying untested parts of the embedded code, to improve test procedures and thus the quality of the released software. Performance analysis allows the developer to precisely quantify the time spent in various parts of the code, allowing bottlenecks to be identified and optimized. Program trace must be used to accomplish these tasks without instrumenting (altering) the code to be examined. However, traditional program trace cannot reconstruct the current PC value without correlating the trace information with the source code, which cannot be done on-the-fly. This limits program trace to a relatively short time segment, determined by the size of the trace buffer in the debug tool. The OCD system in AT32UC3A3 extends program trace with SQA capabilities, allowing the debug tool to reconstruct the PC value on-the-fly. Code coverage and performance analysis can thus be reported for an unlimited execution sequence. 934 32072H-AVR32-10/2012 AT32UC3A3 35.4 JTAG and Boundary-scan (JTAG) Rev: 2.0.0.4 35.4.1 Features * IEEE1149.1 compliant JTAG Interface * Boundary-scan Chain for board-level testing * Direct memory access and programming capabilities through JTAG Interface 35.4.2 Overview The JTAG Interface offers a four pin programming and debug solution, including boundary-scan support for board-level testing. Figure 35-4 on page 936 shows how the JTAG is connected in an 32-bit AVR device. The TAP Controller is a state machine controlled by the TCK and TMS signals. The TAP Controller selects either the JTAG Instruction Register or one of several Data Registers as the scan chain (shift register) between the TDI-input and TDO-output. The Instruction Register holds JTAG instructions controlling the behavior of a Data Register. The Device Identification Register, Bypass Register, and the boundary-scan chain are the Data Registers used for board-level testing. The Reset Register can be used to keep the device reset during test or programming. The Service Access Bus (SAB) interface contains address and data registers for the Service Access Bus, which gives access to On-Chip Debug, programming, and other functions in the device. The SAB offers several modes of access to the address and data registers, as described in Section 35.4.10. Section 35.5 lists the supported JTAG instructions, with references to the description in this document. 935 32072H-AVR32-10/2012 AT32UC3A3 35.4.3 Block Diagram Figure 35-4. JTAG and Boundary-scan Access 32-bit AVR device JTAG JTAG master Boundary scan enable TAP Controller TDO TDI JTAG Pins TMS TCK TCK TMS TDI TDO Instruction register scan enable Data register scan enable Instruction Register TMS TCK TDO TDI JTAG data registers 2nd JTAG device Device Identification Register Reset Register Part specific registers ... Pins and analog blocks Boundary Scan Chain By-pass Register Service Access Bus interface SAB 35.4.4 Internal I/O lines I/O Lines Description Table 35-6. I/O Line Description Pin Name Pin Description Type TCK Test Clock Input. Fully asynchronous to system clock frequency. Input TMS Test Mode Select, sampled on rising TCK. Input TDI Test Data In, sampled on rising TCK. Input TDO Test Data Out, driven on falling TCK. Output 35.4.5 Active Level Product Dependencies In order to use this module, other parts of the system must be configured correctly, as described below. 936 32072H-AVR32-10/2012 AT32UC3A3 35.4.5.1 Power Management When an instruction that accesses the SAB is loaded in the instruction register, before entering a sleep mode, the system clocks are not switched off to allow debugging in sleep modes. This can lead to a program behaving differently when debugging. 35.4.5.2 Clocks The JTAG Interface uses the external TCK pin as clock source. This clock must be provided by the JTAG master. Instructions that use the SAB bus requires the internal main clock to be running. 35.4.6 JTAG Interface The JTAG Interface is accessed through the dedicated JTAG pins shown in Table 35-6 on page 936. The TMS control line navigates the TAP controller, as shown in Figure 35-5 on page 938. The TAP controller manages the serial access to the JTAG Instruction and Data registers. Data is scanned into the selected instruction or data register on TDI, and out of the register on TDO, in the Shift-IR and Shift-DR states, respectively. The LSB is shifted in and out first. TDO is highZ in other states than Shift-IR and Shift-DR. The device implements a 5-bit Instruction Register (IR). A number of public JTAG instructions defined by the JTAG standard are supported, as described in Section 35.5.2, as well as a number of 32-bit AVR-specific private JTAG instructions described in Section 35.5.3. Each instruction selects a specific data register for the Shift-DR path, as described for each instruction. 937 32072H-AVR32-10/2012 AT32UC3A3 Figure 35-5. TAP Controller State Diagram 1 Test-LogicReset 0 0 Run-Test/ Idle 1 Select-DR Scan 1 Select-IR Scan 1 0 1 0 Capture-DR 1 0 Shift-DR 0 0 Shift-IR 1 1 Exit1-DR Exit1-IR 0 0 Pause-DR 1 0 Exit2-DR 0 Pause-IR 1 1 0 1 1 Capture-IR Update-DR 0 0 0 1 Exit2-IR 1 1 Update-IR 0 938 32072H-AVR32-10/2012 AT32UC3A3 35.4.7 How to Initialize the Module Independent of the initial state of the TAP Controller, the Test-Logic-Reset state can always be entered by holding TMS high for 5 TCK clock periods. This sequence should always be applied at the start of a JTAG session to bring the TAP Controller into a defined state before applying JTAG commands. Applying a 0 on TMS for 1 TCK period brings the TAP Controller to the RunTest/Idle state, which is the starting point for JTAG operations. 35.4.8 35.4.8.1 Typical Sequence Assuming Run-Test/Idle is the present state, a typical scenario for using the JTAG Interface follows. Scanning in JTAG Instruction At the TMS input, apply the sequence 1, 1, 0, 0 at the rising edges of TCK to enter the Shift Instruction Register (Shift-IR) state. While in this state, shift the 5 bits of the JTAG instructions into the JTAG instruction register from the TDI input at the rising edge of TCK. During shifting, the JTAG outputs status bits on TDO, refer to Section 35.5 for a description of these. The TMS input must be held low during input of the 4 LSBs in order to remain in the Shift-IR state. The JTAG Instruction selects a particular Data Register as path between TDI and TDO and controls the circuitry surrounding the selected Data Register. Apply the TMS sequence 1, 1, 0 to re-enter the Run-Test/Idle state. The instruction is latched onto the parallel output from the shift register path in the Update-IR state. The Exit-IR, Pause-IR, and Exit2-IR states are only used for navigating the state machine. Figure 35-6. Scanning in JTAG Instruction TCK TAP State TLR RTI SelDR SelIR CapIR ShIR Ex1IR UpdIR RTI TMS TDI Instruction TDO 35.4.8.2 ImplDefined Scanning in/out Data At the TMS input, apply the sequence 1, 0, 0 at the rising edges of TCK to enter the Shift Data Register (Shift-DR) state. While in this state, upload the selected Data Register (selected by the present JTAG instruction in the JTAG Instruction Register) from the TDI input at the rising edge of TCK. In order to remain in the Shift-DR state, the TMS input must be held low. While the Data Register is shifted in from the TDI pin, the parallel inputs to the Data Register captured in the Capture-DR state is shifted out on the TDO pin. 939 32072H-AVR32-10/2012 AT32UC3A3 Apply the TMS sequence 1, 1, 0 to re-enter the Run-Test/Idle state. If the selected Data Register has a latched parallel-output, the latching takes place in the Update-DR state. The Exit-DR, Pause-DR, and Exit2-DR states are only used for navigating the state machine. As shown in the state diagram, the Run-Test/Idle state need not be entered between selecting JTAG instruction and using Data Registers. 35.4.9 Boundary-scan The boundary-scan chain has the capability of driving and observing the logic levels on the digital I/O pins, as well as the boundary between digital and analog logic for analog circuitry having off-chip connections. At system level, all ICs having JTAG capabilities are connected serially by the TDI/TDO signals to form a long shift register. An external controller sets up the devices to drive values at their output pins, and observe the input values received from other devices. The controller compares the received data with the expected result. In this way, boundary-scan provides a mechanism for testing interconnections and integrity of components on Printed Circuits Boards by using the 4 TAP signals only. The four IEEE 1149.1 defined mandatory JTAG instructions IDCODE, BYPASS, SAMPLE/PRELOAD, and EXTEST can be used for testing the Printed Circuit Board. Initial scanning of the data register path will show the ID-code of the device, since IDCODE is the default JTAG instruction. It may be desirable to have the 32-bit AVR device in reset during test mode. If not reset, inputs to the device may be determined by the scan operations, and the internal software may be in an undetermined state when exiting the test mode. If needed, the BYPASS instruction can be issued to make the shortest possible scan chain through the device. The device can be set in the reset state either by pulling the external RESETn pin low, or issuing the AVR_RESET instruction with appropriate setting of the Reset Data Register. The EXTEST instruction is used for sampling external pins and loading output pins with data. The data from the output latch will be driven out on the pins as soon as the EXTEST instruction is loaded into the JTAG IR-register. Therefore, the SAMPLE/PRELOAD should also be used for setting initial values to the scan ring, to avoid damaging the board when issuing the EXTEST instruction for the first time. SAMPLE/PRELOAD can also be used for taking a snapshot of the external pins during normal operation of the part. When using the JTAG Interface for boundary-scan, the JTAG TCK clock is independent of the internal chip clock. The internal chip clock is not required to run during boundary-scan operations. NOTE: For pins connected to 5V lines care should be taken to not drive the pins to a logic one using boundary-scan, as this will create a current flowing from the 3,3V driver to the 5V pull-up on the line. Optionally a series resistor can be added between the line and the pin to reduce the current. Details about the boundary-scan chain can be found in the BSDL file for the device. This can be found on the Atmel website. 35.4.10 Service Access Bus The AVR32 architecture offers a common interface for access to On-Chip Debug, programming, and test functions. These are mapped on a common bus called the Service Access Bus (SAB), which is linked to the JTAG through a bus master module, which also handles synchronization between the TCK and SAB clocks. 940 32072H-AVR32-10/2012 AT32UC3A3 For more information about the SAB and a list of SAB slaves see the Service Access Bus chapter. 35.4.10.1 SAB Address Mode The MEMORY_SIZED_ACCESS instruction allows a sized read or write to any 36-bit address on the bus. MEMORY_WORD_ACCESS is a shorthand instruction for 32-bit accesses to any 36-bit address, while the NEXUS_ACCESS instruction is a Nexus-compliant shorthand instruction for accessing the 32-bit OCD registers in the 7-bit address space reserved for these. These instructions require two passes through the Shift-DR TAP state: one for the address and control information, and one for data. 35.4.10.2 Block Transfer To increase the transfer rate, consecutive memory accesses can be accomplished by the MEMORY_BLOCK_ACCESS instruction, which only requires a single pass through Shift-DR for data transfer only. The address is automatically incremented according to the size of the last SAB transfer. 35.4.10.3 Canceling a SAB Access It is possible to abort an ongoing SAB access by the CANCEL_ACCESS instruction, to avoid hanging the bus due to an extremely slow slave. 35.4.10.4 Busy Reporting As the time taken to perform an access may vary depending on system activity and current chip frequency, all the SAB access JTAG instructions can return a busy indicator. This indicates whether a delay needs to be inserted, or an operation needs to be repeated in order to be successful. If a new access is requested while the SAB is busy, the request is ignored. The SAB becomes busy when: * Entering Update-DR in the address phase of any read operation, e.g., after scanning in a NEXUS_ACCESS address with the read bit set. * Entering Update-DR in the data phase of any write operation, e.g., after scanning in data for a NEXUS_ACCESS write. * Entering Update-DR during a MEMORY_BLOCK_ACCESS. * Entering Update-DR after scanning in a counter value for SYNC. * Entering Update-IR after scanning in a MEMORY_BLOCK_ACCESS if the previous access was a read and data was scanned after scanning the address. The SAB becomes ready again when: * A read or write operation completes. * A SYNC countdown completed. * A operation is cancelled by the CANCEL_ACCESS instruction. What to do if the busy bit is set: * During Shift-IR: The new instruction is selected, but the previous operation has not yet completed and will continue (unless the new instruction is CANCEL_ACCESS). You may continue shifting the same instruction until the busy bit clears, or start shifting data. If shifting data, you must be prepared that the data shift may also report busy. * During Shift-DR of an address: The new address is ignored. The SAB stays in address mode, so no data must be shifted. Repeat the address until the busy bit clears. 941 32072H-AVR32-10/2012 AT32UC3A3 * During Shift-DR of read data: The read data is invalid. The SAB stays in data mode. Repeat scanning until the busy bit clears. * During Shift-DR of write data: The write data is ignored. The SAB stays in data mode. Repeat scanning until the busy bit clears. 35.4.10.5 Error Reporting The Service Access Bus may not be able to complete all accesses as requested. This may be because the address is invalid, the addressed area is read-only or cannot handle byte/halfword accesses, or because the chip is set in a protected mode where only limited accesses are allowed. The error bit is updated when an access completes, and is cleared when a new access starts. What to do if the error bit is set: * During Shift-IR: The new instruction is selected. The last operation performed using the old instruction did not complete successfully. * During Shift-DR of an address: The previous operation failed. The new address is accepted. If the read bit is set, a read operation is started. * During Shift-DR of read data: The read operation failed, and the read data is invalid. * During Shift-DR of write data: The previous write operation failed. The new data is accepted and a write operation started. This should only occur during block writes or stream writes. No error can occur between scanning a write address and the following write data. * While polling with CANCEL_ACCESS: The previous access was cancelled. It may or may not have actually completed. * After power-up: The error bit is set after power up, but there has been no previous SAB instruction so this error can be discarded. 35.4.10.6 Protected Reporting A protected status may be reported during Shift-IR or Shift-DR. This indicates that the security bit in the Flash Controller is set and that the chip is locked for access, according to Section 35.5.1. The protected state is reported when: * The Flash Controller is under reset. This can be due to the AVR_RESET command or the RESET_N line. * The Flash Controller has not read the security bit from the flash yet (This will take a a few ms). Happens after the Flash Controller reset has been released. * The security bit in the Flash Controller is set. What to do if the protected bit is set: * Release all active AVR_RESET domains, if any. * Release the RESET_N line. * Wait a few ms for the security bit to clear. It can be set temporarily due to a reset. * Perform a CHIP_ERASE to clear the security bit. NOTE: This will erase all the contents of the non-volatile memory. 942 32072H-AVR32-10/2012 AT32UC3A3 35.5 JTAG Instruction Summary The implemented JTAG instructions in the 32-bit AVR are shown in the table below. Table 35-7. Instruction OPCODE JTAG Instruction Summary Instruction Description 0x01 IDCODE Select the 32-bit Device Identification register as data register. 0x02 SAMPLE_PRELOAD Take a snapshot of external pin values without affecting system operation. 0x03 EXTEST Select boundary-scan chain as data register for testing circuitry external to the device. 0x04 INTEST Select boundary-scan chain for internal testing of the device. 0x06 CLAMP Bypass device through Bypass register, while driving outputs from boundaryscan register. 0x0C AVR_RESET Apply or remove a static reset to the device 0x0F CHIP_ERASE Erase the device 0x10 NEXUS_ACCESS Select the SAB Address and Data registers as data register for the TAP. The registers are accessed in Nexus mode. 0x11 MEMORY_WORD_ACCESS Select the SAB Address and Data registers as data register for the TAP. 0x12 MEMORY_BLOCK_ACCESS Select the SAB Data register as data register for the TAP. The address is auto-incremented. 0x13 CANCEL_ACCESS Cancel an ongoing Nexus or Memory access. 0x14 MEMORY_SERVICE Select the SAB Address and Data registers as data register for the TAP. The registers are accessed in Memory Service mode. 0x15 MEMORY_SIZED_ACCESS Select the SAB Address and Data registers as data register for the TAP. 0x17 SYNC Synchronization counter 0x1C HALT Halt the CPU for safe programming. 0x1F BYPASS Bypass this device through the bypass register. N/A Acts as BYPASS Others 35.5.1 Security Restrictions When the security fuse in the Flash is programmed, the following JTAG instructions are restricted: * NEXUS_ACCESS * MEMORY_WORD_ACCESS * MEMORY_BLOCK_ACCESS * MEMORY_SIZED_ACCESS For description of what memory locations remain accessible, please refer to the SAB address map. Full access to these instructions is re-enabled when the security fuse is erased by the CHIP_ERASE JTAG instruction. Note that the security bit will read as programmed and block these instructions also if the Flash Controller is statically reset. 943 32072H-AVR32-10/2012 AT32UC3A3 Other security mechanisms can also restrict these functions. If such mechanisms are present they are listed in the SAB address map section. 35.5.1.1 Notation Table 35-9 on page 944 shows bit patterns to be shifted in a format like "peb01". Each character corresponds to one bit, and eight bits are grouped together for readability. The least significantbit is always shifted first, and the most significant bit shifted last. The symbols used are shown in Table 35-8. Table 35-8. Symbol Symbol Description Description 0 Constant low value - always reads as zero. 1 Constant high value - always reads as one. a An address bit - always scanned with the least significant bit first b A busy bit. Reads as one if the SAB was busy, or zero if it was not. See Section 35.4.10.4 for details on how the busy reporting works. d A data bit - always scanned with the least significant bit first. e An error bit. Reads as one if an error occurred, or zero if not. See Section 35.4.10.5 for details on how the error reporting works. p The chip protected bit. Some devices may be set in a protected state where access to chip internals are severely restricted. See the documentation for the specific device for details. On devices without this possibility, this bit always reads as zero. r A direction bit. Set to one to request a read, set to zero to request a write. s A size bit. The size encoding is described where used. x A don't care bit. Any value can be shifted in, and output data should be ignored. In many cases, it is not required to shift all bits through the data register. Bit patterns are shown using the full width of the shift register, but the suggested or required bits are emphasized using bold text. I.e. given the pattern "aaaaaaar xxxxxxxx xxxxxxxx xxxxxxxx xx", the shift register is 34 bits, but the test or debug unit may choose to shift only 8 bits "aaaaaaar". The following describes how to interpret the fields in the instruction description tables: Table 35-9. Instruction Description Instruction Description IR input value Shows the bit pattern to shift into IR in the Shift-IR state in order to select this instruction. The pattern is show both in binary and in hexadecimal form for convenience. Example: 10000 (0x10) IR output value Shows the bit pattern shifted out of IR in the Shift-IR state when this instruction is active. Example: peb01 944 32072H-AVR32-10/2012 AT32UC3A3 Table 35-9. 35.5.2 35.5.2.1 Instruction Description (Continued) Instruction Description DR Size Shows the number of bits in the data register chain when this instruction is active. Example: 34 bits DR input value Shows which bit pattern to shift into the data register in the Shift-DR state when this instruction is active. Multiple such lines may exist, e.g., to distinguish between reads and writes. Example: aaaaaaar xxxxxxxx xxxxxxxx xxxxxxxx xx DR output value Shows the bit pattern shifted out of the data register in the Shift-DR state when this instruction is active. Multiple such lines may exist, e.g., to distinguish between reads and writes. Example: xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb Public JTAG Instructions The JTAG standard defines a number of public JTAG instructions. These instructions are described in the sections below. IDCODE This instruction selects the 32 bit Device Identification register (DID) as Data Register. The DID register consists of a version number, a device number, and the manufacturer code chosen by JEDEC. This is the default instruction after a JTAG reset. Details about the DID register can be found in the module configuration section at the end of this chapter. Starting in Run-Test/Idle, the Device Identification register is accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Capture-DR: The IDCODE value is latched into the shift register. 7. In Shift-DR: The IDCODE scan chain is shifted by the TCK input. 8. Return to Run-Test/Idle. Table 35-10. IDCODE Details 35.5.2.2 Instructions Details IR input value 00001 (0x01) IR output value p0001 DR Size 32 DR input value xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx DR output value Device Identification Register SAMPLE_PRELOAD This instruction takes a snap-shot of the input/output pins without affecting the system operation, and pre-loading the scan chain without updating the DR-latch. The boundary-scan chain is selected as Data Register. Starting in Run-Test/Idle, the Device Identification register is accessed in the following way: 945 32072H-AVR32-10/2012 AT32UC3A3 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Capture-DR: The Data on the external pins are sampled into the boundary-scan chain. 7. In Shift-DR: The boundary-scan chain is shifted by the TCK input. 8. Return to Run-Test/Idle. Table 35-11. SAMPLE_PRELOAD Details 35.5.2.3 Instructions Details IR input value 00010 (0x02) IR output value p0001 DR Size Depending on boundary-scan chain, see BSDL-file. DR input value Depending on boundary-scan chain, see BSDL-file. DR output value Depending on boundary-scan chain, see BSDL-file. EXTEST This instruction selects the boundary-scan chain as Data Register for testing circuitry external to the 32-bit AVR package. The contents of the latched outputs of the boundary-scan chain is driven out as soon as the JTAG IR-register is loaded with the EXTEST instruction. Starting in Run-Test/Idle, the EXTEST instruction is accessed the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. In Update-IR: The data from the boundary-scan chain is applied to the output pins. 5. Return to Run-Test/Idle. 6. Select the DR Scan path. 7. In Capture-DR: The data on the external pins is sampled into the boundary-scan chain. 8. In Shift-DR: The boundary-scan chain is shifted by the TCK input. 9. In Update-DR: The data from the scan chain is applied to the output pins. 10. Return to Run-Test/Idle. Table 35-12. EXTEST Details Instructions Details IR input value 00011 (0x03) IR output value p0001 DR Size Depending on boundary-scan chain, see BSDL-file. DR input value Depending on boundary-scan chain, see BSDL-file. DR output value Depending on boundary-scan chain, see BSDL-file. 946 32072H-AVR32-10/2012 AT32UC3A3 35.5.2.4 INTEST This instruction selects the boundary-scan chain as Data Register for testing internal logic in the device. The logic inputs are determined by the boundary-scan chain, and the logic outputs are captured by the boundary-scan chain. The device output pins are driven from the boundary-scan chain. Starting in Run-Test/Idle, the INTEST instruction is accessed the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. In Update-IR: The data from the boundary-scan chain is applied to the internal logic inputs. 5. Return to Run-Test/Idle. 6. Select the DR Scan path. 7. In Capture-DR: The data on the internal logic is sampled into the boundary-scan chain. 8. In Shift-DR: The boundary-scan chain is shifted by the TCK input. 9. In Update-DR: The data from the boundary-scan chain is applied to internal logic inputs. 10. Return to Run-Test/Idle. Table 35-13. INTEST Details 35.5.2.5 Instructions Details IR input value 00100 (0x04) IR output value p0001 DR Size Depending on boundary-scan chain, see BSDL-file. DR input value Depending on boundary-scan chain, see BSDL-file. DR output value Depending on boundary-scan chain, see BSDL-file. CLAMP This instruction selects the Bypass register as Data Register. The device output pins are driven from the boundary-scan chain. Starting in Run-Test/Idle, the CLAMP instruction is accessed the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. In Update-IR: The data from the boundary-scan chain is applied to the output pins. 5. Return to Run-Test/Idle. 6. Select the DR Scan path. 7. In Capture-DR: A logic `0' is loaded into the Bypass Register. 8. In Shift-DR: Data is scanned from TDI to TDO through the Bypass register. 947 32072H-AVR32-10/2012 AT32UC3A3 9. Return to Run-Test/Idle. Table 35-14. CLAMP Details 35.5.2.6 Instructions Details IR input value 00110 (0x06) IR output value p0001 DR Size 1 DR input value x DR output value x BYPASS This instruction selects the 1-bit Bypass Register as Data Register. Starting in Run-Test/Idle, the CLAMP instruction is accessed the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Capture-DR: A logic `0' is loaded into the Bypass Register. 7. In Shift-DR: Data is scanned from TDI to TDO through the Bypass register. 8. Return to Run-Test/Idle. Table 35-15. BYPASS Details 35.5.3 35.5.3.1 Instructions Details IR input value 11111 (0x1F) IR output value p0001 DR Size 1 DR input value x DR output value x Private JTAG Instructions The 32-bit AVR defines a number of private JTAG instructions, not defined by the JTAG standard. Each instruction is briefly described in text, with details following in table form. NEXUS_ACCESS This instruction allows Nexus-compliant access to the On-Chip Debug registers through the SAB. The 7-bit register index, a read/write control bit, and the 32-bit data is accessed through the JTAG port. The data register is alternately interpreted by the SAB as an address register and a data register. The SAB starts in address mode after the NEXUS_ACCESS instruction is selected, and toggles between address and data mode each time a data scan completes with the busy bit cleared. NOTE: The polarity of the direction bit is inverse of the Nexus standard. 948 32072H-AVR32-10/2012 AT32UC3A3 Starting in Run-Test/Idle, OCD registers are accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Shift-DR: Scan in the direction bit (1=read, 0=write) and the 7-bit address for the OCD register. 7. Go to Update-DR and re-enter Select-DR Scan. 8. In Shift-DR: For a read operation, scan out the contents of the addressed register. For a write operation, scan in the new contents of the register. 9. Return to Run-Test/Idle. For any operation, the full 7 bits of the address must be provided. For write operations, 32 data bits must be provided, or the result will be undefined. For read operations, shifting may be terminated once the required number of bits have been acquired. Table 35-16. NEXUS_ACCESS Details 35.5.3.2 Instructions Details IR input value 10000 (0x10) IR output value peb01 DR Size 34 bits DR input value (Address phase) aaaaaaar xxxxxxxx xxxxxxxx xxxxxxxx xx DR input value (Data read phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xx DR input value (Data write phase) dddddddd dddddddd dddddddd dddddddd xx DR output value (Address phase) xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb DR output value (Data read phase) eb dddddddd dddddddd dddddddd dddddddd DR output value (Data write phase) xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb MEMORY_SERVICE This instruction allows access to registers in an optional Memory Service Unit. The 7-bit register index, a read/write control bit, and the 32-bit data is accessed through the JTAG port. The data register is alternately interpreted by the SAB as an address register and a data register. The SAB starts in address mode after the MEMORY_SERVICE instruction is selected, and toggles between address and data mode each time a data scan completes with the busy bit cleared. Starting in Run-Test/Idle, Memory Service registers are accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Shift-DR: Scan in the direction bit (1=read, 0=write) and the 7-bit address for the Memory Service register. 949 32072H-AVR32-10/2012 AT32UC3A3 7. Go to Update-DR and re-enter Select-DR Scan. 8. In Shift-DR: For a read operation, scan out the contents of the addressed register. For a write operation, scan in the new contents of the register. 9. Return to Run-Test/Idle. For any operation, the full 7 bits of the address must be provided. For write operations, 32 data bits must be provided, or the result will be undefined. For read operations, shifting may be terminated once the required number of bits have been acquired. Table 35-17. MEMORY_SERVICE Details 35.5.3.3 Instructions Details IR input value 10100 (0x14) IR output value peb01 DR Size 34 bits DR input value (Address phase) aaaaaaar xxxxxxxx xxxxxxxx xxxxxxxx xx DR input value (Data read phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xx DR input value (Data write phase) dddddddd dddddddd dddddddd dddddddd xx DR output value (Address phase) xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb DR output value (Data read phase) eb dddddddd dddddddd dddddddd dddddddd DR output value (Data write phase) xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb MEMORY_SIZED_ACCESS This instruction allows access to the entire Service Access Bus data area. Data is accessed through a 36-bit byte index, a 2-bit size, a direction bit, and 8, 16, or 32 bits of data. Not all units mapped on the SAB bus may support all sizes of accesses, e.g., some may only support word accesses. The data register is alternately interpreted by the SAB as an address register and a data register. The SAB starts in address mode after the MEMORY_SIZED_ACCESS instruction is selected, and toggles between address and data mode each time a data scan completes with the busy bit cleared. 950 32072H-AVR32-10/2012 AT32UC3A3 The size field is encoded as i Table 35-18. Table 35-18. Size Field Semantics Size field value Access size Data alignment Byte (8 bits) Address modulo 4 : data alignment 0: dddddddd xxxxxxxx xxxxxxxx xxxxxxxx 1: xxxxxxxx dddddddd xxxxxxxx xxxxxxxx 2: xxxxxxxx xxxxxxxx dddddddd xxxxxxxx 3: xxxxxxxx xxxxxxxx xxxxxxxx dddddddd Halfword (16 bits) Address modulo 4 : data alignment 0: dddddddd dddddddd xxxxxxxx xxxxxxxx 1: Not allowed 2: xxxxxxxx xxxxxxxx dddddddd dddddddd 3: Not allowed 10 Word (32 bits) Address modulo 4 : data alignment 0: dddddddd dddddddd dddddddd dddddddd 1: Not allowed 2: Not allowed 3: Not allowed 11 Reserved N/A 00 01 Starting in Run-Test/Idle, SAB data is accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Shift-DR: Scan in the direction bit (1=read, 0=write), 2-bit access size, and the 36-bit address of the data to access. 7. Go to Update-DR and re-enter Select-DR Scan. 8. In Shift-DR: For a read operation, scan out the contents of the addressed area. For a write operation, scan in the new contents of the area. 9. Return to Run-Test/Idle. For any operation, the full 36 bits of the address must be provided. For write operations, 32 data bits must be provided, or the result will be undefined. For read operations, shifting may be terminated once the required number of bits have been acquired. Table 35-19. MEMORY_SIZED_ACCESS Details Instructions Details IR input value 10101 (0x15) IR output value peb01 DR Size 39 bits DR input value (Address phase) aaaaaaaa aaaaaaaa aaaaaaaa aaaaaaaa aaaassr DR input value (Data read phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxx DR input value (Data write phase) dddddddd dddddddd dddddddd dddddddd xxxxxxx 951 32072H-AVR32-10/2012 AT32UC3A3 Table 35-19. MEMORY_SIZED_ACCESS Details (Continued) 35.5.3.4 Instructions Details DR output value (Address phase) xxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb DR output value (Data read phase) xxxxxeb dddddddd dddddddd dddddddd dddddddd DR output value (Data write phase) xxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb MEMORY_WORD_ACCESS This instruction allows access to the entire Service Access Bus data area. Data is accessed through the 34 MSB of the SAB address, a direction bit, and 32 bits of data. This instruction is identical to MEMORY_SIZED_ACCESS except that it always does word sized accesses. The size field is implied, and the two lowest address bits are removed and not scanned in. Note: This instruction was previously known as MEMORY_ACCESS, and is provided for backwards compatibility. The data register is alternately interpreted by the SAB as an address register and a data register. The SAB starts in address mode after the MEMORY_WORD_ACCESS instruction is selected, and toggles between address and data mode each time a data scan completes with the busy bit cleared. Starting in Run-Test/Idle, SAB data is accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Shift-DR: Scan in the direction bit (1=read, 0=write) and the 34-bit address of the data to access. 7. Go to Update-DR and re-enter Select-DR Scan. 8. In Shift-DR: For a read operation, scan out the contents of the addressed area. For a write operation, scan in the new contents of the area. 9. Return to Run-Test/Idle. For any operation, the full 34 bits of the address must be provided. For write operations, 32 data bits must be provided, or the result will be undefined. For read operations, shifting may be terminated once the required number of bits have been acquired. Table 35-20. MEMORY_WORD_ACCESS Details Instructions Details IR input value 10001 (0x11) IR output value peb01 DR Size 35 bits DR input value (Address phase) aaaaaaaa aaaaaaaa aaaaaaaa aaaaaaaa aar DR input value (Data read phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xxx DR input value (Data write phase) dddddddd dddddddd dddddddd dddddddd xxx 952 32072H-AVR32-10/2012 AT32UC3A3 Table 35-20. MEMORY_WORD_ACCESS Details (Continued) 35.5.3.5 Instructions Details DR output value (Address phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xeb DR output value (Data read phase) xeb dddddddd dddddddd dddddddd dddddddd DR output value (Data write phase) xxx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb MEMORY_BLOCK_ACCESS This instruction allows access to the entire SAB data area. Up to 32 bits of data is accessed at a time, while the address is sequentially incremented from the previously used address. In this mode, the SAB address, size, and access direction is not provided with each access. Instead, the previous address is auto-incremented depending on the specified size and the previous operation repeated. The address must be set up in advance with MEMORY_SIZE_ACCESS or MEMORY_WORD_ACCESS. It is allowed, but not required, to shift data after shifting the address. This instruction is primarily intended to speed up large quantities of sequential word accesses. It is possible to use it also for byte and halfword accesses, but the overhead in this is case much larger as 32 bits must still be shifted for each access. The following sequence should be used: 1. Use the MEMORY_SIZE_ACCESS or MEMORY_WORD_ACCESS to read or write the first location. 2. Return to Run-Test/Idle. 3. Select the IR Scan path. 4. In Capture-IR: The IR output value is latched into the shift register. 5. In Shift-IR: The instruction register is shifted by the TCK input. 6. Return to Run-Test/Idle. 7. Select the DR Scan path. The address will now have incremented by 1, 2, or 4 (corresponding to the next byte, halfword, or word location). 8. In Shift-DR: For a read operation, scan out the contents of the next addressed location. For a write operation, scan in the new contents of the next addressed location. 9. Go to Update-DR. 10. If the block access is not complete, return to Select-DR Scan and repeat the access. 11. If the block access is complete, return to Run-Test/Idle. For write operations, 32 data bits must be provided, or the result will be undefined. For read operations, shifting may be terminated once the required number of bits have been acquired. Table 35-21. MEMORY_BLOCK_ACCESS Details Instructions Details IR input value 10010 (0x12) IR output value peb01 DR Size 34 bits DR input value (Data read phase) xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxxx xx 953 32072H-AVR32-10/2012 AT32UC3A3 Table 35-21. MEMORY_BLOCK_ACCESS Details (Continued) Instructions Details DR input value (Data write phase) dddddddd dddddddd dddddddd dddddddd xx DR output value (Data read phase) eb dddddddd dddddddd dddddddd dddddddd DR output value (Data write phase) xx xxxxxxxx xxxxxxxx xxxxxxxx xxxxxxeb The overhead using block word access is 4 cycles per 32 bits of data, resulting in an 88% transfer efficiency, or 2.1 MBytes per second with a 20 MHz TCK frequency. 35.5.3.6 CANCEL_ACCESS If a very slow memory location is accessed during a SAB memory access, it could take a very long time until the busy bit is cleared, and the SAB becomes ready for the next operation. The CANCEL_ACCESS instruction provides a possibility to abort an ongoing transfer and report a timeout to the JTAG master. When the CANCEL_ACCESS instruction is selected, the current access will be terminated as soon as possible. There are no guarantees about how long this will take, as the hardware may not always be able to cancel the access immediately. The SAB is ready to respond to a new command when the busy bit clears. Starting in Run-Test/Idle, CANCEL_ACCESS is accessed in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. Table 35-22. CANCEL_ACCESS Details 35.5.3.7 Instructions Details IR input value 10011 (0x13) IR output value peb01 DR Size 1 DR input value x DR output value 0 SYNC This instruction allows external debuggers and testers to measure the ratio between the external JTAG clock and the internal system clock. The SYNC data register is a 16-bit counter that counts down to zero using the internal system clock. The busy bit stays high until the counter reaches zero. Starting in Run-Test/Idle, SYNC instruction is used in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 954 32072H-AVR32-10/2012 AT32UC3A3 6. Scan in an 16-bit counter value. 7. Go to Update-DR and re-enter Select-DR Scan. 8. In Shift-DR: Scan out the busy bit, and until the busy bit clears goto 7. 9. Calculate an approximation to the internal clock speed using the elapsed time and the counter value. 10. Return to Run-Test/Idle. The full 16-bit counter value must be provided when starting the synch operation, or the result will be undefined. When reading status, shifting may be terminated once the required number of bits have been acquired. Table 35-23. SYNC_ACCESS Details 35.5.3.8 Instructions Details IR input value 10111 (0x17) IR output value peb01 DR Size 16 bits DR input value dddddddd dddddddd DR output value xxxxxxxx xxxxxxeb AVR_RESET This instruction allows a debugger or tester to directly control separate reset domains inside the chip. The shift register contains one bit for each controllable reset domain. Setting a bit to one resets that domain and holds it in reset. Setting a bit to zero releases the reset for that domain. The AVR_RESET instruction can be used in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 6. In Shift-DR: Scan in the value corresponding to the reset domains the JTAG master wants to reset into the data register. 7. Return to Run-Test/Idle. 8. Stay in run test idle for at least 10 TCK clock cycles to let the reset propagate to the system. See the device specific documentation for the number of reset domains, and what these domains are. For any operation, all bits must be provided or the result will be undefined. Table 35-24. AVR_RESET Details Instructions Details IR input value 01100 (0x0C) IR output value p0001 955 32072H-AVR32-10/2012 AT32UC3A3 Table 35-24. AVR_RESET Details (Continued) 35.5.3.9 Instructions Details DR Size Device specific. DR input value Device specific. DR output value Device specific. CHIP_ERASE This instruction allows a programmer to completely erase all nonvolatile memories in a chip. This will also clear any security bits that are set, so the device can be accessed normally. In devices without non-volatile memories this instruction does nothing, and appears to complete immediately. The erasing of non-volatile memories starts as soon as the CHIP_ERASE instruction is selected. The CHIP_ERASE instruction selects a 1 bit bypass data register. A chip erase operation should be performed as: 1. Reset the system and stop the CPU from executing. 2. Select the IR Scan path. 3. In Capture-IR: The IR output value is latched into the shift register. 4. In Shift-IR: The instruction register is shifted by the TCK input. 5. Check the busy bit that was scanned out during Shift-IR. If the busy bit was set goto 2. 6. Return to Run-Test/Idle. Table 35-25. CHIP_ERASE Details 35.5.3.10 Instructions Details IR input value 01111 (0x0F) IR output value p0b01 Where b is the busy bit. DR Size 1 bit DR input value x DR output value 0 HALT This instruction allows a programmer to easily stop the CPU to ensure that it does not execute invalid code during programming. This instruction selects a 1-bit halt register. Setting this bit to one resets the device and halts the CPU. Setting this bit to zero resets the device and releases the CPU to run normally. The value shifted out from the data register is one if the CPU is halted. The HALT instruction can be used in the following way: 1. Select the IR Scan path. 2. In Capture-IR: The IR output value is latched into the shift register. 3. In Shift-IR: The instruction register is shifted by the TCK input. 4. Return to Run-Test/Idle. 5. Select the DR Scan path. 956 32072H-AVR32-10/2012 AT32UC3A3 6. In Shift-DR: Scan in the value 1 to halt the CPU, 0 to start CPU execution. 7. Return to Run-Test/Idle. Table 35-26. HALT Details Instructions Details IR input value 11100 (0x1C) IR output value p0001 DR Size 1 bit DR input value d DR output value d 957 32072H-AVR32-10/2012 AT32UC3A3 35.5.4 35.5.4.1 JTAG Data Registers The following device specific registers can be selected as JTAG scan chain depending on the instruction loaded in the JTAG Instruction Register. Additional registers exist, but are implicitly described in the functional description of the relevant instructions. Device Identification Register The Device Identification Register contains a unique identifier for each product. The register is selected by the IDCODE instruction, which is the default instruction after a JTAG reset. MSB Bit LSB 31 Device ID 28 27 12 11 1 0 Revision Part Number Manufacturer ID 1 4 bits 16 bits 11 bits 1 bit Revision This is a 4 bit number identifying the revision of the component. Rev A = 0x0, B = 0x1, etc. Part Number The part number is a 16 bit code identifying the component. Manufacturer ID The Manufacturer ID is a 11 bit code identifying the manufacturer. The JTAG manufacturer ID for ATMEL is 0x01F. *Device specific ID codes The different device configurations have different JTAG ID codes, as shown in Table 35-27. Note that if the flash controller is statically reset, the ID code will be undefined. Table 35-27. Device and JTAG ID Device name JTAG ID code (r is the revision number) AT32UC3A3256S 0xr202003F AT32UC3A3128S 0xr202103F AT32UC3A364S 0xr202203F AT32UC3A3256 0xr202603F AT32UC3A3128 0xr202703F AT32UC3A364 0xr202803F AT32UC3A4256S 0xr202903F AT32UC3A4128S 0xr202a03F AT32UC3A464S 0xr202b03F AT32UC3A4256 0xr202c03F AT32UC3A128 0xr202d03F AT32UC3A64 0xr202e03F 958 32072H-AVR32-10/2012 AT32UC3A3 35.5.4.2 Reset register The reset register is selected by the AVR_RESET instruction and contains one bit for each reset domain in the device. Setting each bit to one will keep that domain reset until the bit is cleared. LSB Bit Device ID 4 3 2 1 0 OCD APP RESERVED RESERVED CPU CPU CPU APP HSB and PB buses OCD On-Chip Debug logic and registers RSERVED No effect Note: This register is primarily intended for compatibility with other 32-bit AVR devices. Certain operations may not function correctly when parts of the system are reset. It is generally recommended to only write 0x11111 or 0x00000 to these bits to ensure no unintended side effects occur. 35.5.4.3 Boundary-Scan Chain The Boundary-Scan Chain has the capability of driving and observing the logic levels on the digital I/O pins, as well as driving and observing the logic levels between the digital I/O pins and the internal logic. Typically, output value, output enable, and input data are all available in the boundary scan chain. The boundary scan chain is described in the BDSL (Boundary Scan Description Language) file available at the Atmel web site. 959 32072H-AVR32-10/2012 AT32UC3A3 36. Electrical Characteristics 36.1 Absolute Maximum Ratings* Operating Temperature.................................... -40C to +85C Storage Temperature ..................................... -60C to +150C Voltage on Input Pin with respect to Ground ........................................-0.3V to 3.6V Maximum Operating Voltage (VDDCORE) ..................... 1.95V *NOTICE: Stresses beyond those listed under "Absolute Maximum Ratings" may cause permanent damage to the device. This is a stress rating only and functional operation of the device at these or other conditions beyond those indicated in the operational sections of this specification is not implied. Exposure to absolute maximum rating conditions for extended periods may affect device reliability. Maximum Operating Voltage (VDDIO).............................. 3.6V Total DC Output Current on all I/O Pin for TQFP144 package ................................................. 370 mA for TFBGA144 package ............................................... 370 mA 960 32072H-AVR32-10/2012 AT32UC3A3 36.2 DC Characteristics The following characteristics are applicable to the operating temperature range: T A = -40C to 85C, unless otherwise specified and are certified for a junction temperature up toTJ = 100C. Table 36-1. DC Characteristics Symbol Parameter VVDDIO DC Supply Peripheral I/Os VVDDANA DC Analog Supply Conditions Min. Max. Unit 3.0 3.6 V 3.0 3.6 V -0.3 +0.8 V TWCK, TWD VVDDIO x0.7 VVDDIO +0.5 V RESET_N, TCK, TDI +0.8V All I/O pins except TWCK, TWD, RESET_N, TCK, TDI VIL Input Low-level Voltage All I/O pins except TWCK, TWD VIH Input High-level Voltage VOL Output Low-level Voltage IOL = -2mA for Pin drive x1 IOL = -4mA for Pin drive x2 IOL = -8mA for Pin drive x3 VOH Output High-level Voltage IOH = 2mA for Pin drive x1 IOH = 4mA for Pin drive x2 IOH = 8mA for Pin drive x3 ILEAK Input Leakage Current Pullup resistors disabled CIN Input Capacitance RPULLUP Typ. V 2.0 3.6 TWCK, TWD Pull-up Resistance IO Output Current Pin drive 1x Pin drive 2x Pin drive 3x ISC Static Current V 0.4 VVDDIO -0.4 0.05 All I/O pins except RESET_N, TCK, TDI, TMS 9 RESET_N, TCK, TDI, TMS 5 V V 1 7 On VVDDIN = 3.3V, CPU in static mode V 15 A pF 25 K 25 K 2.0 4.0 8.0 mA TA = 25C 30 A TA = 85C 175 A 961 32072H-AVR32-10/2012 AT32UC3A3 36.2.1 I/O Pin Output Level Typical Characteristics Figure 36-1. I/O Pin drive x2 Output Low Level Voltage (VOL) vs. Source Current VddIo = 3.3V 1,8 90 1,6 25 1,4 -45 Voltage [V 1,2 1 0,8 0,6 0,4 0,2 0 0 5 10 15 20 Load current [mA] Figure 36-2. I/O Pin drive x2 Output High Level Voltage (VOH) vs. Source Current VddIo = 3.3V 3,5 3 Voltage [V 2,5 -45 25 90 2 1,5 1 0,5 0 0 5 10 15 20 Load current [mA] 36.3 I/O pin Characteristics These parameters are given in the following conditions: * VDDCORE = 1.8V * VDDIO = 3.3V * Ambient Temperature = 25C 962 32072H-AVR32-10/2012 AT32UC3A3 Table 36-2. Symbol fMAX Parameter Output frequency Rise time tRISE Fall time tFALL 36.4 Normal I/O Pin Characteristics Conditions drive x2 drive x2 drive x3 Unit 10pf 40 66 100 MHz 30pf 18.2 35.7 61.6 MHz 60pf 7.5 18.5 36.3 MHz 10pf 2.7 1.4 0.9 ns 30pf 6.9 3.5 1.9 ns 60pf 13.4 6.7 3.5 ns 10pf 3.2 1.7 0.9 ns 30pf 8.6 4.3 2.26 ns 60pf 16.5 8.3 4.3 ns Regulator characteristics Table 36-3. Electrical Characteristics Symbol Parameter VVDDIN Min. Typ. Max. Unit Supply voltage (input) 3.0 3.3 3.6 V VVDDCORE Supply voltage (output) 1.75 1.85 1.95 V IOUT Maximum DC output current 100 mA Typ. Technology Unit Table 36-4. Conditions VVDDIN = 3.3V Decoupling Requirements Symbol Parameter Conditions CIN1 Input Regulator Capacitor 1 1 NPO nF CIN2 Input Regulator Capacitor 2 4.7 X7R F COUT1 Output Regulator Capacitor 1 470 NPO pF COUT2 Output Regulator Capacitor 2 2.2 X7R F 963 32072H-AVR32-10/2012 AT32UC3A3 36.5 Analog characteristics 36.5.1 ADC Table 36-5. Electrical Characteristics Symbol Parameter VVDDANA Analog Power Supply Table 36-6. Conditions Typ. Max. Unit 3.0 3.6 V Typ. Technology Unit 100 NPO nF Decoupling Requirements Symbol Parameter CVDDANA Power Supply Capacitor 36.5.2 Min. Conditions BOD Table 36-7. Symbol 1.8V BOD Level Values Parameter Value Conditions Min. Typ. Max. Unit 00 1111b 1.79 V 01 0111b 1.70 V 01 1111b 1.61 V 10 0111b 1.52 V BODLEVEL Table 36-7 describes the values of the BODLEVEL field in the flash FGPFR register. Table 36-8. Symbol BOD33LEVEL 3.3V BOD Level Values Parameter Value Conditions Min. Typ. Max. Unit Reset value 2.71 V 1011 2.27 V 1010 2.37 V 1001 2.46 V 1000 2.56 V 0111 2.66 V 0110 2.76 V 0101 2.86 V 0100 2.96 V 0011 3.06 V 0010 3.15 V 0001 3.25 V 0000 3.35 V Table 36-8 describes the values of the BOD33.LEVEL field in the PM module 964 32072H-AVR32-10/2012 AT32UC3A3 Table 36-9. BOD Timing Symbol Parameter Conditions TBOD Minimum time with VDDCORE < VBOD to detect power failure Falling VDDCORE from 1.8V to 1.1V 36.5.3 Min. Typ. Max. Unit 300 800 ns Typ. Max. Unit Reset Sequence Table 36-10. Electrical Characteristics Symbol Parameter Conditions Min. VDDRR VDDIN/VDDIO rise rate to ensure power-on-reset VPOR+ Rising threshold voltage: voltage up to which device is kept under reset by POR on rising VDDIN Rising VDDIN: VRESTART -> VPOR+ 2.7 V VPOR- Falling threshold voltage: voltage when POR resets device on falling VDDIN Falling VDDIN: 3.3V -> VPOR- 2.7 V VRESTART On falling VDDIN, voltage must go down to this value before supply can rise again to ensure reset signal is released at VPOR+ Falling VDDIN: 3.3V -> VRESTART TSSU1 Time for Cold System Startup: Time for CPU to fetch its first instruction (RCosc not calibrated) TSSU2 Time for Hot System Startup: Time for CPU to fetch its first instruction (RCosc calibrated) 0.8 V/ms 480 420 0.2 V 960 s s 965 32072H-AVR32-10/2012 AT32UC3A3 Figure 36-3. MCU Cold Start-Up VDDIN VDDIO VBOD33LEVEL VBOD33LEVEL VRESTART RESET_N Internal BOD33 Reset TSSU1 Internal MCU Reset Figure 36-4. MCU Cold Start-Up RESET_N Externally Driven VDDIN VDDIO VBOD33LEVEL VBOD33LEVEL VRESTART RESET_N Internal BOD33 Reset TSSU1 Internal MCU Reset Figure 36-5. MCU Hot Start-Up VDDIN VDDIO RESET_N BOD Reset WDT Reset TSSU2 Internal MCU Reset 966 32072H-AVR32-10/2012 AT32UC3A3 36.5.4 RESET_N Characteristics Table 36-11. RESET_N Waveform Parameters Symbol Parameter tRESET RESET_N minimum pulse width Conditions Min. 10 Typ. Max. Unit ns 967 32072H-AVR32-10/2012 AT32UC3A3 36.6 Power Consumption The values in Table 36-12 and Table 36-13 on page 970 are measured values of power consumption with operating conditions as follows: *VDDIO = 3.3V *TA = 25C *I/Os are configured in input, pull-up enabled. Figure 36-6. Measurement Setup VDDANA VDDIO Amp0 VDDIN Internal Voltage Regulator VDDCORE GNDCORE GNDPLL These figures represent the power consumption measured on the power supplies 968 32072H-AVR32-10/2012 AT32UC3A3 Power Consumtion for Different Sleep Modes 36.6.1 Table 36-12. Power Consumption for Different Sleep Modes Conditions(1) Mode Typ. Unit - CPU running a recursive Fibonacci Algorithm from flash and clocked from PLL0 at f MHz. - Flash High Speed mode disable (f < 66 MHz) - Voltage regulator is on. - XIN0: external clock. Xin1 Stopped. XIN32 stopped. - All peripheral clocks activated with a division by 8. - GPIOs are inactive with internal pull-up, JTAG unconnected with external pullup and Input pins are connected to GND 0.626xf(MHz)+2.257 mA/MHz Same conditions with Flash High Speed mode enable (66< f < 84 MHz) 0.670xf(MHz)+2.257 mA/MHz 40 mA See Active mode conditions 0.349xf(MHz)+0.968 mA/MHz Same conditions at 60 MHz 21.8 mA See Active mode conditions 0.098xf(MHz)+1.012 mA/MHz Same conditions at 60 MHz 6.6 mA See Active mode conditions 0.066xf(MHz)+1.010 mA/MHz Same conditions at 60 MHz 4.6 mA Stop - CPU running in sleep mode - XIN0, Xin1 and XIN32 are stopped. - All peripheral clocks are desactived. - GPIOs are inactive with internal pull-up, JTAG unconnected with external pullup and Input pins are connected to GND. 96 A Deepstop See Stop mode conditions 54 A Static TA = 25 C CPU is in static mode GPIOs on internal pull-up All peripheral clocks de-activated DM and DP pins connected to ground XIN0, Xin1 and XIN32 are stopped 31 A Active Same conditions with Flash High Speed mode disable at 60 MHz Idle Frozen Standby Notes: on Amp0 1. Core frequency is generated from XIN0 using the PLL. 969 32072H-AVR32-10/2012 AT32UC3A3 Table 36-13. Typical Cuurent Consumption by Peripheral Peripheral Typ. ADC 7 AES 80 ABDAC 10 DMACA 70 EBI 23 EIC 0.5 GPIO 37 INTC 3 MCI 40 MSI 10 PDCA 20 SDRAM 5 SMC 9 SPI 6 SSC 10 RTC 5 TC 8 TWIM 2 TWIS 2 USART 10 USBB 90 WDT 2 Unit A/MHz 970 32072H-AVR32-10/2012 AT32UC3A3 36.7 System Clock Characteristics These parameters are given in the following conditions: * VDDCORE = 1.8V 36.7.1 CPU/HSB Clock Characteristics Table 36-14. Core Clock Waveform Parameters Symbol Parameter Conditions 1/(tCPCPU) CPU Clock Frequency 1/(tCPCPU) CPU Clock Frequency 36.7.2 Min. Typ. Max. Unit -40C < Ambient Temperature < 70C 84 MHz -40C < Ambient Temperature < 85C 66 MHz Max. Unit PBA Clock Characteristics Table 36-15. PBA Clock Waveform Parameters Symbol Parameter Conditions 1/(tCPPBA) PBA Clock Frequency -40C < Ambient Temperature < 70C 84 MHz 1/(tCPPBA) PBA Clock Frequency -40C < Ambient Temperature < 85C 66 MHz 36.7.3 Min. Typ. PBB Clock Characteristics Table 36-16. PBB Clock Waveform Parameters Symbol Parameter Conditions 1/(tCPPBB) PBB Clock Frequency 1/(tCPPBB) PBB Clock Frequency Min. Typ. Max. Unit -40C < Ambient Temperature < 70C 84 MHz -40C < Ambient Temperature < 85C 66 MHz 971 32072H-AVR32-10/2012 AT32UC3A3 36.8 Oscillator Characteristics The following characteristics are applicable to the operating temperature range: TA = -40C to 85C and worst case of power supply, unless otherwise specified. 36.8.1 Slow Clock RC Oscillator Table 36-17. RC Oscillator Frequency Symbol Parameter Conditions Min. Calibration point: TA = 85C FRC RC Oscillator Frequency 36.8.2 TA = 25C Typ. Max. Unit 115.2 116 KHz 112 KHz KHz TA = -40C 105 108 Conditions Min. Typ. 32 KHz Oscillator Table 36-18. 32 KHz Oscillator Characteristics Symbol Parameter 1/(tCP32KHz) Oscillator Frequency CL Equivalent Load Capacitance ESR Crystal Equivalent Series Resistance External clock on XIN32 Crystal Max. Unit 30 MHz 32 768 6 (1) CL = 6pF CL = 12.5pF(1) Hz 12.5 pF 100 K 600 1200 ms tST Startup Time tCH XIN32 Clock High Half-period 0.4 tCP 0.6 tCP tCL XIN32 Clock Low Half-period 0.4 tCP 0.6 tCP CIN XIN32 Input Capacitance IOSC Current Consumption Note: 5 pF Active mode 1.8 A Standby mode 0.1 A 1. CL is the equivalent load capacitance. 972 32072H-AVR32-10/2012 AT32UC3A3 36.8.3 Main Oscillators Table 36-19. Main Oscillators Characteristics Symbol Parameter 1/(tCPMAIN) Oscillator Frequency CL1, CL2 Internal Load Capacitance (CL1 = CL2) ESR Crystal Equivalent Series Resistance Conditions Min. Typ. External clock on XIN Crystal 0.4 Max. Unit 50 MHz 20 MHz 7 Duty Cycle 40 f = 400 KHz f = 8 MHz f = 16 MHz f = 20 MHz 50 pF 75 60 % 25 4 1.4 1 tST Startup Time tCH XIN Clock High Half-period 0.4 tCP 0.6 tCP tCL XIN Clock Low Half-period 0.4 tCP 0.6 tCP CIN XIN Input Capacitance IOSC 36.8.4 Current Consumption Active mode at 400 KHz. Gain = G0 Active mode at 8 MHz. Gain = G1 Active mode at 16 MHz. Gain = G2 Active mode at 20 MHz. Gain = G3 ms 7 pF 30 45 95 205 A Phase Lock Loop (PLL0, PLL1) Table 36-20. PLL Characteristics Symbol Parameter FOUT VCO Output Frequency FIN Input Frequency (after input divider) IPLL Current Consumption 36.8.5 Conditions Min. Typ. Max. Unit 80 240 MHz 4 16 MHz Active mode (Fout=80 MHz) 250 A Active mode (Fout=240 MHz) 600 A USB Hi-Speed Phase Lock Loop Table 36-21. PLL Characteristics Symbol Parameter Conditions Min. Typ. FOUT VCO Output Frequency 480 MHz FIN Input Frequency 12 MHz Delta FIN Input Frequency Accuracy (applicable to Clock signal on XIN or to Quartz tolerance) IPLL Current Consumption -500 Active mode @480MHz @1.8V Max. +500 2.5 Unit ppm mA 973 32072H-AVR32-10/2012 AT32UC3A3 36.9 ADC Characteristics Table 36-22. Channel Conversion Time and ADC Clock Parameter Conditions ADC Clock Frequency Startup Time Max. Unit 10-bit resolution mode 5 MHz 8-bit resolution mode 8 MHz Return from Idle Mode 20 s Track and Hold Acquisition Time Min. Typ. 600 ns ADC Clock = 5 MHz Conversion Time Throughput Rate 2 s ADC Clock = 8 MHz 1.25 s ADC Clock = 5 MHz 384 (1) kSPS ADC Clock = 8 MHz 533 (2) kSPS 1. Corresponds to 13 clock cycles: 3 clock cycles for track and hold acquisition time and 10 clock cycles for conversion. 2. Corresponds to 15 clock cycles: 5 clock cycles for track and hold acquisition time and 10 clock cycles for conversion. Table 36-23. ADC Power Consumption Parameter Current Consumption on VDDANA Conditions (1) Min. Typ. On 13 samples with ADC clock = 5 MHz Max. Unit 1.25 mA Max. Unit VDDANA V 1 A 1. Including internal reference input current Table 36-24. Analog Inputs Parameter Conditions Input Voltage Range Min. Typ. 0 Input Leakage Current Input Capacitance 7 Input Resistance pF 350 850 Ohm Typ. Max. Unit ADC Clock = 5 MHz 0.8 LSB ADC Clock = 8 MHz 1.5 LSB Table 36-25. Transfer Characteristics in 8-bit mode Parameter Conditions Min. Resolution Absolute Accuracy Integral Non-linearity Differential Non-linearity 8 Bit ADC Clock = 5 MHz 0.35 0.5 LSB ADC Clock = 8 MHz 0.5 1.5 LSB ADC Clock = 5 MHz 0.3 0.5 LSB ADC Clock = 8 MHz 0.5 1.5 LSB Offset Error ADC Clock = 5 MHz -1.5 1.5 LSB Gain Error ADC Clock = 5 MHz -0.5 0.5 LSB 974 32072H-AVR32-10/2012 AT32UC3A3 Table 36-26. Transfer Characteristics in 10-bit mode Parameter Conditions Min. Typ. Resolution Max. Unit 3 LSB 10 Bit Absolute Accuracy ADC Clock = 5 MHz Integral Non-linearity ADC Clock = 5 MHz 1.5 2 LSB ADC Clock = 5 MHz 1 2 LSB 0.6 1 LSB Differential Non-linearity ADC Clock = 2.5 MHz Offset Error ADC Clock = 5 MHz -2 2 LSB Gain Error ADC Clock = 5 MHz -2 2 LSB Max. Unit 36.10 USB Transceiver Characteristics 36.10.1 Electrical Characteristics Table 36-27. Electrical Parameters Symbol Parameter Conditions REXT Recommended External USB Series Resistor In series with each USB pin with 5% RBIAS VBIAS External Resistor (1) 1% CBIAS VBIAS External Capcitor Min. Typ. 39 6810 10 pF 1. The USB on-chip buffers comply with the Universal Serial Bus (USB) v2.0 standard. All AC parameters related to these buffers can be found within the USB 2.0 electrical specifications. 36.10.2 Static Power Consumption Table 36-28. Static Power Consumption Symbol Parameter IBIAS IVDDUTMI 36.10.3 Max. Unit Bias current consumption on VBG 1 A HS Transceiver and I/O current consumption 8 A 3 A Typ. Max. Unit 0.7 0.8 mA FS/HS Transceiver and I/O current consumption Conditions Min. Typ. If cable is connected, add 200A (typical) due to Pull-up/Pull-down current consumption Dynamic Power Consumption Table 36-29. Dynamic Power Consumption Symbol Parameter IBIAS Bias current consumption on VBG Conditions Min. 975 32072H-AVR32-10/2012 AT32UC3A3 Table 36-29. Dynamic Power Consumption Symbol IVDDUTMI 1. 34.5.5 Parameter Conditions HS Transceiver current consumption Min. Typ. Max. Unit HS transmission 47 60 mA HS Transceiver current consumption HS reception 18 27 mA FS/HS Transceiver current consumption FS transmission 0m cable (1) 4 6 mA FS/HS Transceiver current consumption FS transmission 5m cable 26 30 mA FS/HS Transceiver current consumption FS reception 3 4.5 mA Including 1 mA due to Pull-up/Pull-down current consumption. USB High Speed Design Guidelines In order to facilitate hardware design, Atmel provides an application note on www.atmel.com. 976 32072H-AVR32-10/2012 AT32UC3A3 36.11 EBI Timings 36.11.1 SMC Signals These timings are given for worst case process, T = 85C, VDDIO = 3V and 40 pF load capacitance. Table 36-30. SMC Clock Signal Symbol Parameter Max.(1) Unit 1/(tCPSMC) SMC Controller Clock Frequency 1/(tcpcpu) MHz Note: 1. The maximum frequency of the SMC interface is the same as the max frequency for the HSB. Table 36-31. SMC Read Signals with Hold Settings Symbol Parameter Min. Unit NRD Controlled (READ_MODE = 1) SMC1 Data Setup before NRD High 12 ns SMC2 Data Hold after NRD High 0 ns SMC3 NRD High to NBS0/A0 Change(1) nrd hold length * tCPSMC - 1.3 ns nrd hold length * tCPSMC - 1.3 ns nrd hold length * tCPSMC - 1.3 ns nrd hold length * tCPSMC - 1.3 ns (nrd hold length - ncs rd hold length) * tCPSMC - 2.3 ns nrd pulse length * tCPSMC - 1.4 ns SMC4 NRD High to NBS1 Change (1) (1) SMC5 NRD High to NBS2/A1 Change SMC7 NRD High to A2 - A23 Change(1) SMC8 NRD High to NCS Inactive SMC9 NRD Pulse Width (1) NRD Controlled (READ_MODE = 0) SMC10 Data Setup before NCS High SMC11 Data Hold after NCS High 11.5 ns 0 ns (1) ncs rd hold length * tCPSMC - 2.3 ns SMC13 (1) NCS High to NBS0/A0 Change ncs rd hold length * tCPSMC - 2.3 ns SMC14 NCS High to NBS2/A1 Change(1) ncs rd hold length * tCPSMC - 2.3 ns SMC16 NCS High to A2 - A23 Change(1) ncs rd hold length * tCPSMC - 4 ns ncs rd hold length - nrd hold length)* tCPSMC - 1.3 ns ncs rd pulse length * tCPSMC - 3.6 ns SMC12 NCS High to NBS0/A0 Change (1) SMC17 NCS High to NRD Inactive SMC18 NCS Pulse Width Note: 1. hold length = total cycle duration - setup duration - pulse duration. "hold length" is for "ncs rd hold length" or "nrd hold length". 977 32072H-AVR32-10/2012 AT32UC3A3 Table 36-32. SMC Read Signals with no Hold Settings Symbol Parameter Min. Unit 13.7 ns 1 ns 13.3 ns 0 ns Min. Unit NRD Controlled (READ_MODE = 1) SMC19 Data Setup before NRD High SMC20 Data Hold after NRD High NRD Controlled (READ_MODE = 0) SMC21 Data Setup before NCS High SMC22 Data Hold after NCS High Table 36-33. SMC Write Signals with Hold Settings Symbol Parameter NRD Controlled (READ_MODE = 1) SMC23 Data Out Valid before NWE High (nwe pulse length - 1) * tCPSMC - 0.9 ns SMC24 Data Out Valid after NWE High(1) nwe hold length * tCPSMC - 6 ns nwe hold length * tCPSMC - 1.9 ns nwe hold length * tCPSMC - 1.9 ns nwe hold length * tCPSMC - 1.9 ns nwe hold length * tCPSMC - 1.7 ns (nwe hold length - ncs wr hold length)* tCPSMC - 2.9 ns nwe pulse length * tCPSMC - 0.9 ns NWE High to NBS0/A0 Change SMC25 NWE High to NBS1 Change SMC26 (1) (1) (1) SMC29 NWE High to A1 Change SMC31 NWE High to A2 - A23 Change(1) SMC32 NWE High to NCS Inactive SMC33 NWE Pulse Width (1) NRD Controlled (READ_MODE = 0) SMC34 Data Out Valid before NCS High (ncs wr pulse length - 1)* tCPSMC - 4.6 ns SMC35 Data Out Valid after NCS High(1) ncs wr hold length * tCPSMC - 5.8 ns (ncs wr hold length - nwe hold length)* tCPSMC - 0.6 ns (1) NCS High to NWE Inactive SMC36 Note: 1. hold length = total cycle duration - setup duration - pulse duration. "hold length" is for "ncs wr hold length" or "nwe hold length" Table 36-34. SMC Write Signals with No Hold Settings (NWE Controlled only) Symbol Parameter Min. Unit SMC37 NWE Rising to A2-A25 Valid 5.4 ns SMC38 NWE Rising to NBS0/A0 Valid 5 ns SMC39 NWE Rising to NBS1 Change 5 ns SMC40 NWE Rising to A1/NBS2 Change 5 ns SMC41 NWE Rising to NBS3 Change 5 ns SMC42 NWE Rising to NCS Rising 5.1 ns 978 32072H-AVR32-10/2012 AT32UC3A3 Table 36-34. SMC Write Signals with No Hold Settings (NWE Controlled only) Symbol Parameter SMC43 Data Out Valid before NWE Rising SMC44 Data Out Valid after NWE Rising SMC45 NWE Pulse Width Min. Unit (nwe pulse length - 1) * tCPSMC - 1.2 ns 5 ns nwe pulse length * tCPSMC - 0.9 ns Figure 36-7. SMC Signals for NCS Controlled Accesses. SMC16 SMC16 SMC16 SMC12 SMC13 SMC14 SMC15 SMC12 SMC13 SMC14 SMC15 A2-A25 SMC12 SMC13 SMC14 SMC15 A0/A1/NBS[3:0] NRD SMC17 SMC17 NCS SMC21 SMC18 SMC18 SMC18 SMC22 SMC10 SMC11 SMC34 SMC35 D0 - D15 SMC36 NWE 979 32072H-AVR32-10/2012 AT32UC3A3 Figure 36-8. SMC Signals for NRD and NRW Controlled Accesses. SMC37 SMC7 SMC7 SMC31 A2-A25 SMC25 SMC26 SMC29 SMC30 SMC3 SMC4 SMC5 SMC6 SMC38 SMC39 SMC40 SMC41 SMC3 SMC4 SMC5 SMC6 A0/A1/NBS[3:0] SMC42 SMC32 SMC8 NCS SMC8 SMC9 SMC9 NRD SMC19 SMC20 SMC43 SMC44 SMC1 SMC23 SMC2 SMC24 D0 - D15 SMC33 SMC45 NWE 36.11.2 SDRAM Signals These timings are given for 10 pF load on SDCK and 40 pF on other signals. Table 36-35. SDRAM Clock Signal. Symbol Parameter 1/(tCPSDCK) SDRAM Controller Clock Frequency Note: Conditions Min. Max.(1) Unit 1/(tcpcpu) MHz Max. Unit 1. The maximum frequency of the SDRAMC interface is the same as the max frequency for the HSB. Table 36-36. SDRAM Clock Signal Symbol Parameter Conditions Min. SDRAMC1 SDCKE High before SDCK Rising Edge 7.4 ns SDRAMC2 SDCKE Low after SDCK Rising Edge 3.2 ns SDRAMC3 SDCKE Low before SDCK Rising Edge 7 ns SDRAMC4 SDCKE High after SDCK Rising Edge 2.9 ns SDRAMC5 SDCS Low before SDCK Rising Edge 7.5 ns SDRAMC6 SDCS High after SDCK Rising Edge 1.6 ns SDRAMC7 RAS Low before SDCK Rising Edge 7.2 ns SDRAMC8 RAS High after SDCK Rising Edge 2.3 ns SDRAMC9 SDA10 Change before SDCK Rising Edge 7.6 ns SDRAMC10 SDA10 Change after SDCK Rising Edge 1.9 ns SDRAMC11 Address Change before SDCK Rising Edge 6.2 ns SDRAMC12 Address Change after SDCK Rising Edge 2.2 ns 980 32072H-AVR32-10/2012 AT32UC3A3 Table 36-36. SDRAM Clock Signal Symbol Parameter Conditions Min. Max. Unit SDRAMC13 Bank Change before SDCK Rising Edge 6.3 ns SDRAMC14 Bank Change after SDCK Rising Edge 2.4 ns SDRAMC15 CAS Low before SDCK Rising Edge 7.4 ns SDRAMC16 CAS High after SDCK Rising Edge 1.9 ns SDRAMC17 DQM Change before SDCK Rising Edge 6.4 ns SDRAMC18 DQM Change after SDCK Rising Edge 2.2 ns SDRAMC19 D0-D15 in Setup before SDCK Rising Edge 9 ns SDRAMC20 D0-D15 in Hold after SDCK Rising Edge 0 ns SDRAMC23 SDWE Low before SDCK Rising Edge 7.6 ns SDRAMC24 SDWE High after SDCK Rising Edge 1.8 ns SDRAMC25 D0-D15 Out Valid before SDCK Rising Edge 7.1 ns SDRAMC26 D0-D15 Out Valid after SDCK Rising Edge 1.5 ns 981 32072H-AVR32-10/2012 AT32UC3A3 Figure 36-9. SDRAMC Signals relative to SDCK. SDCK SDRAMC1 SDRAMC2 SDRAMC3 SDRAMC4 SDCKE SDRAMC5 SDRAMC6 SDRAMC7 SDRAMC8 SDRAMC5 SDRAMC6 SDRAMC5 SDRAMC6 SDCS RAS SDRAMC15 SDRAMC16 SDRAMC15 SDRAMC16 CAS SDRAMC23 SDRAMC24 SDWE SDRAMC9 SDRAMC10 SDRAMC9 SDRAMC10 SDRAMC9 SDRAMC10 SDRAMC11 SDRAMC12 SDRAMC11 SDRAMC12 SDRAMC11 SDRAMC12 SDRAMC13 SDRAMC14 SDRAMC13 SDRAMC14 SDRAMC13 SDRAMC14 SDRAMC17 SDRAMC18 SDRAMC17 SDRAMC18 SDA10 A0 - A9, A11 - A13 BA0/BA1 DQM0 DQM3 SDRAMC19 SDRAMC20 D0 - D15 Read SDRAMC25 SDRAMC26 D0 - D15 to Write 982 32072H-AVR32-10/2012 AT32UC3A3 36.12 JTAG Characteristics 36.12.1 JTAG Interface Signals Table 36-37. JTAG Interface Timing Specification Conditions (1) Symbol Parameter Min. Max. JTAG0 TCK Low Half-period 6 ns JTAG1 TCK High Half-period 3 ns JTAG2 TCK Period 9 ns JTAG3 TDI, TMS Setup before TCK High 1 ns JTAG4 TDI, TMS Hold after TCK High 0 ns JTAG5 TDO Hold Time 4 ns JTAG6 TCK Low to TDO Valid JTAG7 Device Inputs Setup Time ns JTAG8 Device Inputs Hold Time ns JTAG9 Device Outputs Hold Time ns JTAG10 TCK to Device Outputs Valid ns 6 Unit ns 1. VVDDIO from 3.0V to 3.6V, maximum external capacitor = 40pF 983 32072H-AVR32-10/2012 AT32UC3A3 Figure 36-10. JTAG Interface Signals JTAG2 TCK JTAG JTAG1 0 TMS/TDI JTAG3 JTAG4 JTAG7 JTAG8 TDO JTAG5 JTAG6 Device Inputs Device Outputs JTAG9 JTAG10 36.13 SPI Characteristics Figure 36-11. SPI Master mode with (CPOL= NCPHA= 0) or (CPOL= NCPHA= 1) SPCK SPI0 SPI1 MISO SPI2 MOSI 984 32072H-AVR32-10/2012 AT32UC3A3 Figure 36-12. SPI Master mode with (CPOL= 0 and NCPHA= 1) or (CPOL= 1 and NCPHA= 0) SPCK SPI3 SPI4 MISO SPI5 MOSI Figure 36-13. SPI Slave mode with (CPOL= 0 and NCPHA= 1) or (CPOL= 1 and NCPHA= 0) SPCK SPI6 MISO SPI7 SPI8 MOSI Figure 36-14. SPI Slave mode with (CPOL= NCPHA= 0) or (CPOL= NCPHA= 1) SPCK SPI9 MISO SPI10 SPI11 MOSI 985 32072H-AVR32-10/2012 AT32UC3A3 Table 36-38. SPI Timings Symbol Parameter Conditions (1) SPI0 MISO Setup time before SPCK rises (master) 3.3V domain 22 + (tCPMCK)/2 (2) ns SPI1 MISO Hold time after SPCK rises (master) 3.3V domain 0 ns SPI2 SPCK rising to MOSI Delay (master) 3.3V domain SPI3 MISO Setup time before SPCK falls (master) 3.3V domain 22 + (tCPMCK)/2 (3) ns SPI4 MISO Hold time after SPCK falls (master) 3.3V domain 0 ns SPI5 SPCK falling to MOSI Delay master) 3.3V domain 7 ns SPI6 SPCK falling to MISO Delay (slave) 3.3V domain 26.5 ns SPI7 MOSI Setup time before SPCK rises (slave) 3.3V domain 0 ns SPI8 MOSI Hold time after SPCK rises (slave) 3.3V domain 1.5 ns SPI9 SPCK rising to MISO Delay (slave) 3.3V domain SPI10 MOSI Setup time before SPCK falls (slave) 3.3V domain 0 ns SPI11 MOSI Hold time after SPCK falls (slave) 3.3V domain 1 ns Min. Max. 7 27 Unit ns ns 1. 3.3V domain: VVDDIO from 3.0V to 3.6V, maximum external capacitor = 40 pF 2. tCPMCK: Master Clock period in ns. 3. tCPMCK: Master Clock period in ns. 36.14 MCI The High Speed MultiMedia Card Interface (MCI) supports the MultiMedia Card (MMC) Specification V4.2, the SD Memory Card Specification V2.0, the SDIO V1.1 specification and CE-ATA V1.1. 986 32072H-AVR32-10/2012 AT32UC3A3 36.15 Flash Memory Characteristics The following table gives the device maximum operating frequency depending on the field FWS of the Flash FSR register. This field defines the number of wait states required to access the Flash Memory. Flash operating frequency equals the CPU/HSB frequency. Table 36-39. Flash Operating Frequency Symbol FFOP Parameter Conditions Min. Typ. Max. Unit FWS = 0 High Speed Read Mode Disable -40C < Ambient Temperature < 85C 36 MHz FWS = 1 High Speed Read Mode Disable -40C < Ambient Temperature < 85C 66 MHz FWS = 0 High Speed Read Mode Enable -40C < Ambient Temperature < 70C 42 MHz FWS = 1 High Speed Read Mode Enable -40C < Ambient Temperature < 70C 84 MHz Flash Operating Frequency Table 36-40. Parts Programming Time Symbol Parameter Conditions Min. Typ. Max. Unit TFPP Page Programming Time 5 ms TFFP Fuse Programming Time 0.5 ms TFCE Chip erase Time 8 ms Table 36-41. Flash Parameters Symbol Parameter NFARRAY Conditions Min. Typ. Max. Unit Flash Array Write/Erase cycle 100K cycle NFFUSE General Purpose Fuses write cycle 1000 cycle TFDR Flash Data Retention Time 15 year 987 32072H-AVR32-10/2012 AT32UC3A3 37. Mechanical Characteristics 37.1 37.1.1 Thermal Considerations Thermal Data Table 37-1 summarizes the thermal resistance data depending on the package. Table 37-1. 37.1.2 Thermal Resistance Data Symbol Parameter Condition Package Typ JA Junction-to-ambient thermal resistance Still Air TQFP144 40.3 JC Junction-to-case thermal resistance TQFP144 9.5 JA Junction-to-ambient thermal resistance TFBGA144 28.5 JC Junction-to-case thermal resistance TFBGA144 6.9 JA Junction-to-ambient thermal resistance VFBGA100 31.1 JC Junction-to-case thermal resistance VFBGA100 6.9 Still Air Still Air Unit C/W C/W C/W Junction Temperature The average chip-junction temperature, TJ, in C can be obtained from the following: 1. T J = T A + ( P D x JA ) 2. T J = T A + ( P D x ( HEATSINK + JC ) ) where: * JA = package thermal resistance, Junction-to-ambient (C/W), provided in Table 37-1 on page 988. * JC = package thermal resistance, Junction-to-case thermal resistance (C/W), provided in Table 37-1 on page 988. * HEAT SINK = cooling device thermal resistance (C/W), provided in the device datasheet. * PD = device power consumption (W) estimated from data provided in the section "Regulator characteristics" on page 963. * TA = ambient temperature (C). From the first equation, the user can derive the estimated lifetime of the chip and decide if a cooling device is necessary or not. If a cooling device is to be fitted on the chip, the second equation should be used to compute the resulting average chip-junction temperature TJ in C. 988 32072H-AVR32-10/2012 AT32UC3A3 37.2 Package Drawings Figure 37-1. TFBGA 144 package drawing 989 32072H-AVR32-10/2012 AT32UC3A3 Figure 37-2. LQFP-144 package drawing Table 37-2. Device and Package Maximum Weight 1300 Table 37-3. mg Package Characteristics Moisture Sensitivity Level Table 37-4. MSL3 Package Reference JEDEC Drawing Reference MS-026 JESD97 Classification E3 990 32072H-AVR32-10/2012 AT32UC3A3 Figure 37-3. VFBGA-100 package drawing 991 32072H-AVR32-10/2012 AT32UC3A3 37.3 Soldering Profile Table 37-5 gives the recommended soldering profile from J-STD-20. Table 37-5. Soldering Profile Profile Feature Green Package Average Ramp-up Rate (217C to Peak) 3C/Second max Preheat Temperature 175C 25C 150-200C Time Maintained Above 217C 60-150 seconds Time within 5C of Actual Peak Temperature 30 seconds Peak Temperature Range 260 (+0/-5C) Ramp-down Rate 6C/Second max. Time 25C to Peak Temperature 8 minutes max Note: It is recommended to apply a soldering temperature higher than 250C. A maximum of three reflow passes is allowed per component. 992 32072H-AVR32-10/2012 AT32UC3A3 38. Ordering Information Device AT32UC3A3256S AT32UC3A3256 AT32UC3A3128S AT32UC3A3128 AT32UC3A364S AT32UC3A364 AT32UC3A4256S AT32UC3A4256 AT32UC3A4128S AT32UC3A4128 AT32UC3A464S AT32UC3A464 Ordering Code Package Conditioning Temperature Operating Range AT32UC3A3256S-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A3256S-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A3256S-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A3256S-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A3256-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A3256-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A3256-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A3256-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A3128S-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A3128S-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A3128S-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A3128S-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A3128-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A3128-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A3128-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A3128-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A364S-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A364S-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A364S-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A364S-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A364-ALUT 144-lead LQFP Tray Industrial (-40C to 85C) AT32UC3A364-ALUR 144-lead LQFP Reels Industrial (-40C to 85C) AT32UC3A364-CTUT 144-ball TFBGA Tray Industrial (-40C to 85C) AT32UC3A364-CTUR 144-ball TFBGA Reels Industrial (-40C to 85C) AT32UC3A4256S-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A4256S-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) AT32UC3A4256-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A4256-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) AT32UC3A4128S-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A4128S-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) AT32UC3A4128-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A4128-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) AT32UC3A464S-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A464S-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) AT32UC3A464-C1UT 100-ball VFBGA Tray Industrial (-40C to 85C) AT32UC3A464-C1UR 100-ball VFBGA Reels Industrial (-40C to 85C) 993 32072H-AVR32-10/2012 AT32UC3A3 39. Errata 39.1 39.1.1 Rev. H General Devices with Date Code lower than 1233 cannot operate with CPU frequency higher than 66MHz in 1WS and 36MHz in 0WS in the whole temperature range Fix/Workaround None DMACA data transfer fails when CTLx.SRC_TR_WIDTH is not equal CTLx.DST_TR_WIDTH Fix/Workaround For any DMACA transfer make sure CTLx.SRC_TR_WIDTH = CTLx.DST_TR_WIDTH. 39.1.2 to Processor and Architecture LDM instruction with PC in the register list and without ++ increments Rp For LDM with PC in the register list: the instruction behaves as if the ++ field is always set, ie the pointer is always updated. This happens even if the ++ field is cleared. Specifically, the increment of the pointer is done in parallel with the testing of R12. Fix/Workaround None. Hardware breakpoints may corrupt MAC results Hardware breakpoints on MAC instructions may corrupt the destination register of the MAC instruction. Fix/Workaround Place breakpoints on earlier or later instructions. When the main clock is RCSYS, TIMER_CLOCK5 is equal to PBA clock When the main clock is generated from RCSYS, TIMER_CLOCK5 is equal to PBA Clock and not PBA Clock / 128. Fix/Workaround None. MPU Privilege violation when using interrupts in application mode with protected system stack If the system stack is protected by the MPU and an interrupt occurs in application mode, an MPU DTLB exception will occur. Fix/Workaround Make a DTLB Protection (Write) exception handler which permits the interrupt request to be handled in privileged mode. 39.1.3 USB UPCFGn.INTFRQ is irrelevant for isochronous pipe As a consequence, isochronous IN and OUT tokens are sent every 1ms (Full Speed), or every 125uS (High Speed). Fix/Workaround 994 32072H-AVR32-10/2012 AT32UC3A3 For higher polling time, the software must freeze the pipe for the desired period in order to prevent any "extra" token. 39.1.4 ADC Sleep Mode activation needs additional A to D conversion If the ADC sleep mode is activated when the ADC is idle the ADC will not enter sleep mode before after the next AD conversion. Fix/Workaround Activate the sleep mode in the mode register and then perform an AD conversion. 39.1.5 USART ISO7816 info register US_NER cannot be read The NER register always returns zero. Fix/Workaround None. The LIN ID is not transmitted in mode PDCM='0' Fix/Workaround Using USART in mode LIN master with the PDCM bit = '0', the LINID written at the first address of the transmit buffer is not used. The LINID must be written in the LINIR register, after the configuration and start of the PDCA transfer. Writing the LINID in the LINIR register will start the transfer whenever the PDCA transfer is ready. The LINID interrupt is only available for the header reception and not available for the header transmission Fix/Workaround None. USART LIN mode is not functional with the PDCA if PDCM bit in LINMR register is set to 1 If a PDCA transfer is initiated in USART LIN mode with PDCM bit set to 1, the transfer never starts. Fix/Workaround Only use PDCM=0 configuration with the PDCA transfer. SPI SPI disable does not work in SLAVE mode SPI disable does not work in SLAVE mode. Fix/Workaround Read the last received data, then perform a software reset by writing a one to the Software Reset bit in the Control Register (CR.SWRST). SPI bad serial clock generation on 2nd chip_select when SCBR=1, CPOL=1, and NCPHA=0 When multiple chip selects (CS) are in use, if one of the baudrates equal 1 while one (CSRn.SCBR=1) of the others do not equal 1, and CSRn.CPOL=1 and CSRn.NCPHA=0, then an additional pulse will be generated on SCK. Fix/Workaround When multiple CS are in use, if one of the baudrates equals 1, the others must also equal 1 if CSRn.CPOL=1 and CSRn.NCPHA=0. 995 32072H-AVR32-10/2012 AT32UC3A3 SPI data transfer hangs with CSR0.CSAAT==1 and MR.MODFDIS==0 When CSR0.CSAAT==1 and mode fault detection is enabled (MR.MODFDIS==0), the SPI module will not start a data transfer. Fix/Workaround Disable mode fault detection by writing a one to MR.MODFDIS. Disabling SPI has no effect on the SR.TDRE bit Disabling SPI has no effect on the SR.TDRE bit whereas the write data command is filtered when SPI is disabled. Writing to TDR when SPI is disabled will not clear SR.TDRE. If SPI is disabled during a PDCA transfer, the PDCA will continue to write data to TDR until its buffer is empty, and this data will be lost. Fix/Workaround Disable the PDCA, add two NOPs, and disable the SPI. To continue the transfer, enable the SPI and PDCA. Power Manager OSC32 not functionnal in Crystal Modes (OSC32CTRL.MODE=1 or OSC32CTRL.MODE=2) OSC32 clock output is not active even if the oscillation signal is present on XIN32/XOUT32 pins. OSC32RDY bit may still set even if the CLK32 is not active. External clock mode (OSC32CTRL.MODE=0) is not affected. Fix/Workaround None. Clock sources will not be stopped in STATIC sleep mode if the difference between CPU and PBx division factor is too high If the division factor between the CPU/HSB and PBx frequencies is more than 4 when going to a sleep mode where the system RC oscillator is turned off, then high speed clock sources will not be turned off. This will result in a significantly higher power consumption during the sleep mode. Fix/Workaround Before going to sleep modes where the system RC oscillator is stopped, make sure that the factor between the CPU/HSB and PBx frequencies is less than or equal to 4. PDCA PCONTROL.CHxRES is non-functional PCONTROL.CHxRES is non-functional. Counters are reset at power-on, and cannot be reset by software. Fix/Workaround Software needs to keep history of performance counters. Transfer error will stall a transmit peripheral handshake interface If a transfer error is encountered on a channel transmitting to a peripheral, the peripheral handshake of the active channel will stall and the PDCA will not do any more transfers on the affected peripheral handshake interface. Fix/Workaround Disable and then enable the peripheral after the transfer error. AES 996 32072H-AVR32-10/2012 AT32UC3A3 URAD (Unspecified Register Access Detection Status) does not detect read accesses to the write-only KEYW[5..8]R registers Fix/Workaround None. 39.1.6 HMATRIX In the PRAS and PRBS registers, the MxPR fields are only two bits In the PRAS and PRBS registers, the MxPR fields are only two bits wide, instead of four bits. The unused bits are undefined when reading the registers. Fix/Workaround Mask undefined bits when reading PRAS and PRBS. 39.1.7 TWIM TWIM SR.IDLE goes high immediately when NAK is received When a NAK is received and there is a non-zero number of bytes to be transmitted, SR.IDLE goes high immediately and does not wait for the STOP condition to be sent. This does not cause any problem just by itself, but can cause a problem if software waits for SR.IDLE to go high and then immediately disables the TWIM by writing a one to CR.MDIS. Disabling the TWIM causes the TWCK and TWD pins to go high immediately, so the STOP condition will not be transmitted correctly. Fix/Workaround If possible, do not disable the TWIM. If it is absolutely necessary to disable the TWIM, there must be a software delay of at least two TWCK periods between the detection of SR.IDLE==1 and the disabling of the TWIM. TWIM TWALM polarity is wrong The TWALM signal in the TWIM is active high instead of active low. Fix/Workaround Use an external inverter to invert the signal going into the TWIM. When using both TWIM and TWIS on the same pins, the TWALM cannot be used. SMBALERT bit may be set after reset The SMBus Alert (SMBALERT) bit in the Status Register (SR) might be erroneously set after system reset. Fix/Workaround After system reset, clear the SR.SMBALERT bit before commencing any TWI transfer. TWIS Clearing the NAK bit before the BTF bit is set locks up the TWI bus When the TWIS is in transmit mode, clearing the NAK Received (NAK) bit of the Status Register (SR) before the end of the Acknowledge/Not Acknowledge cycle will cause the TWIS to attempt to continue transmitting data, thus locking up the bus. Fix/Workaround Clear SR.NAK only after the Byte Transfer Finished (BTF) bit of the same register has been set. TWIS stretch on Address match error When the TWIS stretches TWCK due to a slave address match, it also holds TWD low for the same duration if it is to be receiving data. When TWIS releases TWCK, it releases TWD at the same time. This can cause a TWI timing violation. 997 32072H-AVR32-10/2012 AT32UC3A3 Fix/Workaround None. SSC Frame Synchro and Frame Synchro Data are delayed by one clock cycle The frame synchro and the frame synchro data are delayed from 1 SSC_CLOCK when: - Clock is CKDIV - The START is selected on either a frame synchro edge or a level - Frame synchro data is enabled - Transmit clock is gated on output (through CKO field) Fix/Workaround Transmit or receive CLOCK must not be gated (by the mean of CKO field) when START condition is performed on a generated frame synchro. 39.1.8 FLASHC Corrupted read in flash may happen after fuses write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) After a flash fuse write or erase operation (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands), reading (data read or code fetch) in flash may fail. This may lead to an exception or to other errors derived from this corrupted read access. Fix/Workaround Before the flash fuse write or erase operation, enable the flash high speed mode (FLASHC HSEN command). The flash fuse write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) must be issued from RAM or through the EBI. After these commands, read 3 times one flash page initialized to 00h. Disable the flash high speed mode (FLASHC HSDIS command). It is then possible to safely read or code fetch the flash. 39.2 39.2.1 Rev. E General Devices cannot operate with CPU frequency higher than 66MHz in 1WS and 36MHz in 0WS Fix/Workaround None Increased Power Consumption in VDDIO in sleep modes If the OSC0 is enabled in crystal mode when entering a sleep mode where the OSC0 is disabled, this will lead to an increased power consumption in VDDIO. Fix/Workaround Disable the OSC0 through the System Control Interface (SCIF) before going to any sleep mode where the OSC0 is disabled, or pull down or up XIN0 and XOUT0 with 1 Mohm resistor. Power consumption in static mode The power consumption in static mode can be up to 330A on some parts (typical at 25C) Fix/Workaround Set to 1b bit CORRS4 of the ECCHRS mode register (MD). In C-code: *((volatile int*) (0xFFFE2404))= 0x400. 998 32072H-AVR32-10/2012 AT32UC3A3 DMACA data transfer fails when CTLx.SRC_TR_WIDTH is not equal CTLx.DST_TR_WIDTH Fix/Workaround For any DMACA transfer make sure CTLx.SRC_TR_WIDTH = CTLx.DST_TR_WIDTH. to 3.3V supply monitor is not available FGPFRLO[30:29] are reserved and should not be used by the application. Fix/Workaround None. Service access bus (SAB) can not access DMACA registers Fix/Workaround None. Processor and Architecture LDM instruction with PC in the register list and without ++ increments Rp For LDM with PC in the register list: the instruction behaves as if the ++ field is always set, ie the pointer is always updated. This happens even if the ++ field is cleared. Specifically, the increment of the pointer is done in parallel with the testing of R12. Fix/Workaround None. Hardware breakpoints may corrupt MAC results Hardware breakpoints on MAC instructions may corrupt the destination register of the MAC instruction. Fix/Workaround Place breakpoints on earlier or later instructions. When the main clock is RCSYS, TIMER_CLOCK5 is equal to PBA clock When the main clock is generated from RCSYS, TIMER_CLOCK5 is equal to PBA Clock and not PBA Clock / 128. Fix/Workaround None. MPU Privilege violation when using interrupts in application mode with protected system stack If the system stack is protected by the MPU and an interrupt occurs in application mode, an MPU DTLB exception will occur. Fix/Workaround Make a DTLB Protection (Write) exception handler which permits the interrupt request to be handled in privileged mode. 39.2.2 USB UPCFGn.INTFRQ is irrelevant for isochronous pipe As a consequence, isochronous IN and OUT tokens are sent every 1ms (Full Speed), or every 125uS (High Speed). Fix/Workaround For higher polling time, the software must freeze the pipe for the desired period in order to prevent any "extra" token. 999 32072H-AVR32-10/2012 AT32UC3A3 39.2.3 ADC Sleep Mode activation needs additional A to D conversion If the ADC sleep mode is activated when the ADC is idle the ADC will not enter sleep mode before after the next AD conversion. Fix/Workaround Activate the sleep mode in the mode register and then perform an AD conversion. 39.2.4 USART ISO7816 info register US_NER cannot be read The NER register always returns zero. Fix/Workaround None. The LIN ID is not transmitted in mode PDCM='0' Fix/Workaround Using USART in mode LIN master with the PDCM bit = '0', the LINID written at the first address of the transmit buffer is not used. The LINID must be written in the LINIR register, after the configuration and start of the PDCA transfer. Writing the LINID in the LINIR register will start the transfer whenever the PDCA transfer is ready. The LINID interrupt is only available for the header reception and not available for the header transmission Fix/Workaround None. USART LIN mode is not functional with the PDCA if PDCM bit in LINMR register is set to 1 If a PDCA transfer is initiated in USART LIN mode with PDCM bit set to 1, the transfer never starts. Fix/Workaround Only use PDCM=0 configuration with the PDCA transfer. The RTS output does not function correctly in hardware handshaking mode The RTS signal is not generated properly when the USART receives data in hardware handshaking mode. When the Peripheral DMA receive buffer becomes full, the RTS output should go high, but it will stay low. Fix/Workaround Do not use the hardware handshaking mode of the USART. If it is necessary to drive the RTS output high when the Peripheral DMA receive buffer becomes full, use the normal mode of the USART. Configure the Peripheral DMA Controller to signal an interrupt when the receive buffer is full. In the interrupt handler code, write a one to the RTSDIS bit in the USART Control Register (CR). This will drive the RTS output high. After the next DMA transfer is started and a receive buffer is available, write a one to the RTSEN bit in the USART CR so that RTS will be driven low. ISO7816 Mode T1: RX impossible after any TX RX impossible after any TX. Fix/Workaround SOFT_RESET on RX+ Config US_MR + Config_US_CR. SPI 1000 32072H-AVR32-10/2012 AT32UC3A3 SPI disable does not work in SLAVE mode SPI disable does not work in SLAVE mode. Fix/Workaround Read the last received data, then perform a software reset by writing a one to the Software Reset bit in the Control Register (CR.SWRST). SPI bad serial clock generation on 2nd chip_select when SCBR=1, CPOL=1, and NCPHA=0 When multiple chip selects (CS) are in use, if one of the baudrates equal 1 while one (CSRn.SCBR=1) of the others do not equal 1, and CSRn.CPOL=1 and CSRn.NCPHA=0, then an additional pulse will be generated on SCK. Fix/Workaround When multiple CS are in use, if one of the baudrates equals 1, the others must also equal 1 if CSRn.CPOL=1 and CSRn.NCPHA=0. SPI data transfer hangs with CSR0.CSAAT==1 and MR.MODFDIS==0 When CSR0.CSAAT==1 and mode fault detection is enabled (MR.MODFDIS==0), the SPI module will not start a data transfer. Fix/Workaround Disable mode fault detection by writing a one to MR.MODFDIS. Disabling SPI has no effect on the SR.TDRE bit Disabling SPI has no effect on the SR.TDRE bit whereas the write data command is filtered when SPI is disabled. Writing to TDR when SPI is disabled will not clear SR.TDRE. If SPI is disabled during a PDCA transfer, the PDCA will continue to write data to TDR until its buffer is empty, and this data will be lost. Fix/Workaround Disable the PDCA, add two NOPs, and disable the SPI. To continue the transfer, enable the SPI and PDCA. Power Manager OSC32 not functionnal in Crystal Modes (OSC32CTRL.MODE=1 or OSC32CTRL.MODE=2) OSC32 clock output is not active even if the oscillation signal is present on XIN32/XOUT32 pins. OSC32RDY bit may still set even if the CLK32 is not active. External clock mode (OSC32CTRL.MODE=0) is not affected. Fix/Workaround None. Clock sources will not be stopped in STATIC sleep mode if the difference between CPU and PBx division factor is too high If the division factor between the CPU/HSB and PBx frequencies is more than 4 when going to a sleep mode where the system RC oscillator is turned off, then high speed clock sources will not be turned off. This will result in a significantly higher power consumption during the sleep mode. Fix/Workaround Before going to sleep modes where the system RC oscillator is stopped, make sure that the factor between the CPU/HSB and PBx frequencies is less than or equal to 4. PDCA 1001 32072H-AVR32-10/2012 AT32UC3A3 PCONTROL.CHxRES is non-functional PCONTROL.CHxRES is non-functional. Counters are reset at power-on, and cannot be reset by software. Fix/Workaround Software needs to keep history of performance counters. Transfer error will stall a transmit peripheral handshake interface If a transfer error is encountered on a channel transmitting to a peripheral, the peripheral handshake of the active channel will stall and the PDCA will not do any more transfers on the affected peripheral handshake interface. Fix/Workaround Disable and then enable the peripheral after the transfer error. AES URAD (Unspecified Register Access Detection Status) does not detect read accesses to the write-only KEYW[5..8]R registers Fix/Workaround None. 39.2.5 HMATRIX In the PRAS and PRBS registers, the MxPR fields are only two bits In the PRAS and PRBS registers, the MxPR fields are only two bits wide, instead of four bits. The unused bits are undefined when reading the registers. Fix/Workaround Mask undefined bits when reading PRAS and PRBS. 39.2.6 TWIM TWIM SR.IDLE goes high immediately when NAK is received When a NAK is received and there is a non-zero number of bytes to be transmitted, SR.IDLE goes high immediately and does not wait for the STOP condition to be sent. This does not cause any problem just by itself, but can cause a problem if software waits for SR.IDLE to go high and then immediately disables the TWIM by writing a one to CR.MDIS. Disabling the TWIM causes the TWCK and TWD pins to go high immediately, so the STOP condition will not be transmitted correctly. Fix/Workaround If possible, do not disable the TWIM. If it is absolutely necessary to disable the TWIM, there must be a software delay of at least two TWCK periods between the detection of SR.IDLE==1 and the disabling of the TWIM. TWIM TWALM polarity is wrong The TWALM signal in the TWIM is active high instead of active low. Fix/Workaround Use an external inverter to invert the signal going into the TWIM. When using both TWIM and TWIS on the same pins, the TWALM cannot be used. SMBALERT bit may be set after reset The SMBus Alert (SMBALERT) bit in the Status Register (SR) might be erroneously set after system reset. Fix/Workaround After system reset, clear the SR.SMBALERT bit before commencing any TWI transfer. 1002 32072H-AVR32-10/2012 AT32UC3A3 TWIS Clearing the NAK bit before the BTF bit is set locks up the TWI bus When the TWIS is in transmit mode, clearing the NAK Received (NAK) bit of the Status Register (SR) before the end of the Acknowledge/Not Acknowledge cycle will cause the TWIS to attempt to continue transmitting data, thus locking up the bus. Fix/Workaround Clear SR.NAK only after the Byte Transfer Finished (BTF) bit of the same register has been set. TWIS stretch on Address match error When the TWIS stretches TWCK due to a slave address match, it also holds TWD low for the same duration if it is to be receiving data. When TWIS releases TWCK, it releases TWD at the same time. This can cause a TWI timing violation. Fix/Workaround None. MCI MCI_CLK features is not available on PX12, PX13 and PX40 Fix/Workaround MCI_CLK feature is available on PA27 only. The busy signal of the responses R1b is not taken in account for CMD12 STOP_TRANSFER It is not possible to know the busy status of the card during the response (R1b) for the commands CMD12. Fix/Workaround The card busy line should be polled through the GPIO Input Value register (IVR) for commands CMD12. SSC Frame Synchro and Frame Synchro Data are delayed by one clock cycle The frame synchro and the frame synchro data are delayed from 1 SSC_CLOCK when: - Clock is CKDIV - The START is selected on either a frame synchro edge or a level - Frame synchro data is enabled - Transmit clock is gated on output (through CKO field) Fix/Workaround Transmit or receive CLOCK must not be gated (by the mean of CKO field) when START condition is performed on a generated frame synchro. 39.2.7 FLASHC Corrupted read in flash may happen after fuses write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) After a flash fuse write or erase operation (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands), reading (data read or code fetch) in flash may fail. This may lead to an exception or to other errors derived from this corrupted read access. Fix/Workaround Before the flash fuse write or erase operation, enable the flash high speed mode (FLASHC HSEN command). The flash fuse write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) must be issued from RAM or through the EBI. 1003 32072H-AVR32-10/2012 AT32UC3A3 After these commands, read 3 times one flash page initialized to 00h. Disable the flash high speed mode (FLASHC HSDIS command). It is then possible to safely read or code fetch the flash. 39.3 39.3.1 Rev. D General Devices cannot operate with CPU frequency higher than 66MHz in 1WS and 36MHz in 0WS Fix/Workaround None DMACA data transfer fails when CTLx.SRC_TR_WIDTH is not equal CTLx.DST_TR_WIDTH Fix/Workaround For any DMACA transfer make sure CTLx.SRC_TR_WIDTH = CTLx.DST_TR_WIDTH. to 3.3V supply monitor is not available FGPFRLO[30:29] are reserved and should not be used by the application. Fix/Workaround None. Service access bus (SAB) can not access DMACA registers Fix/Workaround None. Processor and Architecture LDM instruction with PC in the register list and without ++ increments Rp For LDM with PC in the register list: the instruction behaves as if the ++ field is always set, ie the pointer is always updated. This happens even if the ++ field is cleared. Specifically, the increment of the pointer is done in parallel with the testing of R12. Fix/Workaround None. Hardware breakpoints may corrupt MAC results Hardware breakpoints on MAC instructions may corrupt the destination register of the MAC instruction. Fix/Workaround Place breakpoints on earlier or later instructions. When the main clock is RCSYS, TIMER_CLOCK5 is equal to PBA clock When the main clock is generated from RCSYS, TIMER_CLOCK5 is equal to PBA Clock and not PBA Clock / 128. Fix/Workaround None. RETE instruction does not clear SREG[L] from interrupts The RETE instruction clears SREG[L] as expected from exceptions. Fix/Workaround When using the STCOND instruction, clear SREG[L] in the stacked value of SR before returning from interrupts with RETE. 1004 32072H-AVR32-10/2012 AT32UC3A3 RETS behaves incorrectly when MPU is enabled RETS behaves incorrectly when MPU is enabled and MPU is configured so that system stack is not readable in unprivileged mode. Fix/Workaround Make system stack readable in unprivileged mode, or return from supervisor mode using rete instead of rets. This requires: 1. Changing the mode bits from 001 to 110 before issuing the instruction. Updating the mode bits to the desired value must be done using a single mtsr instruction so it is done atomically. Even if this step is generally described as not safe in the UC technical reference manual, it is safe in this very specific case. 2. Execute the RETE instruction. In the PRAS and PRBS registers, the MxPR fields are only two bits In the PRAS and PRBS registers, the MxPR fields are only two bits wide, instead of four bits. The unused bits are undefined when reading the registers. Fix/Workaround Mask undefined bits when reading PRAS and PRBS. Multiply instructions do not work on RevD All the multiply instructions do not work. Fix/Workaround Do not use the multiply instructions. MPU Privilege violation when using interrupts in application mode with protected system stack If the system stack is protected by the MPU and an interrupt occurs in application mode, an MPU DTLB exception will occur. Fix/Workaround Make a DTLB Protection (Write) exception handler which permits the interrupt request to be handled in privileged mode. 39.3.2 USB UPCFGn.INTFRQ is irrelevant for isochronous pipe As a consequence, isochronous IN and OUT tokens are sent every 1ms (Full Speed), or every 125uS (High Speed). Fix/Workaround For higher polling time, the software must freeze the pipe for the desired period in order to prevent any "extra" token. 39.3.3 ADC Sleep Mode activation needs additional A to D conversion If the ADC sleep mode is activated when the ADC is idle the ADC will not enter sleep mode before after the next AD conversion. Fix/Workaround Activate the sleep mode in the mode register and then perform an AD conversion. 39.3.4 USART ISO7816 info register US_NER cannot be read The NER register always returns zero. 1005 32072H-AVR32-10/2012 AT32UC3A3 Fix/Workaround None. The LIN ID is not transmitted in mode PDCM='0' Fix/Workaround Using USART in mode LIN master with the PDCM bit = '0', the LINID written at the first address of the transmit buffer is not used. The LINID must be written in the LINIR register, after the configuration and start of the PDCA transfer. Writing the LINID in the LINIR register will start the transfer whenever the PDCA transfer is ready. The LINID interrupt is only available for the header reception and not available for the header transmission Fix/Workaround None. USART LIN mode is not functional with the PDCA if PDCM bit in LINMR register is set to 1 If a PDCA transfer is initiated in USART LIN mode with PDCM bit set to 1, the transfer never starts. Fix/Workaround Only use PDCM=0 configuration with the PDCA transfer. The RTS output does not function correctly in hardware handshaking mode The RTS signal is not generated properly when the USART receives data in hardware handshaking mode. When the Peripheral DMA receive buffer becomes full, the RTS output should go high, but it will stay low. Fix/Workaround Do not use the hardware handshaking mode of the USART. If it is necessary to drive the RTS output high when the Peripheral DMA receive buffer becomes full, use the normal mode of the USART. Configure the Peripheral DMA Controller to signal an interrupt when the receive buffer is full. In the interrupt handler code, write a one to the RTSDIS bit in the USART Control Register (CR). This will drive the RTS output high. After the next DMA transfer is started and a receive buffer is available, write a one to the RTSEN bit in the USART CR so that RTS will be driven low. ISO7816 Mode T1: RX impossible after any TX RX impossible after any TX. Fix/Workaround SOFT_RESET on RX+ Config US_MR + Config_US_CR. SPI SPI disable does not work in SLAVE mode SPI disable does not work in SLAVE mode. Fix/Workaround Read the last received data, then perform a software reset by writing a one to the Software Reset bit in the Control Register (CR.SWRST). SPI bad serial clock generation on 2nd chip_select when SCBR=1, CPOL=1, and NCPHA=0 When multiple chip selects (CS) are in use, if one of the baudrates equal 1 while one (CSRn.SCBR=1) of the others do not equal 1, and CSRn.CPOL=1 and CSRn.NCPHA=0, then an additional pulse will be generated on SCK. 1006 32072H-AVR32-10/2012 AT32UC3A3 Fix/Workaround When multiple CS are in use, if one of the baudrates equals 1, the others must also equal 1 if CSRn.CPOL=1 and CSRn.NCPHA=0. SPI data transfer hangs with CSR0.CSAAT==1 and MR.MODFDIS==0 When CSR0.CSAAT==1 and mode fault detection is enabled (MR.MODFDIS==0), the SPI module will not start a data transfer. Fix/Workaround Disable mode fault detection by writing a one to MR.MODFDIS. Disabling SPI has no effect on the SR.TDRE bit Disabling SPI has no effect on the SR.TDRE bit whereas the write data command is filtered when SPI is disabled. Writing to TDR when SPI is disabled will not clear SR.TDRE. If SPI is disabled during a PDCA transfer, the PDCA will continue to write data to TDR until its buffer is empty, and this data will be lost. Fix/Workaround Disable the PDCA, add two NOPs, and disable the SPI. To continue the transfer, enable the SPI and PDCA. Power Manager OSC32 not functionnal in Crystal Modes (OSC32CTRL.MODE=1 or OSC32CTRL.MODE=2) OSC32 clock output is not active even if the oscillation signal is present on XIN32/XOUT32 pins. OSC32RDY bit may still set even if the CLK32 is not active. External clock mode (OSC32CTRL.MODE=0) is not affected. Fix/Workaround None. Clock sources will not be stopped in STATIC sleep mode if the difference between CPU and PBx division factor is too high If the division factor between the CPU/HSB and PBx frequencies is more than 4 when going to a sleep mode where the system RC oscillator is turned off, then high speed clock sources will not be turned off. This will result in a significantly higher power consumption during the sleep mode. Fix/Workaround Before going to sleep modes where the system RC oscillator is stopped, make sure that the factor between the CPU/HSB and PBx frequencies is less than or equal to 4. PDCA PCONTROL.CHxRES is non-functional PCONTROL.CHxRES is non-functional. Counters are reset at power-on, and cannot be reset by software. Fix/Workaround Software needs to keep history of performance counters. Transfer error will stall a transmit peripheral handshake interface If a transfer error is encountered on a channel transmitting to a peripheral, the peripheral handshake of the active channel will stall and the PDCA will not do any more transfers on the affected peripheral handshake interface. 1007 32072H-AVR32-10/2012 AT32UC3A3 Fix/Workaround Disable and then enable the peripheral after the transfer error. AES URAD (Unspecified Register Access Detection Status) does not detect read accesses to the write-only KEYW[5..8]R registers Fix/Workaround None. 39.3.5 HMATRIX In the PRAS and PRBS registers, the MxPR fields are only two bits In the PRAS and PRBS registers, the MxPR fields are only two bits wide, instead of four bits. The unused bits are undefined when reading the registers. Fix/Workaround Mask undefined bits when reading PRAS and PRBS. 39.3.6 TWIM TWIM SR.IDLE goes high immediately when NAK is received When a NAK is received and there is a non-zero number of bytes to be transmitted, SR.IDLE goes high immediately and does not wait for the STOP condition to be sent. This does not cause any problem just by itself, but can cause a problem if software waits for SR.IDLE to go high and then immediately disables the TWIM by writing a one to CR.MDIS. Disabling the TWIM causes the TWCK and TWD pins to go high immediately, so the STOP condition will not be transmitted correctly. Fix/Workaround If possible, do not disable the TWIM. If it is absolutely necessary to disable the TWIM, there must be a software delay of at least two TWCK periods between the detection of SR.IDLE==1 and the disabling of the TWIM. TWIM TWALM polarity is wrong The TWALM signal in the TWIM is active high instead of active low. Fix/Workaround Use an external inverter to invert the signal going into the TWIM. When using both TWIM and TWIS on the same pins, the TWALM cannot be used. TWIS TWIS Version Register reads zero TWIS Version Register (VR) reads zero instead of 0x112. Fix/Workaround None. 39.3.7 MCI The busy signal of the responses R1b is not taken in account for CMD12 STOP_TRANSFER It is not possible to know the busy status of the card during the response (R1b) for the commands CMD12. Fix/Workaround The card busy line should be polled through the GPIO Input Value register (IVR) for commands CMD12. 1008 32072H-AVR32-10/2012 AT32UC3A3 39.3.8 SSC Frame Synchro and Frame Synchro Data are delayed by one clock cycle The frame synchro and the frame synchro data are delayed from 1 SSC_CLOCK when: - Clock is CKDIV - The START is selected on either a frame synchro edge or a level - Frame synchro data is enabled - Transmit clock is gated on output (through CKO field) Fix/Workaround Transmit or receive CLOCK must not be gated (by the mean of CKO field) when START condition is performed on a generated frame synchro. 39.3.9 FLASHC Corrupted read in flash may happen after fuses write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) After a flash fuse write or erase operation (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands), reading (data read or code fetch) in flash may fail. This may lead to an exception or to other errors derived from this corrupted read access. Fix/Workaround Before the flash fuse write or erase operation, enable the flash high speed mode (FLASHC HSEN command). The flash fuse write or erase operations (FLASHC LP, UP, WGPB, EGPB, SSB, PGPFB, EAGPF commands) must be issued from RAM or through the EBI. After these commands, read 3 times one flash page initialized to 00h. Disable the flash high speed mode (FLASHC HSDIS command). It is then possible to safely read or code fetch the flash. 1009 32072H-AVR32-10/2012 AT32UC3A3 40. Datasheet Revision History Please note that the referring page numbers in this section are referred to this document. The referring revision in this section are referring to the document revision. 40.1 40.2 40.3 40.4 40.5 40.6 Rev. H- 10/12 1. Updated max frequency 2. Added Flash Read High Speed Mode description in FLASHC chapter 3. Updated Electrical Characteristics accordingly to new max frequency 4. Fixed wrong description of PLLOPT[0] in PM chapter 5. Updated Errata section according to new maximum frequency 6. Added USB hi-speed PLL electrical characteristics 7 Added OSC32 Errata in Power Management sections for Rev D,E and H 1. Add recommandation for MCI connection with more than 1 slot 1. Final version 1. Updated Errata for E and D 2. Updated FLASHC chapter with HSEN and HSDIS commands 1. Updated Errata for revision H and E 2. Updated Reset Sequence 3. Updated Peripherals' current consumption and others minor electrical charateristics 4. Updated Peripherals chapters 1. Updated the datasheet with new revision H features. Rev. G- 11/11 Rev. F - 08/11 Rev. E - 06/11 Rev. D - 04/11 Rev. C - 03/10 1010 32072H-AVR32-10/2012 AT32UC3A3 40.7 40.8 Rev. B - 08/09 1. Updated the datasheet with new device AT32UC3A4. 1. Initial revision. Rev. A - 03/09 1011 32072H-AVR32-10/2012 AT32UC3A3 Table of Contents 1 Description ............................................................................................... 3 2 Overview ................................................................................................... 4 3 4 5 6 7 2.1 Block Diagram ...................................................................................................4 2.2 Configuration Summary .....................................................................................5 Package and Pinout ................................................................................. 6 3.1 Package .............................................................................................................6 3.2 Peripheral Multiplexing on I/O lines ...................................................................9 3.3 Signal Descriptions ..........................................................................................14 3.4 I/O Line Considerations ...................................................................................19 3.5 Power Considerations .....................................................................................20 Processor and Architecture .................................................................. 21 4.1 Features ..........................................................................................................21 4.2 AVR32 Architecture .........................................................................................21 4.3 The AVR32UC CPU ........................................................................................22 4.4 Programming Model ........................................................................................26 4.5 Exceptions and Interrupts ................................................................................30 4.6 Module Configuration ......................................................................................34 Memories ................................................................................................ 35 5.1 Embedded Memories ......................................................................................35 5.2 Physical Memory Map .....................................................................................35 5.3 Peripheral Address Map ..................................................................................36 5.4 CPU Local Bus Mapping .................................................................................38 Boot Sequence ....................................................................................... 40 6.1 Starting of Clocks ............................................................................................40 6.2 Fetching of Initial Instructions ..........................................................................40 Power Manager (PM) .............................................................................. 41 7.1 Features ..........................................................................................................41 7.2 Overview ..........................................................................................................41 7.3 Block Diagram .................................................................................................42 7.4 Product Dependencies ....................................................................................43 7.5 Functional Description .....................................................................................43 7.6 User Interface ..................................................................................................55 1012 32072HAVR3210/2012 AT32UC3A3 8 9 Real Time Counter (RTC) ...................................................................... 80 8.1 Features ..........................................................................................................80 8.2 Overview ..........................................................................................................80 8.3 Block Diagram .................................................................................................80 8.4 Product Dependencies ....................................................................................80 8.5 Functional Description .....................................................................................81 8.6 User Interface ..................................................................................................83 Watchdog Timer (WDT) ......................................................................... 92 9.1 Features ..........................................................................................................92 9.2 Overview ..........................................................................................................92 9.3 Block Diagram .................................................................................................92 9.4 Product Dependencies ....................................................................................92 9.5 Functional Description .....................................................................................93 9.6 User Interface ..................................................................................................93 10 Interrupt Controller (INTC) .................................................................... 96 10.1 Features ..........................................................................................................96 10.2 Overview ..........................................................................................................96 10.3 Block Diagram .................................................................................................96 10.4 Product Dependencies ....................................................................................97 10.5 Functional Description .....................................................................................97 10.6 User Interface ................................................................................................100 10.7 Interrupt Request Signal Map ........................................................................104 11 External Interrupt Controller (EIC) ..................................................... 107 11.1 Features ........................................................................................................107 11.2 Overview ........................................................................................................107 11.3 Block Diagram ...............................................................................................108 11.4 I/O Lines Description .....................................................................................108 11.5 Product Dependencies ..................................................................................108 11.6 Functional Description ...................................................................................109 11.7 User Interface ................................................................................................113 11.8 Module Configuration ....................................................................................129 12 Flash Controller (FLASHC) ................................................................. 130 12.1 Features ........................................................................................................130 12.2 Overview ........................................................................................................130 12.3 Product dependencies ...................................................................................130 1013 32072HAVR3210/2012 AT32UC3A3 12.4 Functional description ....................................................................................131 12.5 Flash commands ...........................................................................................134 12.6 General-purpose fuse bits .............................................................................136 12.7 Security bit .....................................................................................................138 12.8 User interface ................................................................................................139 12.9 Fuses Settings ...............................................................................................147 12.10 Serial number in the factory page ..................................................................148 12.11 Module configuration .....................................................................................148 13 HSB Bus Matrix (HMATRIX) ................................................................ 149 13.1 Features ........................................................................................................149 13.2 Overview ........................................................................................................149 13.3 Product Dependencies ..................................................................................149 13.4 Functional Description ...................................................................................149 13.5 User Interface ................................................................................................153 13.6 Bus Matrix Connections .................................................................................161 14 External Bus Interface (EBI) ................................................................ 163 14.1 Features ........................................................................................................163 14.2 Overview ........................................................................................................163 14.3 Block Diagram ...............................................................................................164 14.4 I/O Lines Description .....................................................................................165 14.5 Product Dependencies ..................................................................................166 14.6 Functional Description ...................................................................................168 14.7 Application Example ......................................................................................175 15 Static Memory Controller (SMC) ......................................................... 178 15.1 Features ........................................................................................................178 15.2 Overview ........................................................................................................178 15.3 Block Diagram ...............................................................................................179 15.4 I/O Lines Description .....................................................................................179 15.5 Product Dependencies ..................................................................................179 15.6 Functional Description ...................................................................................180 15.7 User Interface ................................................................................................212 16 SDRAM Controller (SDRAMC) ............................................................ 219 16.1 Features ........................................................................................................219 16.2 Overview ........................................................................................................219 16.3 Block Diagram ...............................................................................................220 1014 32072HAVR3210/2012 AT32UC3A3 16.4 I/O Lines Description .....................................................................................220 16.5 Application Example ......................................................................................221 16.6 Product Dependencies ..................................................................................222 16.7 Functional Description ...................................................................................223 16.8 User Interface ................................................................................................232 17 Error Corrected Code Controller (ECCHRS) ...................................... 246 17.1 Features ........................................................................................................246 17.2 Overview ........................................................................................................246 17.3 Block Diagram ...............................................................................................247 17.4 Product Dependencies ..................................................................................247 17.5 Functional Description ...................................................................................248 17.6 User Interface ...............................................................................................254 17.7 Module Configuration ....................................................................................280 18 Peripheral DMA Controller (PDCA) .................................................... 281 18.1 Features ........................................................................................................281 18.2 Overview ........................................................................................................281 18.3 Block Diagram ...............................................................................................282 18.4 Product Dependencies ..................................................................................282 18.5 Functional Description ...................................................................................283 18.6 Performance Monitors ...................................................................................285 18.7 User Interface ................................................................................................286 18.8 Module Configuration ....................................................................................314 19 DMA Controller (DMACA) .................................................................... 316 19.1 Features ........................................................................................................316 19.2 Overview ........................................................................................................316 19.3 Block Diagram ...............................................................................................317 19.4 Product Dependencies ..................................................................................317 19.5 Functional Description ...................................................................................318 19.6 Arbitration for HSB Master Interface ..............................................................323 19.7 Memory Peripherals ......................................................................................323 19.8 Handshaking Interface ...................................................................................323 19.9 DMACA Transfer Types ................................................................................325 19.10 Programming a Channel ................................................................................329 19.11 Disabling a Channel Prior to Transfer Completion ........................................346 19.12 User Interface ................................................................................................348 1015 32072HAVR3210/2012 AT32UC3A3 19.13 Module Configuration ....................................................................................380 20 General-Purpose Input/Output Controller (GPIO) ............................. 381 20.1 Features ........................................................................................................381 20.2 Overview ........................................................................................................381 20.3 Block Diagram ...............................................................................................381 20.4 Product Dependencies ..................................................................................381 20.5 Functional Description ...................................................................................382 20.6 User Interface ................................................................................................386 20.7 Programming Examples ................................................................................401 20.8 Module configuration .....................................................................................403 21 Serial Peripheral Interface (SPI) ......................................................... 404 21.1 Features ........................................................................................................404 21.2 Overview ........................................................................................................404 21.3 Block Diagram ...............................................................................................405 21.4 Application Block Diagram .............................................................................405 21.5 I/O Lines Description .....................................................................................406 21.6 Product Dependencies ..................................................................................406 21.7 Functional Description ...................................................................................406 21.8 User Interface ................................................................................................417 21.9 Module Configuration ....................................................................................443 22 Two-wire Slave Interface (TWIS) ......................................................... 444 22.1 Features ........................................................................................................444 22.2 Overview ........................................................................................................444 22.3 List of Abbreviations ......................................................................................445 22.4 Block Diagram ...............................................................................................445 22.5 Application Block Diagram .............................................................................446 22.6 I/O Lines Description .....................................................................................446 22.7 Product Dependencies ..................................................................................446 22.8 Functional Description ...................................................................................447 22.9 User Interface ................................................................................................457 22.10 Module Configuration ....................................................................................473 23 Two-wire Master Interface (TWIM) ...................................................... 474 23.1 Features ........................................................................................................474 23.2 Overview ........................................................................................................474 23.3 List of Abbreviations ......................................................................................475 1016 32072HAVR3210/2012 AT32UC3A3 23.4 Block Diagram ...............................................................................................475 23.5 Application Block Diagram .............................................................................476 23.6 I/O Lines Description .....................................................................................476 23.7 Product Dependencies ..................................................................................476 23.8 Functional Description ...................................................................................478 23.9 User Interface ................................................................................................490 23.10 Module Configuration ....................................................................................507 24 Synchronous Serial Controller (SSC) ................................................ 508 24.1 Features ........................................................................................................508 24.2 Overview ........................................................................................................508 24.3 Block Diagram ...............................................................................................509 24.4 Application Block Diagram .............................................................................509 24.5 I/O Lines Description .....................................................................................510 24.6 Product Dependencies ..................................................................................510 24.7 Functional Description ...................................................................................510 24.8 SSC Application Examples ............................................................................522 24.9 User Interface ................................................................................................524 25 Universal Synchronous Asynchronous Receiver Transmitter (USART) 546 26 25.1 Features ........................................................................................................546 25.2 Overview ........................................................................................................546 25.3 Block Diagram ...............................................................................................547 25.4 I/O Lines Description ....................................................................................548 25.5 Product Dependencies ..................................................................................548 25.6 Functional Description ...................................................................................550 25.7 User Interface ................................................................................................593 ............................................................................................................... 621 26.1 Module Configuration ....................................................................................622 27 Hi-Speed USB Interface (USBB) ......................................................... 624 27.1 Features ........................................................................................................624 27.2 Overview ........................................................................................................624 27.3 Block Diagram ...............................................................................................625 27.4 Application Block Diagram .............................................................................626 27.5 I/O Lines Description .....................................................................................628 27.6 Product Dependencies ..................................................................................629 1017 32072HAVR3210/2012 AT32UC3A3 27.7 Functional Description ...................................................................................630 27.8 User Interface ................................................................................................665 27.9 Module Configuration ....................................................................................748 28 Timer/Counter (TC) .............................................................................. 749 28.1 Features ........................................................................................................749 28.2 Overview ........................................................................................................749 28.3 Block Diagram ...............................................................................................750 28.4 I/O Lines Description .....................................................................................750 28.5 Product Dependencies ..................................................................................750 28.6 Functional Description ...................................................................................751 28.7 User Interface ................................................................................................766 28.8 Module Configuration ....................................................................................789 29 Analog-to-Digital Converter (ADC) ..................................................... 790 29.1 Features ........................................................................................................790 29.2 Overview ........................................................................................................790 29.3 Block Diagram ...............................................................................................791 29.4 I/O Lines Description .....................................................................................791 29.5 Product Dependencies ..................................................................................791 29.6 Functional Description ...................................................................................792 29.7 User Interface ................................................................................................797 29.8 Module Configuration ....................................................................................810 30 HSB Bus Performance Monitor (BUSMON) ....................................... 811 30.1 Features ........................................................................................................811 30.2 Overview ........................................................................................................811 30.3 Block Diagram ...............................................................................................811 30.4 Product Dependencies ..................................................................................812 30.5 Functional Description ...................................................................................812 30.6 User interface ................................................................................................813 30.7 Module Configuration ....................................................................................820 31 MultiMedia Card Interface (MCI) ......................................................... 821 31.1 Features ........................................................................................................821 31.2 Overview ........................................................................................................821 31.3 Block Diagram ...............................................................................................822 31.4 I/O Lines Description .....................................................................................823 31.5 Product Dependencies ..................................................................................823 1018 32072HAVR3210/2012 AT32UC3A3 31.6 Functional Description ...................................................................................823 31.7 User Interface ................................................................................................841 31.8 Module Configuration ....................................................................................869 32 Memory Stick Interface (MSI) .............................................................. 870 32.1 Features ........................................................................................................870 32.2 Overview ........................................................................................................870 32.3 Block Diagram ...............................................................................................871 32.4 Product Dependencies ..................................................................................871 32.5 Connection to a Memory Stick .......................................................................872 32.6 Functional Description ...................................................................................873 32.7 User Interface ................................................................................................876 33 Advanced Encryption Standard (AES) ............................................... 890 33.1 Features ........................................................................................................890 33.2 Overview ........................................................................................................890 33.3 Product Dependencies ..................................................................................890 33.4 Functional Description ...................................................................................891 33.5 User Interface ................................................................................................897 33.6 Module Configuration ....................................................................................912 34 Audio Bitstream DAC (ABDAC) .......................................................... 913 34.1 Features ........................................................................................................913 34.2 Overview ........................................................................................................913 34.3 Block Diagram ...............................................................................................914 34.4 I/O Lines Description .....................................................................................914 34.5 Product Dependencies ..................................................................................914 34.6 Functional Description ...................................................................................915 34.7 User Interface ................................................................................................918 35 Programming and Debugging ............................................................ 926 35.1 Overview ........................................................................................................926 35.2 Service Access Bus .......................................................................................926 35.3 On-Chip Debug (OCD) ..................................................................................928 35.4 JTAG and Boundary-scan (JTAG) .................................................................935 35.5 JTAG Instruction Summary ...........................................................................943 36 Electrical Characteristics .................................................................... 960 36.1 Absolute Maximum Ratings* .........................................................................960 1019 32072HAVR3210/2012 AT32UC3A3 36.2 DC Characteristics .........................................................................................961 36.3 I/O pin Characteristics ...................................................................................962 36.4 Regulator characteristics ...............................................................................963 36.5 Analog characteristics ...................................................................................964 36.6 Power Consumption ......................................................................................968 36.7 System Clock Characteristics ........................................................................971 36.8 Oscillator Characteristics ...............................................................................972 36.9 ADC Characteristics ......................................................................................974 36.10 USB Transceiver Characteristics ...................................................................975 36.11 EBI Timings ...................................................................................................977 36.12 JTAG Characteristics .....................................................................................983 36.13 SPI Characteristics ........................................................................................984 36.14 MCI ................................................................................................................986 36.15 Flash Memory Characteristics .......................................................................987 37 Mechanical Characteristics ................................................................. 988 37.1 Thermal Considerations ................................................................................988 37.2 Package Drawings .........................................................................................989 37.3 Soldering Profile ............................................................................................992 38 Ordering Information ........................................................................... 993 39 Errata ..................................................................................................... 994 39.1 Rev. H ............................................................................................................994 39.2 Rev. E ............................................................................................................998 39.3 Rev. D ..........................................................................................................1004 40 Datasheet Revision History .............................................................. 1010 40.1 Rev. H- 10/12 ..............................................................................................1010 40.2 Rev. G- 11/11 .............................................................................................1010 40.3 Rev. F - 08/11 .............................................................................................1010 40.4 Rev. E - 06/11 .............................................................................................1010 40.5 Rev. D - 04/11 .............................................................................................1010 40.6 Rev. C - 03/10 .............................................................................................1010 40.7 Rev. B - 08/09 .............................................................................................1011 40.8 Rev. A - 03/09 .............................................................................................1011 1020 32072HAVR3210/2012 Atmel Corporation 2325 Orchard Parkway San Jose, CA 95131 USA Tel: (+1)(408) 441-0311 Fax: (+1)(408) 487-2600 www.atmel.com Atmel Asia Limited Unit 1-5 & 16, 19/F BEA Tower, Millennium City 5 418 Kwun Tong Road Kwun Tong, Kowloon HONG KONG Tel: (+852) 2245-6100 Fax: (+852) 2722-1369 Atmel Munich GmbH Business Campus Parkring 4 D-85748 Garching b. Munich GERMANY Tel: (+49) 89-31970-0 Fax: (+49) 89-3194621 Atmel Japan 16F, Shin Osaki Kangyo Bldg. 1-6-4 Osaka Shinagawa-ku Tokyo 104-0032 JAPAN Tel: (+81) 3-6417-0300 Fax: (+81) 3-6417-0370 (c) 2012 Atmel Corporation. All rights reserved. Atmel, Atmel logo and combinations thereof AVR, Qtouch, and others are registered trademarks or trademarks of Atmel Corporation or its subsidiaries. Other terms and product names may be trademarks of others. Disclaimer: The information in this document is provided in connection with Atmel products. No license, express or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of Atmel products. EXCEPT AS SET FORTH IN THE ATMEL TERMS AND CONDITIONS OF SALES LOCATED ON THE ATMEL WEBSITE, ATMEL ASSUMES NO LIABILITY WHATSOEVER AND DISCLAIMS ANY EXPRESS, IMPLIED OR STATUTORY WARRANTY RELATING TO ITS PRODUCTS INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL ATMEL BE LIABLE FOR ANY DIRECT, INDIRECT, CONSEQUENTIAL, PUNITIVE, SPECIAL OR INCIDENTAL DAMAGES (INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS AND PROFITS, BUSINESS INTERRUPTION, OR LOSS OF INFORMATION) ARISING OUT OF THE USE OR INABILITY TO USE THIS DOCUMENT, EVEN IF ATMEL HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. Atmel makes no representations or warranties with respect to the accuracy or completeness of the contents of this document and reserves the right to make changes to specifications and product descriptions at any time without notice. Atmel does not make any commitment to update the information contained herein. Unless specifically provided otherwise, Atmel products are not suitable for, and shall not be used in, automotive applications. Atmel products are not intended, authorized, or warranted for use as components in applications intended to support or sustain life. 32072HAVR3210/2012