Hitachi SuperH RISC engine
SH7750 Series
SH7750, SH7750S, SH7750R
Hardware Manual
ADE-602-124E
Rev. 6.0
7/10/2002
Hitachi, Ltd.
Cautions
1. Hitachi neither warrants nor grants licenses of any rights of Hitachi’s or any third party’s
patent, copyright, trademark, or other intellectual property rights for information contained in
this document. Hitachi bears no responsibility for problems that may arise with third party’s
rights, including intellectual property rights, in connection with use of the information
contained in this document.
2. Products and product specifications may be subject to change without notice. Confirm that you
have received the latest product standards or specifications before final design, purchase or
use.
3. Hitachi makes every attempt to ensure that its products are of high quality and reliability.
However, contact Hitachi’s sales office before using the product in an application that
demand s especially high quality and reliability or where its failure or malfunction may directly
threaten human life or cause risk of bodily injury, such as aerospace, aeronautics, nuclear
power, combustion control, transportation, traffic, safety equipment or medical equipment for
life support.
4. Design your application so that the product is used within the ranges guaranteed by Hitachi
particularly for maximum rating, operating supply voltage range, heat radiation characteristics,
installation conditions and other characteristics. Hitachi bears no responsibility f or failure or
damage when used beyond the guaranteed ranges. Even within the guaranteed ranges,
consider normally foreseeable failure rates or failure modes in semiconductor devices and
employ systemic measures such as fail-safes, so that the equipment incorporating Hitachi
product does not cause bodily injury, fire or other consequential damage due to operation of
the Hitachi pro duc t.
5. This product is not designed to be radiation resistant.
6. No one is permitted to reproduce or duplicate, in any form, the whole or part of this document
without written approval from Hitachi.
7. Contact Hitachi’s sales office for any questions regarding this document or Hitachi
semiconductor pro duct s .
Rev. 6.0, 07/02, page iii of I
Preface
The SH-4 (SH7750 Series: SH7750, SH7750S, SH7750R) microprocessor incorporates the 32-bit
SH-4 CPU and is also equipped with peripheral functions necessary for configuring a user system.
The SH7750 Series is built in with a variety of peripheral functions such as cache memory,
memory management unit (MMU), interrupt controller, timers, two serial communication
interfaces (SCI, SCIF), real-time clock (RTC), user break controller (UBC), bus state controller
(BSC) and smart card interface. This series can be u sed in a wide range of multimedia equipm ent.
The bus controller is compatible with ROM, SRAM, DRAM, synchronous DRAM and PCMCIA,
as well as 64-bit synchronous DRAM 4-bank system and 64-bit data bus.
Target Readers: This manual is designed for use by people who design application systems using
the SH7750, SH7750S, or SH7750R.
To use this manual, basic knowledge of electric circuits, logic circuits and microcomputers is
required.
Purpose: This manual provides the information of the hardware functions and electrical
characteristics of the SH7750, SH7750S, and SH7750R.
The SH-4 Programming Manual contains detailed information of executable instructions. Please
read the Programming Manual together with this m anual.
How to Use the Book:
To understand general functions
Read the manual from the beginning.
The manual explains the CPU, system control functions, peripheral functions and electrical
characteristics in that order.
To understanding CPU functions
Refer to the separate SH-4 Programming Manual.
Explanatory Note: Bit sequence: upper bit at left, and lower bit at right
List of Related Documents: The latest documents are available on our Web site. Please make
sure that you have the latest version.
(http://www.hitachisemiconductor.com/)
User manuals for SH7750, SH7750S, and SH7750R
Name of Document Document No.
SH7750 Series Hardware Manual This manual
SH-4 Programming Manual ADE-602-156
Rev. 6.0, 07/02, page iv of I
User manuals for development tools
Name of Document Document No.
C/C++ Compiler, Assembler, Optimizing Linkage Editor User’s Manual ADE-702-246
Simulator/Debugger User’s Manual ADE-702-186
Hitachi Embedded Workshop User’s Manual ADE-702-201
Rev. 6.0, 07/02, page v of I
List of Items Revised or Added for This Version
Section Page Item Description
1.1 SH7750 Series (SH7750,
SH7750S, SH7750R)
Features
1 Description amended
and added
4 to 8 Table 1.1 SH7750 Series
Features Description added for
LSI, and description
and Note added for
Clock pulse generator
(CPG)
SH7750 and SH7750S
added to cache memory
Cach e memory
[SH7750R] added to
table
Description added for
Direct memory access
controller (DMAC) and
Timer unit (TMU)
SH7750R table added
to Product lineup
Notes 1, 2, 3 added
1.2 Block Diagram 9 Figure 1.1 Block Diagram of
SH7750 Series Functions I cache 8 KB and 0
cache 16 KB deleted
from table
1.3 Pin Arrangement 10 to 12 Figure 1.2 to 1.4 SH7750R added, and
description amended
1.4 Pin Functions 13 to 40 Table 1.2 to 1.4 Table and note
amended
2.7 Processor Modes 55 Description deleted
3.2 Register Descriptions 61 Figure 3.2 MMU-Related
Registers Amended
62 3. Page table entry
assistance register (PTEA) SH7750R added after
SH7750S
62 1. Page table entry high
register (PTEH),
6. MMU control register
(MMUCR)
Description added
3.3.1 Physical Address
Space 64 to 67 Description added
Rev. 6.0, 07/02, page vi of I
Section Page Item Description
3.3.3 Virtual Address Space 68, 69 Description changed
3.3.4 On-Chip RAM Space 69 Description changed
3.3.7 Address Space
Identifier (ASID) 70 Note added
4.1.1 Features Completely revised
95 Table 4.1 Cache Features
(SH7750, SH7750S) Completely revised
95 Table 4.2 Cache Features
(SH7750R) Newly added
96 Table 4.3 Features of Store
Queues Description added
4.2 Register Descriptions 97 Figure 4.1 Cache and Store
Queue Control Registers Figure changed and
Note added
97 (1) Cache Control Register
(CCR) Description added and
amended
4.3.1 Configuration Description added
101 Figure 4.3 Configuration of
Operand Cache (SH7750R) Newly added
4.3.6 RAM Mode 106 to
107 Description amended
and added
4.3.7 OC Index Mode 107 Description added
4.4.1 Configuration 109 Figure 4.5 amended to
figure 4.6, description
added and amended
110 Figure 4.7 Configuration of
Instruction Cache (SH7750R) Newly added
4.6 Memory-Mapped Cache
Configuration (SH7750R) 116 Newly added
4.7 Store Queues 122 Description amended
and added
4.7.3 Transfer to External
Memory 122, 123 Description added
4.7.4 SQ Protection 124 Description added
4.7.5 Reading the SQs
(SH7750R Only) 124 Newly added
4.7.6 SQ Usage Notes 125 Newly added
5.2 Register Descriptions 128 Description amended
5.4 Exception Types and
Priorities 130 to
132 Table 5.2 Exceptions Description and note
added
Rev. 6.0, 07/02, page vii of I
Section Page Item Description
5.6.3 Interrupts 157 (3) Peripheral Module
Interrupts Descr ipti on changed
7.3 Instruction Set 186 Table 7.7 Branch Instructions Description added
8.3 Execution Cycles and
Pipeline Stalling 204 to
206 Description amended
Note changed9.1.1 Types of Power-Down
Modes 222 Table 9.1 Status of CPU and
Peripheral Modules in Power-
Down Modes
Hardware standby
(SH7750S, SH7750R)
added to table,
description amended
9.1.2 Register Configuration 223 Table 9.2 Power-Down Mode
Registers Description and Note
added to table
9.1.3 Pin Configuration 223 Table 9.3 Power-Down Mode
Pins Description added to
Function in table and
amended
9.2.2 Peripheral Module Pin
High Impedance Control 226 Other information Description amended
9.2.3 Peripheral Module Pin
Pull-Up Control 226 Other Information Added
9.2.4 Standby Control
Register 2 (STBCR2) 227 Bit table Bit 6 amended to STHZ
and bit 1 to MSTP6,
note added
227 Bit 6, Bits 1 and 0 Description added
9.2.5 Clock-Stop Register 00
(CLKSTP00) (SH7750R Only) 228, 229 Newly added
9.2.6 Clock-Stop Clear
Register 00 (CLKSTPCLR00)
(SH7750R Only)
229 Added
9.4.1 Transition to Deep
Sleep Mode 230 Description amended,
Note added
9.5.2 Exit from Standby
Mode 232 Exit by Interrupt Note added
9.6.1 Transition to Module
Standby Function 234 Text amended
Table description and
note added
9.6.2 Exit from Module
Standby Function 234 Description amended
Note deleted
9.7 Hardware Standby Mode
(SH7750S, SH7750R Only) 235 SH7750R added
Rev. 6.0, 07/02, page viii of I
Section Page Item Description
9.8.5 Hardware Standby
Mode Timing (SH7750S,
SH7750R Only)
244 to
246 Figures 9.12, 9.13, 9.15 Figures changed
Notes added
10.2.1 Block Diagram of
CPG 249 Figure 10.1 (1) Block
Diagram of CPG (SH7750,
SH7750S)
Amended
250 Figure 10.1 (2) Block
Diagram of CPG (SH7750R) Newly added
10.2.2 CPG Pin
Configuration 252 Table 10.1 CPG Pins Table and Note
amended
10.2.3 CPG Register
Configuration 252 Table 10.2 CPG Register Description added
10.3 Clock Operating Modes Description added and
amended
253 Table 10.3 (1) Clock
Operating Modes (SH7750,
SH7750S)
Table amended and
Note amended and
added
253 Table 10.3 (2) Clock
Operating Modes (SH7750R) Newly added
254 Table 10.4 FRQCR Settings
and Internal Clock
Frequencies
Table and Note
amended
10.8.2 Watchdog Timer
Control/Status Register
(WTCSR)
261 Description amended
10.10 Notes on Board
Design 265 When Using a PLL Oscillator
Circuit Description amended
266 Figure 10.5 Points for
Attention when Using PLL
Oscillator Circuit
Amended
11.1.1 Features 267 Description added for
Alarm interrupts
11.1.2 Block Diagram 268 Figure 11.1 Block Diagram
of RTC Figure amended and
Note added
11.1.3 Pin Configuration 269 Table 11.1 RTC Pins Table amended
11.1.4 Register Configuration 270 Table 11.2 RTC Registers RTC control register 3
and Year alarm register
added to table, and
Note added
Rev. 6.0, 07/02, page ix of I
Section Page Item Description
11.2.2 Second Counter
(RSECCNT) 271 Description amended
11.2.17 RTC Control
Register 3 (RCR3) and Year-
Alarm Register (RYRAR)
(SH7750R Only)
283 Newly added
11.3.3 Alarm Function 288 Description added
11.5.2 Carry Flag and
Interrupt Flag in Standby
Mode
289 Added
11.5.3 Crystal Oscillator
Circuit 290 Figure 11.5 Example of
Crystal Oscillator Circuit
Connection
Note amended
12.1.1 Features 291 Description amended
and added
12.1.2 Block Diagram 292 Figure 12.1 Block Diagram of TMU,
amended
12.1.4 Register Configuration 293,
294 Table 12.2 TMU Registers Description and Note
added
12.2.3 Timer Start Register
2 (TSTR2) 297 Added
12.2.4 Timer Constant
Registers (TCOR) 298 Description amended
and added
12.2.5 Timer Counters
(TCNT) 298,
299 Description amended
and added
12.2.6 Timer Control
Registers (TCR) 299 Description amended
and added
12.3.1 Counter Operation 304 Description added
12.4 Interrupts 308 Description amended
and added
309 Table 12.3 TMU Interrupt
Sources Channels 3 and 4
added to table
Note added
13.1.1 Features 312 Burst ROM interface Description amended
and added, and Note
added
13.1.2 Block Diagram 313 Figure 13.1 Block Diagram
of BSC Figure amended and
add Note added
Rev. 6.0, 07/02, page x of I
Section Page Item Description
13.1.4 Register Configuration 318 Table 13.2 BSC Registers Bus control register 3
and 4 added to table,
and Note added
13.1.5 Overview of Areas 320 Table 13.3 External Memory
Space Map 64*7 added to Area 0, 5,
6 Settable Bus Widths,
and Note 7 added
319 Space Divisions Description amended
320 Table 13.3 External Memory
Space Map Table amended, and
Notes amende d and
added
321, 322 Memory Bus Width Description added
13.2.1 Bus Control Register
1 (BCR1) 326 Bit table Bit 18 amended and
note added
327 Bit 31, Bit 30, Bit 29 Description added
328 Bit 26
330 Bit 16 Description and notes
added
330 Bit 15, Bit 14 Description amended
331 Bits 13 to 11
332 Bits 10 to 8
333 Bits 7 to 5
Table amended and
note added
334 Bit 0 Description amended
13.2.2 Bus Control Register
2 (BCR2) 335 Bits 15, 14 Description added
337 Newly added13.2.3 Bus Control Register
3 (BCR3) (SH7750R Only) 338 Bits 12 to 1—Reserved Description added
13.2.4 Bus Control Register
4 (BCR4) 338,
339 Newly added
13.2.5 Wait Control Register
1 (WCR1) 342 Note amended
13.2.6 Wait Control Register
2 (WCR2) 344 to
349 Bits 31 to 29, Bits 25 to 23,
Bits 19 to 17, Bits 15 to 13,
Bits 11 to 9, Bits 8 to 6,
Bits 5 to 3, and Bits 2 to 0
Description added and
amended
13.2.7 Wait Control Register
3 (WCR3) 351 Bit table Bits 19 and 7 changed,
and Note added
351 Description added
Rev. 6.0, 07/02, page xi of I
Section Page Item Description
13.2.8 Memory Control
Register (MCR) 355 Bits 15 to 13—Write
Precharge Delay (TRWL2
TRWL0)
Description added
358 For Synchronous DRAM
Interface AMX6 description and
Notes amende d
13.2.10 Synchronous DRAM
Mode Register (SDMR) 362 to
364 Description amended,
and Note added
370 Description amended13.3.1 Endian/Access Size
and Data Alignment 371 Data Configuration Quadword partially
amended
13.3.2 Areas 382 Area 0, Area 1 Description added and
amended
13.3.3 SRAM Interface 387 Basic interface changed
to SRAM interface
387 Basic Timing Description amended
388, 393
to 395 Figures 13.6, 13.11 to 13.13 Notes added
395 Read-Strobe Negate Timing
(Setting Only Possible in the
SH7750R)
Description added and
amended
13.3.4 DRAM Interface 400 to 408 Figures 13.17 to 13.22 Notes added
13.3.5 Synchronous DRAM
Interface 413 Connection of Synchronous
DRAM Description added
415 Address Multiplexing Description amended
417 to
428 Figure 13.28 to 13.37 Note added
435 Power-On Sequence Newly added
438 Notes on Changing the Burst
Length (Variation Only
Possible in the SH7750R)
Newly added
440 Connecting a 128-Mbit/256-
Mbit Synchronous DRAM with
64-bit Bus Width
Newly added
13.3.6 Burst ROM Interface 441, 442 Description amended
442 to 444 Figure 13.46 to 13.48 Notes added
Rev. 6.0, 07/02, page xii of I
Section Page Item Description
13.3.7 PCMCIA Interface 444, 445 Description amended
and added
446 Table 13.18 Relationship
between Address and CE
when Using PCMCIA
Interface
Table amended
449, 452
to 454 Figures 13.50, 13.53 to 13.55 Notes added
450 Figure 13.51 Wait Timing for
PCMCIA Memory Card
Interface
SH7750R added to
Note
451 Figure 13.52 PCMCIA Space
Allocation Amended
13.3.8 MPX Interface 455 Description added and
amended
471 Figure 13.71 MPX Interface
Timing 7 Amended
457 to 472 Figures 13.57 to 13.72 Notes added
473 Description amended13.3.9 Byte Control SRAM
Interface 475 to 477 Figures 13.74 to 13.76 Notes added
13.3.10 Waits between
Access Cycles 479 Figure 13.77 Waits between
Access Cycles Replaced
13.3.11 Bus Arbitration 480, 481 Description added and
amended
13.3.16 Notes on Usage 487 Refresh, Bus Arbitration Description amended
487 Synchronous DRAM Mode
Register Setting (SH7750,
SH7750R Only)
Newly added
14.1 Overview 489 Description added and
amended
14.1.1 Features 489 to 491 Description amended
492 Title amended14.1.2 Block Diagram
(SH7750, SH7750S) 492 Figure 14.1 Block Diagram
of DMAC Amended
14.2 Register Descriptions
(SH7750, SH7750S) 496 Title amended
Rev. 6.0, 07/02, page xiii of I
Section Page Item Description
14.2.1 DMA Source Address
Registers 0–3 (SAR0–SAR 3) 496 Description amended
14.2.2 DMA Destination
Address Registers 0–3
(DAR0–DAR3)
497 Description amended
14.2.3 DMA Transfer Count
Registers 0–3 (DMA TCR 0–
DMATCR3)
498 Description amended
499 Description of DDT
mode added
502, 503 Bits 19 to 16 Initial value changed
503 Bits 15, 14 and Bits 13, 12 Description amended
14.2.4 DMA Channel Control
Registers 0–3 (CHCR0–
CHCR3)
505 Bits 6 to 4 Description added
14.2.5 DMA Operation
Register (DMAOR) 508 Bit 4 Description amended
14.3.2 DMA Transfer
Requests 513 • External Request
Acceptance Conditions Description added
14.3.4 Types of DMA
Transfer 526 Table 14.9 External Request
Transfer Sources and
Destinations in DDT Mode
Usable DMAC channels
changed
525 (a) Normal DMA Mode Description amendment
14.3.5 Number of Bus Cycle
States and DREQ Pin
Sampling Timing
533 to
535 Figure 14.15 to 14.17 Figure description
added
14.5 On-Demand Data
Transfer Mode (DDT Mode) 545 Description
amendments
14.5.2 Pins in DDT Mode 547 BAVL: Data bus D63–D0
release signal Description added
551, 552 Figures 14.26, 14.27 Title amended14.5.3 Transfer Request
Acceptance on Each Channel 553 Figure 14.28 Newly added
554 Figure 14.29 Amended
554, 555 Figure 14.30, 14.31 Errors corrected
14.5.4 Notes on Use of DDT
Module 572 c. of 3. Handshake protocol
using the data bus (valid on
channel 0 only)
Description amended
573 b. of 8. Data transfer end
request Added
573 12. Confirming DMA transfer
requests and number of
transfers exe cut ed
Description amended
Rev. 6.0, 07/02, page xiv of I
Section Page Item Description
14.6 Configuration of the
DMAC (SH7750R) 574 Newly added
14.7 Register Descriptions
(SH7750R) 579 Newly added
14.8 Operation (SH7750R) 586 Added
14.9 Usage Notes 591 4. Description amended
592 9. Newly added
15.2.8 Serial Port Register
(SCSPTR1) 609 Bit 7 Description amended
16.1.2 Block Diagram 659 Figure 16.1 Block Diagram
of SCIF Amended
16.1.3 Pin Configuration 660 Table 16.1 SCIF Pins Note changed
16.2.6 Serial Control
Register (SCSCR2) 667 Bit 1 Description amended
16.2.7 Serial Status Register
(SCFSR2) 669 Bit 7—Receive Error (ER) Note description
changed
672 Bit 3—Framing Error (FER) Description changed
672 Bit 2—Parity Error (PER) Description changed
16.2.9 FIFO Control Register
(SCFCR2) 676 Bits 10 to 8 SH7750R added
16.2.11 Serial Port Register
(SCSPTR2) Figure 16.6 MRESET/SCK2
Pin Deleted
16.3.2 Serial Operation 689 Figure 16.6 Sample SCIF
Initialization Flowchart Amended
696 Serial Data Reception Description added to 5.
17.1 Overview 703 Description amended
17.3.2 Pin Connections 711 Description deleted
18.1.3 Pin Configuration 740 Table 18.3 SCIF I/O Port
Pins Amended
19.1.2 Block Diagram 752 Figure 19.1 Block Diagram
of INTC Amended
19.1.4 Register Configuration 753 Table 19.2 INTC Registers Description added to
table, Notes added and
amended
19.2.3 On-Chip Peripheral
Module Interrupts 757, 758 Description added and
amended
Rev. 6.0, 07/02, page xv of I
Section Page Item Description
758 Description added
19.2.4 Interrupt Exception
Handling and Priority 759 to
761 Table 19.5 Interrupt
Exception Handling Sources
and Priority Order
Description added to
table, Notes added and
amended
19.3.1 Interrupt Priority
Registers A to D (IPRA–
IPRD)
762 Table 19.6 Interrupt Request
Sources and IPRA–IPRD
Registers
SH7750R added to
Note 3
19.3.3 Interrupt-Priority-Level
Setting Register 00
(INTPRI00)
764 Newly added
19.3.4 Interrupt Source
Register 00 (INTREQ00)
(SH7750R Only)
765 Newly added
19.3.5 Interrupt Mask
Register 00 (INTMSK00)
(SH7750R Only)
766 Newly added
19.3.6 Interrupt Mask Clear
Register 00 (INTMSKCLR00)
(SH7750R Only)
767 Newly added
19.3.7 Bit Assignments of
INTREQ00, INTMSK00, and
INTMSKCLR00 (SH7750R
Only)
767 19.3.4 moved to 19.3.7
19.4.1 Interrupt Operation
Sequence 768 Note 3 added
19.5 Interrupt Response
Time 771 Note amended
20.2.4 Break Address Mask
Register (BAMRA) 778, 779 Bit 2, and Bits 3, 1, and 0 Description added
20.2.10 Break Data Mask
Register B (BDMRB) 783 Bits 31 to 0 Description added
20.3.7 Program Counter
(PC) Value Saved 791 20.3.7 Program Counter
(PC) Value Saved 4. Description added
20.4 User Break Debug
Support Function 794 Figure 20.2 User Break
Debug Support Function
Flowchart
Amended
21.1.1 Features 799 Description amended
21.1.2 Block Diagram 800 Figure 21.1 Block Diagram
of H-UDI Circuit Figure changed and
Note added
Rev. 6.0, 07/02, page xvi of I
Section Page Item Description
21.1.3 Pin Configuration 801 Table 21.1 H-UDI Pins Table amended and
Note 3 added
21.1.4 Register Configuration 802 Table 21.2 H-UDI Registers Description added to
table and Notes 3 and 4
added
21.2.1 Instruction Register
(SDIR) 804 [SH7750R] description
added
21.2.4 Interrupt Source
Register (SDINT) 806 Newly added
21.2.5 Boundary Scan
Register (SDBSR) 806 Newly added
808, 809 Table 21.3 Configuration of
the Boundary Scan Register
(2), (3)
Newly added
21.3.3 H-UDI Interrupt 811 Description changed
21.3.4 BYPASS Deleted
21.3.4 Boundary Scan
(EXTEST, SAMPLE/
PRELOAD, BYPASS)
812 Newly added
21.4 Usage Notes 812 5. Description added
22.1 Absolute Maximum
Ratings 813 Table 22.1 Absolute
Maximum Ratings Table amended and
notes amended
22.2 DC Characteristics 814, 815 Table 22.2
DC Characteristics
(HD6417750RBP240)
Newly added
816, 817 Table 22.3
DC Characteristics
(HD6417750RF240)
Newly added
818, 819 Table 22.4
DC Characteristics
(HD6417750RBP200)
Newly added
820, 821 Table 22.5
DC Characteristics
(HD6417750RF200)
Newly added
822, 823 Table 22.6
DC Characteristics
(HD6417750SBP200)
Amended
826, 827 Table 22.8
DC Characteristics
(HD6417750BP200M)
Amended
Rev. 6.0, 07/02, page xvii of I
Section Page Item Description
22.2 DC Characteristics 836, 837 Table 22.13
DC Characteristics
(HD6417750SVF133)
Amended
838, 839 Table 22.14
DC Characteristics
(HD6417750SVBT133)
Amended
840, 841 Table 22.15
DC Characteristics
(HD6417750VF128)
Amended
22.3 AC Characteristics 842 Table 22.17 Clock Timing
(HD6417750RBP240) Newly added
842 Table 22.18 Clock Timing
(HD6417750RF240) Newly added
842 Table 22.19 Clock Timing
(HD6417750BP200M,
HD6417750SBP200,
HD6417750RBP200)
HD6417750RBP200
clock timing added
842 Table 22.20 Clock Timing
(HD6417750RF200) Newly added
842 Table 22.21 Clock Timing
(HD6417750SF200) Amended
843 Table 22.22 Clock Timing
(HD6417750F167,
HD6417750F167I,
HD6417750SF167,
HD6417750SF167I)
Amended
843 Table 22.23 Clock Timing
(HD6417750SVF133,
HD6417750SVBT133)
Amended
843 Table 22.24 Clock Timing
(HD6417750VF128) Amended
22.3.1 Clock and Control
Signal Timing 844, 845 Table 22.25 Clock and
Control Signal Timing
(HD6417750RBP240)
Newly added
846, 847 Table 22.26 Clock and
Control Signal Timing
(HD6417750RF240)
Newly added
848, 849 Table 22.27 Clock and
Control Signal Timing
(HD6417750RBP200)
Newly added
Rev. 6.0, 07/02, page xviii of I
Section Page Item Description
22.3.1 Clock and Control
Signal Timing 850, 851 Table 22.28 Clock and
Control Signal Timing
(HD6417750RF200)
Newly added
852, 853 Table 22.29 Clock and
Control Signal Timing
(HD6417750BP200M,
HD6417750SBP200)
Newly added
854, 855 Table 22.30 Clock and
Control Signal Timing
(HD6417750SF200)
Amended
856, 857 Table 22.31 Clock and
Control Signal Timing
(HD6417750F167,
HD6417750F167I,
HD6417750SF167,
HD6417750SF167I)
Amended
858, 859 Table 22.32 Clock and
Control Signal Timing
(HD6417750SVF133,
HD6417750SVBT133)
Amended
860, 861 Table 22.33 Clock and
Control Signal Timing
(HD6417750VF128)
Amended
864 Figure 22.6 Standby Return
Oscillation Settling Time
(Return by RESET)
Amended
865 Figure 22.8 Standby Return
Oscillation Settling Time
(Return by IRL3IRL0)
Amended
866 Figure 22.10 PLL
Synchronization Settling Time
in Case of IRL Interrupt
Amended
22.3.2 Control Signal Timing 868 Table 22.34 Control Signal
Timing (1) Table newly added
22.3.3 Bus Timing 880 Figure 22.18 SRAM Bus
Cycle: Basic Bus Cycle (No
Wait, Address Setup/Hold
Time Insertion, AnS = 1,
AnH = 1)
Figure changed and
Note added
881 Figure 22.19 Burst ROM
Bus Cycle (No Wait) Amended
871, 872 Table 22.35 Bus Timing (1) Table newly added
Rev. 6.0, 07/02, page xix of I
Section Page Item Description
22.3.4 Peripheral Module
Signal Timing 924, 925 Table 22.36 Peripheral
Module Signal Timing (1) Table newly added
900 to
921, 923 Fi gures 22.37 t o 22.58,
Figure 22.60 Titles amended
930 Figure 22.62 RTC Oscillation
Settling Time at Power-On Amended
932 Figure 22.66(b) DBREQ/TR
Input Timing and BAVL
Output Timing
Newly added
Appendix A Address List 937 to
942 Table A.1 Address List BCR4, RCR3, RYRAR,
SDINT and Notes
added
BCR3 area 7 address
amended
DMAC, INTC, CPG,
TMU table added
Appendix B Package
Dimensions 943, 944 Figure B.1 Package
Dimensions (256-Pin BGA)
Figure B.2 Package
Dimensions (208-Pin QFP)
Amended
Appendix C Mode Pin
Settings 946 Clock Modes Table 10.3 (1), (2)
inserted
947 Area 0 Bus Width Area 0 memory type
deleted and data
integrated into area 0
bus width table
Appendix D CKIO2ENB Pin
Configuration 948 Figure D.1 CKIO2ENB Pin
Configuration Amended
Appendix E Pin Functions 950 to
952 Table E.1 Pin States in
Reset, Power-Down State,
and Bus-Released State
Sleep row deleted
D40–D51 deleted
Notes added
Appendix F Synchronous
DRAM Address
Multiplexing Tables
970, 971 (17) BUS 64
(128M: 4M × 8b × 4) × 8
(SH7750R only)
(18) BUS 64
(256M: 4M × 16b × 4) × 4
(SH7750R only)
Newly added
Rev. 6.0, 07/02, page xx of I
Section Page Item Description
Appendix F Synchronous
DRAM Address
Multiplexing Tables
972, 973 (19) BUS 32
(128M: 4M × 8b × 4) × 4
(SH7750S and SH7750R
only)
(20) BUS 32
(256M: 4M × 16b × 4) × 2
(SH7750S and SH7750R
only)
SH7750R added
Appendix H Power-On and
Power-Off Procedures 977 to
979 Newly added
Appendix I Product Code
Lineup 980 Table I.1 SH7750 Series
Product Code Lineup SH7750R added
Rev. 6.0, 07/02, page xxi of I
Contents
Section 1 Overview........................................................................................................... 1
1.1 SH7750 Series (SH7750, SH7750S, SH7750R) Features................................................. 1
1.2 Block Diagram.................................................................................................................. 9
1.3 Pin Arrangement............................................................................................................... 10
1.4 Pin Functions .................................................................................................................... 13
1.4.1 Pin Functions (256-Pin BGA).............................................................................. 13
1.4.2 Pin Functions (208-Pin QFP)............................................................................... 23
1.4.3 Pin Functions (264-Pin CSP)............................................................................... 31
Section 2 Programming Model..................................................................................... 41
2.1 Data Formats..................................................................................................................... 41
2.2 Register Configuration...................................................................................................... 42
2.2.1 Privileged Mode and Banks................................................................................. 42
2.2.2 General Registers................................................................................................. 45
2.2.3 Floating-Point Registers....................................................................................... 47
2.2.4 Control Registers ................................................................................................. 49
2.2.5 System Registers.................................................................................................. 50
2.3 Memory-Mapped Registers............................................................................................... 52
2.4 Data Format in Registers................................................................................................... 53
2.5 Data Formats in Memory.................................................................................................. 53
2.6 Processor States ................................................................................................................ 54
2.7 Processor Modes............................................................................................................... 55
Section 3 Memory Management Unit (MMU)......................................................... 57
3.1 Overview........................................................................................................................... 57
3.1.1 Features................................................................................................................ 57
3.1.2 Role of the MMU................................................................................................. 57
3.1.3 Register Configuration......................................................................................... 60
3.1.4 Caution................................................................................................................. 60
3.2 Register Descriptions........................................................................................................61
3.3 Address Space................................................................................................................... 64
3.3.1 Physical Address Space ....................................................................................... 64
3.3.2 External Memory Space....................................................................................... 67
3.3.3 Virtual Address Space.......................................................................................... 68
3.3.4 On-Chip RAM Space........................................................................................... 69
3.3.5 Address Translation............................................................................................. 69
3.3.6 Single Virtual Memory Mode and Multiple Virtual Memory Mode ................... 70
3.3.7 Address Space Identifier (ASID)......................................................................... 70
3.4 TLB Functions.................................................................................................................. 71
Rev. 6.0, 07/02, page xxii of I
3.4.1 Unified TLB (UTLB) Configuration ................................................................... 71
3.4.2 Instruction TLB (ITLB) Configuration................................................................ 75
3.4.3 Address Translation Method................................................................................ 75
3.5 MMU Functions................................................................................................................78
3.5.1 MMU Hardware Management............................................................................. 78
3.5.2 MMU Software Management.............................................................................. 78
3.5.3 MMU Instruction (LDTLB)................................................................................. 78
3.5.4 Hardware ITLB Miss Handling........................................................................... 79
3.5.5 Avoiding Synonym Problems.............................................................................. 80
3.6 MMU Exceptions.............................................................................................................. 81
3.6.1 Instruction TLB Multiple Hit Exception.............................................................. 81
3.6.2 Instruction TLB Miss Exception.......................................................................... 82
3.6.3 Instruction TLB Protection Violation Exception................................................. 83
3.6.4 Data TLB Multiple Hit Exception ....................................................................... 84
3.6.5 Data TLB Miss Exception ................................................................................... 84
3.6.6 Data TLB Protection Violation Exception........................................................... 85
3.6.7 Initial Page Write Exception................................................................................ 86
3.7 Memory-Mapped TLB Configuration............................................................................... 87
3.7.1 ITLB Address Array............................................................................................ 88
3.7.2 ITLB Data Array 1............................................................................................... 89
3.7.3 ITLB Data Array 2............................................................................................... 90
3.7.4 UTLB Address Array........................................................................................... 90
3.7.5 UTLB Data Array 1............................................................................................. 92
3.7.6 UTLB Data Array 2............................................................................................. 93
Section 4 Caches................................................................................................................ 95
4.1 Overview........................................................................................................................... 95
4.1.1 Features................................................................................................................ 95
4.1.2 Register Configuration......................................................................................... 96
4.2 Register Descriptions........................................................................................................97
4.3 Operand Cache (OC)......................................................................................................... 99
4.3.1 Configuration....................................................................................................... 99
4.3.2 Read Operation.................................................................................................... 103
4.3.3 Write Operation ................................................................................................... 104
4.3.4 Write-Back Buffer ............................................................................................... 105
4.3.5 Write-Through Buffer.......................................................................................... 105
4.3.6 RAM Mode.......................................................................................................... 106
4.3.7 OC Index Mode ................................................................................................... 107
4.3.8 Coherency between Cache and External Memory............................................... 107
4.3.9 Prefetch Operation............................................................................................... 108
4.4 Instruction Cache (IC)....................................................................................................... 108
4.4.1 Configuration....................................................................................................... 108
4.4.2 Read Operation.................................................................................................... 111
Rev. 6.0, 07/02, page xxiii of I
4.4.3 IC Index Mode..................................................................................................... 111
4.5 Memory-Mapped Cache Configuration (SH7750, SH7750S).......................................... 112
4.5.1 IC Address Array................................................................................................. 112
4.5.2 IC Data Array....................................................................................................... 113
4.5.3 OC Address Array ............................................................................................... 114
4.5.4 OC Data Array..................................................................................................... 115
4.6 Memory-Mapped Cache Configuration (SH7750R)......................................................... 116
4.6.1 IC Address Array................................................................................................. 117
4.6.2 IC Data Array....................................................................................................... 118
4.6.3 OC Address Array ............................................................................................... 119
4.6.4 OC Data Array..................................................................................................... 120
4.6.5 Summary of the Memory-Mapping of the OC..................................................... 121
4.7 Store Queues..................................................................................................................... 122
4.7.1 SQ Configuration................................................................................................. 122
4.7.2 SQ Writes............................................................................................................. 122
4.7.3 Transfer to External Memory............................................................................... 122
4.7.4 SQ Protection....................................................................................................... 124
4.7.5 Reading the SQs (SH7750R Only)...................................................................... 124
4.7.6 SQ Usage Notes................................................................................................... 125
Section 5 Exceptions........................................................................................................ 127
5.1 Overview........................................................................................................................... 127
5.1.1 Features................................................................................................................ 127
5.1.2 Register Configuration......................................................................................... 127
5.2 Register Descriptions........................................................................................................ 128
5.3 Exception Handling Functions.......................................................................................... 129
5.3.1 Exception Handling Flow.................................................................................... 129
5.3.2 Exception Handling Vector Addresses ................................................................ 129
5.4 Exception Types and Priorities......................................................................................... 130
5.5 Exception Flow................................................................................................................. 132
5.5.1 Exception Flow.................................................................................................... 132
5.5.2 Exception Source Acceptance.............................................................................. 133
5.5.3 Exception Requests and BL Bit........................................................................... 135
5.5.4 Return from Exception Handling......................................................................... 135
5.6 Description of Exceptions................................................................................................. 135
5.6.1 Resets................................................................................................................... 136
5.6.2 General Exceptions.............................................................................................. 141
5.6.3 Interrupts.............................................................................................................. 155
5.6.4 Priority Order with Multiple Exceptions ............................................................. 158
5.7 Usage Notes...................................................................................................................... 159
5.8 Restrictions ....................................................................................................................... 160
Rev. 6.0, 07/02, page xxiv of I
Section 6 Floating-Point Unit........................................................................................ 161
6.1 Overview........................................................................................................................... 161
6.2 Data Formats..................................................................................................................... 161
6.2.1 Floating-Point Format.......................................................................................... 161
6.2.2 Non-Numbers (NaN) ........................................................................................... 163
6.2.3 Denormalized Numbers....................................................................................... 164
6.3 Registers............................................................................................................................ 165
6.3.1 Floating-Point Registers....................................................................................... 165
6.3.2 Floating-Point Status/Control Register (FPSCR) ................................................. 167
6.3.3 Floating-Point Communication Register (FPUL)................................................ 168
6.4 Rounding........................................................................................................................... 168
6.5 Floating-Point Exceptions................................................................................................. 169
6.6 Graphics Support Functions.............................................................................................. 170
6.6.1 Geometric Operation Instructions........................................................................ 170
6.6.2 Pair Single-Precision Data Transfer..................................................................... 172
Section 7 Instruction Set................................................................................................. 173
7.1 Execution Environment .................................................................................................... 173
7.2 Addressing Modes ............................................................................................................ 175
7.3 Instruction Set................................................................................................................... 179
Section 8 Pipelining.......................................................................................................... 193
8.1 Pipelines............................................................................................................................ 193
8.2 Parallel-Executability........................................................................................................ 200
8.3 Execution Cycles and Pipeline Stalling ............................................................................ 204
Section 9 Power-Down Modes...................................................................................... 221
9.1 Overview........................................................................................................................... 221
9.1.1 Types of Power-Down Modes............................................................................. 221
9.1.2 Register Configuration......................................................................................... 223
9.1.3 Pin Configuration................................................................................................. 223
9.2 Register Descriptions........................................................................................................ 224
9.2.1 Standby Control Register (STBCR)..................................................................... 224
9.2.2 Peripheral Module Pin High Impedance Control................................................. 226
9.2.3 Peripheral Module Pin Pull-Up Control............................................................... 226
9.2.4 Standby Control Register 2 (STBCR2)................................................................ 227
9.2.5 Clock-Stop Register 00 (CLKSTP00) (SH7750R Only)..................................... 228
9.2.6 Clock-Stop Clear Register 00 (CLKSTPCLR00) (SH7750R Only).................... 229
9.3 Sleep Mode ....................................................................................................................... 230
9.3.1 Transition to Sleep Mode..................................................................................... 230
9.3.2 Exit from Sleep Mode.......................................................................................... 230
9.4 Deep Sleep Mode.............................................................................................................. 230
9.4.1 Transition to Deep Sleep Mode ........................................................................... 230
Rev. 6.0, 07/02, page xxv of I
9.4.2 Exit from Deep Sleep Mode ................................................................................ 231
9.5 Standby Mode................................................................................................................... 231
9.5.1 Transition to Standby Mode................................................................................. 231
9.5.2 Exit from Standby Mode...................................................................................... 232
9.5.3 Clock Pause Function .......................................................................................... 232
9.6 Module Standby Function................................................................................................. 233
9.6.1 Transition to Module Standby Function .............................................................. 233
9.6.2 Exit from Module Standby Function ................................................................... 234
9.7 Hardware Standby Mode (SH7750S, SH7750R Only)..................................................... 235
9.7.1 Transition to Hardware Standby Mode................................................................ 235
9.7.2 Exit from Hardware Standby Mode..................................................................... 235
9.7.3 Usage Notes......................................................................................................... 235
9.8 STATUS Pin Change Timing ........................................................................................... 236
9.8.1 In Reset................................................................................................................ 237
9.8.2 In Exit from Standby Mode................................................................................. 238
9.8.3 In Exit from Sleep Mode...................................................................................... 240
9.8.4 In Exit from Deep Sleep Mode............................................................................ 242
9.8.5 Hardware Standby Mode Timing (SH7750S, SH7750R Only)........................... 244
Section 10 Clock Oscillation Circuits ........................................................................... 247
10.1 Overview........................................................................................................................... 247
10.1.1 Features................................................................................................................ 247
10.2 Overview of CPG.............................................................................................................. 249
10.2.1 Block Diagram of CPG........................................................................................ 249
10.2.2 CPG Pin Configuration........................................................................................ 252
10.2.3 CPG Register Configuration................................................................................ 252
10.3 Clock Operating Modes.................................................................................................... 253
10.4 CPG Register Description................................................................................................. 254
10.4.1 Frequency Control Register (FRQCR)................................................................. 254
10.5 Changing the Frequency ................................................................................................... 257
10.5.1 Changing PLL Circuit 1 Starting/Stopping (When PLL Circuit 2 is Off) ........... 257
10.5.2 Changing PLL Circuit 1 Starting/Stopping (When PLL Circuit 2 is On)............ 257
10.5.3 Changing Bus Clock Division Ratio (When PLL Circuit 2 is On)...................... 258
10.5.4 Changing Bus Clock Division Ratio (When PLL Circuit 2 is Off)...................... 258
10.5.5 Changing CPU or Peripheral Module Clock Division Ratio ............................... 258
10.6 Output Clock Control........................................................................................................ 258
10.7 Overview of Watchdog Timer .......................................................................................... 259
10.7.1 Block Diagram..................................................................................................... 259
10.7.2 Register Configuration......................................................................................... 260
10.8 WDT Register Descriptions.............................................................................................. 260
10.8.1 Watchdog Timer Counter (WTCNT)................................................................... 260
10.8.2 Watchdog Timer Control/Status Register (WTCSR)........................................... 261
10.8.3 Notes on Register Access..................................................................................... 263
Rev. 6.0, 07/02, page xxvi of I
10.9 Using the WDT................................................................................................................. 263
10.9.1 Standby Clearing Procedure ................................................................................ 263
10.9.2 Frequency Changing Procedure........................................................................... 264
10.9.3 Using Watchdog Timer Mode ............................................................................. 264
10.9.4 Using Interval Timer Mode ................................................................................. 265
10.10 Notes on Board Design..................................................................................................... 265
Section 11 Realtime Clock (RTC).................................................................................. 267
11.1 Overview........................................................................................................................... 267
11.1.1 Features................................................................................................................ 267
11.1.2 Block Diagram..................................................................................................... 268
11.1.3 Pin Configuration................................................................................................. 269
11.1.4 Register Configuration......................................................................................... 269
11.2 Register Descriptions........................................................................................................ 271
11.2.1 64 Hz Counter (R64CNT).................................................................................... 271
11.2.2 Second Counter (RSECCNT) .............................................................................. 271
11.2.3 Minute Counter (RMINCNT).............................................................................. 272
11.2.4 Hour Counter (RHRCNT) .................................................................................... 272
11.2.5 Day-of-Week Counter (RWKCNT)..................................................................... 273
11.2.6 Day Counter (RDAYCNT).................................................................................. 274
11.2.7 Month Counter (RMONCNT) ............................................................................. 274
11.2.8 Year Counter (RYRCNT).................................................................................... 275
11.2.9 Second Alarm Register (RSECAR)..................................................................... 276
11.2.10 Minute Alarm Register (RMINAR)..................................................................... 276
11.2.11 Hour Alarm Register (RHRAR) .......................................................................... 277
11.2.12 Day-of-Week Alarm Register (RWKAR)............................................................ 277
11.2.13 Day Alarm Register (RDAYAR)......................................................................... 278
11.2.14 Month Alarm Register (RMONAR) .................................................................... 279
11.2.15 RTC Control Register 1 (RCR1).......................................................................... 279
11.2.16 RTC Control Register 2 (RCR2).......................................................................... 281
11.2.17 RTC Contro l Register 3 (RCR3) a nd Yea r-Alar m Register (RYRAR)
(SH7750R Only).................................................................................................. 283
11.3 Operation .......................................................................................................................... 285
11.3.1 Time Setting Procedures...................................................................................... 285
11.3.2 Time Reading Procedures.................................................................................... 286
11.3.3 Alarm Function.................................................................................................... 288
11.4 Interrupts........................................................................................................................... 289
11.5 Usage Notes...................................................................................................................... 289
11.5.1 Register Initialization........................................................................................... 289
11.5.2 Carry Flag and Interrupt Flag in Standby Mode.................................................. 289
11.5.3 Crystal Oscillator Circuit..................................................................................... 289
Rev. 6.0, 07/02, page xxvii of I
Section 12 Timer Unit (TMU)......................................................................................... 291
12.1 Overview........................................................................................................................... 291
12.1.1 Features................................................................................................................ 291
12.1.2 Block Diagram..................................................................................................... 292
12.1.3 Pin Configuration................................................................................................. 292
12.1.4 Register Configuration......................................................................................... 293
12.2 Register Descriptions........................................................................................................ 295
12.2.1 Timer Output Control Register (TOCR).............................................................. 295
12.2.2 Timer Start Register (TSTR)................................................................................ 296
12.2.3 Timer Start Register 2 (TSTR2) (SH7750R Only) .............................................. 297
12.2.4 Timer Constant Registers (TCOR) ...................................................................... 298
12.2.5 Timer Counters (TCNT)...................................................................................... 298
12.2.6 Timer Control Registers (TCR) ........................................................................... 299
12.2.7 Input Capture Register (TCPR2).......................................................................... 303
12.3 Operation .......................................................................................................................... 304
12.3.1 Counter Operation................................................................................................ 304
12.3.2 Input Capture Function........................................................................................ 307
12.4 Interrupts........................................................................................................................... 308
12.5 Usage Notes...................................................................................................................... 309
12.5.1 Register Writes .................................................................................................... 309
12.5.2 TCNT Register Reads.......................................................................................... 309
12.5.3 Resetting the RTC Frequency Divider................................................................. 309
12.5.4 External Clock Frequency.................................................................................... 309
Section 13 Bus State Controller (BSC)......................................................................... 311
13.1 Overview........................................................................................................................... 311
13.1.1 Features................................................................................................................ 311
13.1.2 Block Diagram..................................................................................................... 313
13.1.3 Pin Configuration................................................................................................. 314
13.1.4 Register Configuration......................................................................................... 318
13.1.5 Overview of Areas............................................................................................... 319
13.1.6 PCMCIA Support ................................................................................................ 322
13.2 Register Descriptions........................................................................................................ 326
13.2.1 Bus Control Register 1 (BCR1)........................................................................... 326
13.2.2 Bus Control Register 2 (BCR2)........................................................................... 335
13.2.3 Bus Control Register 3 (BCR3) (SH7750R Only)............................................... 337
13.2.4 Bus Control Register 4 (BCR4) (SH7750R Only)............................................... 338
13.2.5 Wait Control Register 1 (WCR1)......................................................................... 340
13.2.6 Wait Control Register 2 (WCR2)......................................................................... 343
13.2.7 Wait Control Register 3 (WCR3)......................................................................... 351
13.2.8 Memory Control Register (MCR)........................................................................ 352
13.2.9 PCMCIA Control Register (PCR)........................................................................ 359
13.2.10 Synchronous DRAM Mode Register (SDMR).................................................... 362
Rev. 6.0, 07/02, page xxviii of I
13.2.11 Refresh Timer Control/Status Register (RTCSR)................................................ 364
13.2.12 Refresh Timer Counter (RTCNT)........................................................................ 367
13.2.13 Refresh Time Constant Register (RTCOR) ......................................................... 368
13.2.14 Refresh Count Register (RFCR).......................................................................... 369
13.2.15 Notes on Accessing Refresh Control Registers.................................................... 369
13.3 Operation .......................................................................................................................... 370
13.3.1 Endian/Access Size and Data Alignment............................................................. 370
13.3.2 Areas.................................................................................................................... 382
13.3.3 SRAM Interface................................................................................................... 387
13.3.4 DRAM Interface.................................................................................................. 395
13.3.5 Synchronous DRAM Interface ............................................................................ 413
13.3.6 Burst ROM Interface............................................................................................ 441
13.3.7 PCMCIA Interface............................................................................................... 444
13.3.8 MPX Interface...................................................................................................... 455
13.3.9 Byte Control SRAM Interface............................................................................. 473
13.3.10 Waits between Access Cycles.............................................................................. 478
13.3.11 Bus Arbitration .................................................................................................... 480
13.3.12 Master Mode........................................................................................................ 483
13.3.13 Slave Mode.......................................................................................................... 484
13.3.14 Partial-Sharing Master Mode............................................................................... 485
13.3.15 Cooperation between Master and Slave............................................................... 486
13.3.16 Notes on Usage.................................................................................................... 487
Section 14 Direct Memory Access Controller (DMAC).......................................... 489
14.1 Overview........................................................................................................................... 489
14.1.1 Features................................................................................................................ 489
14.1.2 Block Diagram (SH7750, SH7750S)................................................................... 492
14.1.3 Pin Configuration (SH7750, SH7750S)............................................................... 493
14.1.4 Register Configuration (SH7750, SH7750S)....................................................... 494
14.2 Register Descriptions (SH7750, SH7750S)...................................................................... 496
14.2.1 DMA Source Address Registers 0–3 (SAR0–SAR3).......................................... 496
14.2.2 DMA Destination Address Registers 0–3 (DAR0–DAR3).................................. 497
14.2.3 DMA Transfer Count Registers 0–3 (DMATCR0–DMATCR3)......................... 498
14.2.4 DMA Channel Control Registers 0–3 (CHCR0–CHCR3)................................... 499
14.2.5 DMA Operation Register (DMAOR)................................................................... 507
14.3 Operation .......................................................................................................................... 510
14.3.1 DMA Transfer Procedure .................................................................................... 510
14.3.2 DMA Transfer Requests...................................................................................... 512
14.3.3 Channel Priorities ................................................................................................ 515
14.3.4 Types of DMA Transfer....................................................................................... 518
14.3.5 Number of Bus Cycle States and DREQ Pin Sampling Timing .......................... 527
14.3.6 Ending DMA Transfer......................................................................................... 541
14.4 Examples of Use............................................................................................................... 544
Rev. 6.0, 07/02, page xxix of I
14.4.1 Examples of Transfer between External Memory and an External Device
with DACK.......................................................................................................... 544
14.5 On-Demand Data Transfer Mode (DDT Mode) ............................................................... 545
14.5.1 Operation ............................................................................................................. 545
14.5.2 Pins in DDT Mode............................................................................................... 547
14.5.3 Transfer Request Acceptance on Each Channel .................................................. 550
14.5.4 Notes on Use of DDT Module............................................................................. 571
14.6 Configuration of the DMAC (SH7750R).......................................................................... 574
14.6.1 Block Diagram of the DMAC.............................................................................. 574
14.6.2 Pin Configuration (SH7750R) ............................................................................. 575
14.6.3 Register Configuration (SH7750R) ..................................................................... 576
14.7 Register Descriptions (SH7750R)..................................................................................... 579
14.7.1 DMA Source Address Registers 0–7 (SAR0–SAR7).......................................... 579
14.7.2 DMA Destination Address Registers 0–7 (DAR0–DAR7).................................. 579
14.7.3 DMA Transfer Count Registers 0–7 (DMATCR0–DMATCR7)......................... 580
14.7.4 DMA Channel Control Registers 0–7 (CHCR0–CHCR7)................................... 580
14.7.5 DMA Operation Register (DMAOR)................................................................... 583
14.8 Operation (SH7750R)....................................................................................................... 5 86
14.8.1 Channel Specification for a Normal DMA Transfer............................................ 586
14.8.2 Channel Specification for DDT-Mode DMA Transfer........................................ 586
14.8.3 Transfer Channel Notification in DDT Mode...................................................... 586
14.8.4 Clearing Request Queues by DTR Format........................................................... 587
14.8.5 Interrupt-Request Codes ...................................................................................... 588
14.9 Usage Notes...................................................................................................................... 591
Section 15 Serial Communication Interface (SCI) .................................................... 593
15.1 Overview........................................................................................................................... 593
15.1.1 Features................................................................................................................ 593
15.1.2 Block Diagram..................................................................................................... 595
15.1.3 Pin Configuration................................................................................................. 596
15.1.4 Register Configuration......................................................................................... 596
15.2 Register Descriptions........................................................................................................ 597
15.2.1 Receive Shift Register (SCRSR1)........................................................................ 597
15.2.2 Receive Data Register (SCRDR1)....................................................................... 597
15.2.3 Transmit Shift Register (SCTSR1)...................................................................... 598
15.2.4 Transmit Data Register (SCTDR1)...................................................................... 598
15.2.5 Serial Mode Register (SCSMR1)......................................................................... 599
15.2.6 Serial Control Register (SCSCR1)....................................................................... 601
15.2.7 Serial Status Register (SCSSR1).......................................................................... 605
15.2.8 Serial Port Register (SCSPTR1).......................................................................... 609
15.2.9 Bit Rate Register (SCBRR1)................................................................................ 613
15.3 Operation .......................................................................................................................... 621
15.3.1 Overview.............................................................................................................. 621
Rev. 6.0, 07/02, page xxx of I
15.3.2 Operation in Asynchronous Mode....................................................................... 623
15.3.3 Multiprocessor Communication Function ........................................................... 634
15.3.4 Operation in Synchronous Mode ......................................................................... 642
15.4 SCI Interrupt Sources and DMAC.................................................................................... 651
15.5 Usage Notes...................................................................................................................... 652
Section 16 Serial Communication Interface with FIFO (SCIF)............................. 657
16.1 Overview........................................................................................................................... 657
16.1.1 Features................................................................................................................ 657
16.1.2 Block Diagram..................................................................................................... 659
16.1.3 Pin Configuration................................................................................................. 660
16.1.4 Register Configuration......................................................................................... 661
16.2 Register Descriptions........................................................................................................ 661
16.2.1 Receive Shift Register (SCRSR2)........................................................................ 661
16.2.2 Receive FIFO Data Register (SCFRDR2) ........................................................... 662
16.2.3 Transmit Shift Register (SCTSR2)...................................................................... 662
16.2.4 Transmit FIFO Data Register (SCFTDR2).......................................................... 663
16.2.5 Serial Mode Register (SCSMR2)......................................................................... 663
16.2.6 Serial Control Register (SCSCR2)....................................................................... 665
16.2.7 Serial Status Register (SCFSR2).......................................................................... 668
16.2.8 Bit Rate Register (SCBRR2)................................................................................ 674
16.2.9 FIFO Control Register (SCFCR2) ....................................................................... 675
16.2.10 FIFO Data Count Register (SCFDR2)................................................................. 678
16.2.11 Serial Port Register (SCSPTR2) .......................................................................... 679
16.2.12 Line Status Register (SCLSR2) ........................................................................... 684
16.3 Operation .......................................................................................................................... 685
16.3.1 Overview.............................................................................................................. 685
16.3.2 Serial Operation................................................................................................... 686
16.4 SCIF Interrupt Sources and the DMAC............................................................................ 697
16.5 Usage Notes...................................................................................................................... 698
Section 17 Smart Card Interface..................................................................................... 703
17.1 Overview........................................................................................................................... 703
17.1.1 Features................................................................................................................ 703
17.1.2 Block Diagram..................................................................................................... 704
17.1.3 Pin Configuration................................................................................................. 705
17.1.4 Register Configuration......................................................................................... 705
17.2 Register Descriptions........................................................................................................ 706
17.2.1 Smart Card Mode Register (SCSCMR1)............................................................. 706
17.2.2 Serial Mode Register (SCSMR1)......................................................................... 707
17.2.3 Serial Control Register (SCSCR1)....................................................................... 708
17.2.4 Serial Status Register (SCSSR1).......................................................................... 709
17.3 Operation .......................................................................................................................... 710
Rev. 6.0, 07/02, page xxxi of I
17.3.1 Overview.............................................................................................................. 710
17.3.2 Pin Connections................................................................................................... 711
17.3.3 Data Format ......................................................................................................... 712
17.3.4 Register Settings.................................................................................................. 713
17.3.5 Clock.................................................................................................................... 715
17.3.6 Data Transmit/Receive Operations...................................................................... 718
17.4 Usage Notes...................................................................................................................... 725
Section 18 I/O Ports............................................................................................................ 731
18.1 Overview........................................................................................................................... 731
18.1.1 Features................................................................................................................ 731
18.1.2 Block Diagrams ................................................................................................... 732
18.1.3 Pin Configuration................................................................................................. 739
18.1.4 Register Configuration......................................................................................... 741
18.2 Register Descriptions........................................................................................................ 742
18.2.1 Port Control Register A (PCTRA)....................................................................... 742
18.2.2 Port Data Register A (PDTRA) ........................................................................... 743
18.2.3 Port Control Register B (PCTRB) ....................................................................... 744
18.2.4 Port Data Register B (PDTRB)............................................................................ 745
18.2.5 GPIO Interrupt Control Register (GPIOIC)......................................................... 745
18.2.6 Serial Port Register (SCSPTR1).......................................................................... 746
18.2.7 Serial Port Register (SCSPTR2).......................................................................... 748
Section 19 Interrupt Controller (INTC)........................................................................ 751
19.1 Overview........................................................................................................................... 751
19.1.1 Features................................................................................................................ 751
19.1.2 Block Diagram..................................................................................................... 751
19.1.3 Pin Configuration................................................................................................. 753
19.1.4 Register Configuration......................................................................................... 753
19.2 Interrupt Sources............................................................................................................... 754
19.2.1 NMI Interrupt....................................................................................................... 754
19.2.2 IRL Interrupts ...................................................................................................... 755
19.2.3 On-Chip Peripheral Module Interrupts................................................................ 757
19.2.4 Interrupt Exception Handling and Priority........................................................... 758
19.3 Register Descriptions........................................................................................................ 761
19.3.1 Interrupt Priority Registers A to D (IPRA–IPRD)............................................... 761
19.3.2 Interrupt Control Register (ICR).......................................................................... 762
19.3.3 Interrupt-Priority-Level Setting Register 00 (INTPRI00) (SH7750R Only) ....... 764
19.3.4 Interrupt Source Register 00 (INTREQ00) (SH7750R Only).............................. 765
19.3.5 Interrupt Mask Register 00 (INTMSK00) (SH7750R Only) ............................... 766
19.3.6 Interrupt Mask Clear Register 00 (INTMSKCLR00) (SH7750R Only).............. 767
19.3.7 Bit Assignments of INTREQ00, INTMSK00, and INTMSKCLR00
(SH7750R Only)..................................................................................................767
Rev. 6.0, 07/02, page xxxii of I
19.4 INTC Operation................................................................................................................ 768
19.4.1 Interrupt Operation Sequence.............................................................................. 768
19.4.2 Multiple Interrupts............................................................................................... 770
19.4.3 Interrupt Masking with MAI Bit.......................................................................... 770
19.5 Interrupt Response Time................................................................................................... 771
Section 20 User Break Controller (UBC)..................................................................... 773
20.1 Overview........................................................................................................................... 773
20.1.1 Features................................................................................................................ 773
20.1.2 Block Diagram..................................................................................................... 774
20.2 Register Descriptions........................................................................................................ 776
20.2.1 Access to UBC Control Registers........................................................................ 776
20.2.2 Break Address Register A (BARA)..................................................................... 777
20.2.3 Break ASID Register A (BASRA)....................................................................... 778
20.2.4 Break Address Mask Register A (BAMRA)........................................................ 778
20.2.5 Break Bus Cycle Register A (BBRA).................................................................. 779
20.2.6 Break Address Register B (BARB)...................................................................... 781
20.2.7 Break ASID Register B (BASRB)....................................................................... 781
20.2.8 Break Address Mask Register B (BAMRB)........................................................ 781
20.2.9 Break Data Register B (BDRB)........................................................................... 781
20.2.10 Break Data Mask Register B (BDMRB).............................................................. 782
20.2.11 Break Bus Cycle Register B (BBRB).................................................................. 783
20.2.12 Break Control Register (BRCR).......................................................................... 783
20.3 Operation .......................................................................................................................... 785
20.3.1 Explanation of Terms Relating to Accesses......................................................... 785
20.3.2 Explanation of Terms Relating to Instruction Intervals....................................... 786
20.3.3 User Break Operation Sequence.......................................................................... 787
20.3.4 Instruction Access Cycle Break........................................................................... 788
20.3.5 Operand Access Cycle Break ............................................................................... 789
20.3.6 Condition Match Flag Setting.............................................................................. 790
20.3.7 Program Counter (PC) Value Saved.................................................................... 790
20.3.8 Contiguous A and B Settings for Sequential Conditions..................................... 791
20.3.9 Usage Notes......................................................................................................... 792
20.4 User Break Debug Support Function................................................................................ 793
20.5 Examples of Use............................................................................................................... 795
20.6 User Break Controller Stop Function................................................................................ 797
20.6.1 Transition to User Break Controller Stopped State.............................................. 797
20.6.2 Cancelling the User Break Controller Stopped State........................................... 797
20.6.3 Examples of Stopping and Restarting the User Break Controller........................ 798
Section 21 Hitachi User Debug Interface (H-UDI)................................................... 799
21.1 Overview........................................................................................................................... 799
21.1.1 Features................................................................................................................ 799
Rev. 6.0, 07/02, page xxxiii of I
21.1.2 Block Diagram..................................................................................................... 799
21.1.3 Pin Configuration................................................................................................. 801
21.1.4 Register Configuration......................................................................................... 802
21.2 Register Descriptions........................................................................................................ 803
21.2.1 Instruction Register (SDIR)................................................................................. 803
21.2.2 Data Register (SDDR) ......................................................................................... 805
21.2.3 Bypass Register (SDBPR) ................................................................................... 805
21.2.4 Interrupt Source Register (SDINT) (SH7750R Only).......................................... 806
21.2.5 Boundary Scan Register (SDBSR) (SH7750R Only).......................................... 806
21.3 Operation .......................................................................................................................... 810
21.3.1 TAP Control......................................................................................................... 810
21.3.2 H-UDI Reset........................................................................................................ 811
21.3.3 H-UDI Interrupt................................................................................................... 811
21.3.4 Boundary Scan (EXTEST, SAMPLE/PRELOAD, BYPASS) (SH7750R Only) 812
21.4 Usage Notes...................................................................................................................... 812
Section 22 Electrical Characteristics.............................................................................. 813
22.1 Absolute Maximum Ratings ............................................................................................. 813
22.2 DC Characteristics............................................................................................................ 814
22.3 AC Characteristics............................................................................................................ 842
22.3.1 Clock and Control Signal Timing........................................................................ 844
22.3.2 Control Signal Timing......................................................................................... 868
22.3.3 Bus Timing .......................................................................................................... 871
22.3.4 Peripheral Module Signal Timing........................................................................ 924
22.3.5 AC Characteristic Test Conditions ...................................................................... 934
22.3.6 Delay Time Variation Due to Load Capacitance................................................. 935
Appendix A Address List ................................................................................................. 937
Appendix B Package Dimensions.................................................................................. 943
Appendix C Mode Pin Settings ...................................................................................... 947
Appendix D CKIO2ENB Pin Configuration............................................................... 949
Appendix E Pin Functions............................................................................................... 951
E.1 Pin States........................................................................................................................... 951
E.2 Handling of Unused Pins.................................................................................................. 954
Appendix F Synchronous DRAM Address Multiplexing Tables ....................... 955
Appendix G Prefetching of Instructions and its Side Effects................................. 977
Rev. 6.0, 07/02, page xxxiv of I
Appendix H Power-On and Power-Off Procedures.................................................. 978
Appendix I Product Code Lineup................................................................................. 979
Index.......................................................................................................................................... 981
Figures
Figure 1.1 Block Diagram of SH7750 Series Functions................................................... 9
Figure 1.2 Pin Arrangement (256-Pin BGA) .................................................................... 10
Figure 1.3 Pin Arrangement (208-Pin QFP)...................................................................... 11
Figure 1.4 Pin Arrangement (264-Pin CSP)...................................................................... 12
Figure 2.1 Data Formats.................................................................................................... 41
Figure 2.2 CPU Register Configuration in Each Processor Mode .................................... 44
Figure 2.3 General Registers............................................................................................. 46
Figure 2.4 Floating-Point Registers................................................................................... 48
Figure 2.5 Data Formats In Memory................................................................................. 53
Figure 2.6 Processor State Transitions.............................................................................. 55
Figure 3.1 Role of the MMU............................................................................................. 59
Figure 3.2 MMU-Related Registers.................................................................................. 61
Figure 3.3 Physical Address Space (MMUCR.AT = 0).................................................... 65
Figure 3.4 P4 Area ............................................................................................................ 66
Figure 3.5 External Memory Space................................................................................... 67
Figure 3.6 Virtual Address Space (MMUCR.AT = 1)...................................................... 68
Figure 3.7 UTLB Configuration........................................................................................ 71
Figure 3.8 Relationship between Page Size and Address Format..................................... 72
Figure 3.9 ITLB Configuration......................................................................................... 75
Figure 3.10 Flowchart of Memory Access Using UTLB.................................................... 76
Figure 3.11 Flowchart of Memory Access Using ITLB...................................................... 77
Figure 3.12 Operation of LDTLB Instruction..................................................................... 79
Figure 3.13 Memory-Mapped ITLB Address Array........................................................... 88
Figure 3.14 Memory-Mapped ITLB Data Array 1.............................................................. 89
Figure 3.15 Memory-Mapped ITLB Data Array 2.............................................................. 90
Figure 3.16 Memory-Mapped UTLB Address Array.......................................................... 91
Figure 3.17 Memory-Mapped UTLB Data Array 1............................................................ 92
Figure 3.18 Memory-Mapped UTLB Data Array 2............................................................ 93
Figure 4.1 Cache and Store Queue Control Registers....................................................... 97
Figure 4.2 Configuration of Operand Cache(SH7750, SH7750S).................................... 100
Figure 4.3 Configuration of Operand Cache (SH7750R).................................................. 101
Figure 4.4 Configuration of Write-Back Buffer................................................................ 105
Figure 4.5 Configuration of Write-Through Buffer.......................................................... 105
Figure 4.6 Configuration of Instruction Cache (SH7750, SH7750S)................................ 109
Figure 4.7 Configuration of Instruction Cache (SH7750R).............................................. 110
Rev. 6.0, 07/02, page xxxv of I
Figure 4.8 Memory-Mapped IC Address Array................................................................ 113
Figure 4.9 Memory-Mapped IC Data Array...................................................................... 114
Figure 4.10 Memory-Mapped OC Address Array .............................................................. 115
Figure 4.11 Memory-Mapped OC Data Array.................................................................... 116
Figure 4.12 Memory-Mapped IC Address Array................................................................ 118
Figure 4.13 Memory-Mapped IC Data Array...................................................................... 119
Figure 4.14 Memory-Mapped OC Address Array .............................................................. 120
Figure 4.15 Memory-Mapped OC Data Array.................................................................... 121
Figure 4.16 Store Queue Configuration.............................................................................. 122
Figure 5.1 Register Bit Configurations ............................................................................. 128
Figure 5.2 Instruction Execution and Exception Handling ............................................... 133
Figure 5.3 Example of General Exception Acceptance Order .......................................... 134
Figure 6.1 Format of Single-Precision Floating-Point Number ........................................ 161
Figure 6.2 Format of Double-Precision Floating-Point Number....................................... 162
Figure 6.3 Single-Precision NaN Bit Pattern..................................................................... 164
Figure 6.4 Floating-Point Registers................................................................................... 166
Figure 8.1 Basic Pipelines................................................................................................. 194
Figure 8.2 Instruction Execution Patterns......................................................................... 195
Figure 8.3 Examples of Pipelined Execution.................................................................... 207
Figure 9.1 STATUS Output in Power-On Reset............................................................... 237
Figure 9.2 STATUS Output in Manual Reset................................................................... 237
Figure 9.3 STATUS Output in Standby Interrupt Sequence........................................ 238
Figure 9.4 STATUS Output in Standby Power-On Reset Sequence............................ 238
Figure 9.5 STATUS Output in Standby Manual Reset Sequence................................ 239
Figure 9.6 STATUS Output in Sleep Interrupt Sequence ............................................ 240
Figure 9.7 STATUS Output in Sleep Power-On Reset Sequence................................ 240
Figure 9.8 STATUS Output in Sleep Manual Reset Sequence .................................... 241
Figure 9.9 STATUS Output in Deep Sleep Interrupt Sequence................................... 242
Figure 9.10 STATUS Output in Deep Sleep Power-On Reset Sequence....................... 242
Figure 9.11 STATUS Output in Deep Sleep Manual Reset Sequence........................... 243
Figure 9.12 Hardware Standby Mode Timing (When CA = Low in Normal Operation) ... 244
Figure 9.13 Hardware Standby Mode Timing (When CA = Low in WDT Operation)....... 245
Figure 9.14 Timing When Power Other than VDD-RTC is Off ......................................... 246
Figure 9.15 Timing When VDD-RTC Power is Off On ................................................ 246
Figure 10.1 (1) Block Diagram of CPG (SH7750, SH7750S).................................................. 249
Figure 10.1 (2) Block Diagram of CPG (SH7750R)................................................................. 250
Figure 10.2 Block Diagram of WDT................................................................................... 259
Figure 10.3 Writing to WTCNT and WTCSR .................................................................... 263
Figure 10.4 Points for Attention when Using Crystal Resonator........................................ 265
Figure 10.5 Points for Attention when Using PLL Oscillator Circuit................................. 266
Figure 11.1 Block Diagram of RTC.................................................................................... 268
Figure 11.2 Examples of Time Setting Procedures............................................................. 285
Figure 11.3 Examples of Time Reading Procedures........................................................... 287
Rev. 6.0, 07/02, page xxxvi of I
Figure 11.4 Example of Use of Alarm Function................................................................. 288
Figure 11.5 Example of Crystal Oscillator Circuit Connection .......................................... 290
Figure 12.1 Block Diagram of TMU................................................................................... 292
Figure 12.2 Example of Count Operation Setting Procedure.............................................. 305
Figure 12.3 TCNT Auto-Reload Operation......................................................................... 305
Figure 12.4 Count Timing when Operating on Internal Clock............................................ 306
Figure 12.5 Count Timing when Operating on External Clock........................................... 306
Figure 12.6 Count Timing when Operating on On-Chip RTC Output Clock ..................... 307
Figure 12.7 Operation Timing when Using Input Capture Function................................... 308
Figure 13.1 Block Diagram of BSC.................................................................................... 313
Figure 13.2 Correspondence between Virtual Address Space and External Memory
Space................................................................................................................ 319
Figure 13.3 External Memory Space Allocation................................................................. 321
Figure 13.4 Example of RDY Sampling Timing at which BCR4 is Set
(Two Wait Cycles are Inserted by WCR2)...................................................... 338
Figure 13.5 Writing to RTCSR, RTCNT, RTCOR, and RFCR.......................................... 370
Figure 13.6 Basic Timing of SRAM Interface.................................................................... 388
Figure 13.7 Example of 64-Bit Data Width SRAM Connection......................................... 389
Figure 13.8 Example of 32-Bit Data Width SRAM Connection......................................... 390
Figure 13.9 Example of 16-Bit Data Width SRAM Connection......................................... 391
Figure 13.10 Example of 8-Bit Data Width SRAM Connection........................................... 392
Figure 13.11 SRAM Interface Wait Timing (Software Wait Only)...................................... 393
Figure 13.12 SRAM Interface Wait State Timing (Wait State Insertion by RDY Signal).... 394
Figure 13.13 SRAM Interface Read-Strobe Negate Timing (AnS = 1, AnW = 4, AnH = 2) 395
Figure 13.14 Example of DRAM Connection (64-Bit Data Width, Area 3)......................... 396
Figure 13.15 Example of DRAM Connection (32-Bit Data Width, Area 3)......................... 397
Figure 13.16 Example of DRAM Connection (16-Bit Data Width, Areas 2 and 3).............. 398
Figure 13.17 Basic DRAM Access Timing........................................................................... 400
Figure 13.18 DRAM Wait State Timing............................................................................... 401
Figure 13.19 DRAM Burst Access Timing........................................................................... 402
Figure 13.20 DRAM Bus Cycle (EDO Mode, RCD = 0, AnW = 0, TPC = 1) ..................... 403
Figure 13.21 Burst Access Timing in DRAM EDO Mode ................................................... 404
Figure 13.22 (1) DRAM Burst Bus Cycle, RAS Down Mode Start
(Fast Page Mode, RCD = 0, AnW = 0)............................................................ 405
Figure 13.22 (2) DRAM Burst Bus Cycle, RAS Down Mode Continuation
(Fast Page Mode, RCD = 0, AnW = 0)............................................................ 406
Figure 13.22 (3) DRAM Burst Bus Cycle, RAS Down Mode Start
(EDO Mode, RCD = 0, AnW = 0)................................................................... 407
Figure 13.22 (4) DRAM Burst Bus Cycle, RAS Down Mode Continuation
(EDO Mode, RCD = 0, AnW = 0)................................................................... 408
Figure 13.23 CAS-Before-RAS Refresh Operation.............................................................. 409
Figure 13.24 DRAM CAS-Before-RAS Refresh Cycle Timing (TRAS = 0, TRC = 1) ....... 410
Figure 13.25 DRAM Self-Refresh Cycle Timing ................................................................. 412
Rev. 6.0, 07/02, page xxxvii of I
Figure 13.26 Example of 64-Bit Data Width Synchronous DRAM Connection (Area 3).... 414
Figure 13.27 Example of 32-Bit Data Width Synchronous DRAM Connection (Area 3).... 415
Figure 13.28 Basic Timing for Synchronous DRAM Burst Read......................................... 417
Figure 13.29 Basic Timing for Synchronous DRAM Single Read....................................... 418
Figure 13.30 Basic Timing for Synchronous DRAM Burst Write........................................ 419
Figure 13.31 Basic Timing for Synchronous DRAM Single Write ...................................... 421
Figure 13.32 Burst Read Timing........................................................................................... 423
Figure 13.33 Burst Read Timing (RAS Down, Same Row Address).................................... 424
Figure 13.34 Burst Read Timing (RAS Down, Different Row Addresses) .......................... 425
Figure 13.35 Burst Write Timing.......................................................................................... 426
Figure 13.36 Burst Write Timing (Same Row Address)....................................................... 427
Figure 13.37 Burst Write Timing (Different Row Addresses).............................................. 428
Figure 13.38 Burst Read Cycle for Different Bank and Row Address Following
Preceding Burst Read Cycle ............................................................................ 430
Figure 13.39 Auto-Refresh Operation................................................................................... 432
Figure 13.40 Synchronous DRAM Auto-Refresh Timing .................................................... 432
Figure 13.41 Synchronous DRAM Self-Refresh Timing...................................................... 434
Figure 13.42 (1) Synchronous DRAM Mode Write Timing (PALL)......................................... 436
Figure 13.42 (2) Synchronous DRAM Mode Write Timing (Mode Register Set)...................... 437
Figure 13.43 Basic Timing of Synchronous DRAM Burst Read (Burst Length = 4) ........... 438
Figure 13.44 Basic Timing of a Burst Write to Synchronous DRAM .................................. 440
Figure 13.45 Example of the Connection of Synchronous DRAM with 64-bit Bus Width
(256 Mbits) ...................................................................................................... 441
Figure 13.46 Burst ROM Basic Access Timing.................................................................... 442
Figure 13.47 Burst ROM Wait Access Timing..................................................................... 443
Figure 13.48 Burst ROM Wait Access Timing..................................................................... 444
Figure 13.49 Example of PCMCIA Interface........................................................................ 448
Figure 13.50 Basic Timing for PCMCIA Memory Card Interface ....................................... 449
Figure 13.51 Wait Timing for PCMCIA Memory Card Interface......................................... 450
Figure 13.52 PCMCIA Space Allocation.............................................................................. 451
Figure 13.53 Basic Timing for PCMCIA I/O Card Interface................................................ 452
Figure 13.54 Wait Timing for PCMCIA I/O Card Interface................................................. 453
Figure 13.55 Dynamic Bus Sizing Timing for PCMCIA I/O Card Interface........................ 454
Figure 13.56 Example of 64-Bit Data Width MPX Connection ........................................... 456
Figure 13.57 MPX Interface Timing 1
(Single Read Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits).......... 457
Figure 13.58 MPX Interface Timing 2
(Single Read, AnW = 0, One External Wait Inserted, Bus Width: 64 Bits)..... 458
Figure 13.59 MPX Interface Timing 3
(Single Write Cycle, AnW = 0, No Wait, Bus Width: 64 Bits) ....................... 459
Figure 13.60 MPX Interface Timing 4
(Single Write, AnW = 1, One External Wait Inserted, Bus Width: 64 Bits).... 460
Rev. 6.0, 07/02, page xxxviii of I
Figure 13.61 MPX Interface Timing 5
(Burst Read Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 461
Figure 13.62 MPX Interface Timing 6
(Burst Read Cycle, AnW = 0, External Wait Control, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 462
Figure 13.63 MPX Interface Timing 7
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 463
Figure 13.64 MPX Interface Timing 8
(Burst Write Cycle, AnW = 1, External Wait Control, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 464
Figure 13.65 MPX Interface Timing 1
(Burst Read Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes).......................................................................... 465
Figure 13.66 MPX Interface Timing 2
(Burst Read Cycle, AnW = 0, One External Wait Inserted, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes).......................................................................... 466
Figure 13.67 MPX Interface Timing 3
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes).......................................................................... 467
Figure 13.68 MPX Interface Timing 4
(Burst Write Cycle, AnW = 1, One External Wait Inserted, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes).......................................................................... 468
Figure 13.69 MPX Interface Timing 5
(Burst Read Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 469
Figure 13.70 MPX Interface Timing 6
(Burst Read Cycle, AnW = 0, External Wait Control, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 470
Figure 13.71 MPX Interface Timing 7
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 471
Figure 13.72 MPX Interface Timing 8
(Burst Write Cycle, AnW = 1, External Wait Control, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes).......................................................................... 472
Figure 13.73 Example of 64-Bit Data Width Byte Control SRAM....................................... 474
Figure 13.74 Byte Control SRAM Basic Read Cycle (No Wait).......................................... 475
Figure 13.75 Byte Control SRAM Basic Read Cycle (One Internal Wait Cycle)................. 476
Figure 13.76 Byte Control SRAM Basic Read Cycle
(One Internal Wait + One External Wait)........................................................ 477
Figure 13.77 Waits between Access Cycles.......................................................................... 479
Figure 13.78 Arbitration Sequence ....................................................................................... 482
Rev. 6.0, 07/02, page xxxix of I
Figure 14.1 Block Diagram of DMAC................................................................................ 492
Figure 14.2 DMAC Transfer Flowchart.............................................................................. 511
Figure 14.3 Round Robin Mode.......................................................................................... 516
Figure 14.4 Example of Changes in Priority Order in Round Robin Mode........................ 517
Figure 14.5 Data Flow in Single Address Mode................................................................. 519
Figure 14.6 DMA Transfer Timing in Single Address Mode ............................................. 520
Figure 14.7 Operation in Dual Address Mode.................................................................... 521
Figure 14.8 Example of Transfer Timing in Dual Address Mode....................................... 522
Figure 14.9 Example of DMA Transfer in Cycle Steal Mode............................................. 523
Figure 14.10 Example of DMA Transfer in Burst Mode...................................................... 523
Figure 14.11 Bus Handling with Two DMAC Channels Operating ..................................... 527
Figure 14.12 Dual Address Mode/Cycle Steal Mode External Bus External Bus/
DREQ (Level Detection), DACK (Read Cycle).............................................. 530
Figure 14.13 Dual Address Mode/Cycle Steal Mode External Bus External Bus/
DREQ (Edge Detection), DACK (Read Cycle)............................................... 531
Figure 14.14 Dual Address Mode/Burst Mode External Bus External Bus/
DREQ (Level Detection), DACK (Read Cycle).............................................. 532
Figure 14.15 Dual Address Mode/Burst Mode External Bus External Bus/
DREQ (Edge Detection), DACK (Read Cycle)............................................... 533
Figure 14.16 Dual Address Mode/Cycle Steal Mode On-Chip SCI (Level Detection)
External Bus..................................................................................................... 534
Figure 14.17 Dual Address Mode/Cycle Steal Mode External Bus On-Chip SCI
(Level Detection)............................................................................................. 535
Figure 14.18 Single Address Mode/Cycle Steal Mode External Bus External Bus/
DREQ (Level Detection) ................................................................................. 536
Figure 14.19 Single Address Mode/Cycle Steal Mode External Bus External Bus/
DREQ (Edge Detection).................................................................................. 537
Figure 14.20 Single Address Mode/Burst Mode External Bus External Bus/
DREQ (Level Detection) ................................................................................. 538
Figure 14.21 Single Address Mode/Burst Mode External Bus External Bus/
DREQ (Edge Detection).................................................................................. 539
Figure 14.22 Single Address Mode/Burst Mode External Bus External Bus/
DREQ (Level Detection)/32-Byte Block Transfer
(Bus Width: 64 Bits, SDRAM: Row Hit Write) .............................................. 540
Figure 14.23 On-Demand Transfer Mode Block Diagram.................................................... 545
Figure 14.24 System Configuration in On-Demand Data Transfer Mode ............................ 547
Figure 14.25 Data Transfer Request Format......................................................................... 548
Figure 14.26 Single Address Mode: Synchronous DRAM External Device Longword
Transfer SDRAM auto-precharge Read bus cycle, burst (RCD[1:0] = 01,
C A S l a te n cy = 3 , TP C [2:0 ] = 00 1 )...................................................................... 551
Figure 14.27 Sin g le Ad d ress Mo d e: Ex tern a l D ev i ce Synchronous DRAM Longword
Transfer SDRAM auto-precharge Write bus cycle, burst (RCD[1:0] = 01,
TR WL [ 2 : 0 ] = 1 0 1 , T P C [ 2 : 0 ] = 0 0 1 ) .................................................................... 552
Rev. 6.0, 07/02, page xl of I
Figure 14.28 Dual Address Mode/Synchronous DRAM SRAM Longword Transfer ..... 553
Figure 14.29 Single Address Mode/Burst Mode/External Bus External Device 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer .................................... 554
Figure 14.30 Single Address Mode/Burst Mode/External Device External Bus 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer .................................... 554
Figure 14.31 Single Address Mode/Burst Mode/External Bus External Device 32-Bit
Transfer/Channel 0 On-Demand Data Transfer............................................... 555
Figure 14.32 Single Address Mode/Burst Mode/External Device External Bus 32-Bit
Transfer/Channel 0 On-Demand Data Transfer............................................... 556
Figure 14.33 Handshake Protocol Using Data Bus (Channel 0 On-Demand Data Transfer) 557
Figure 14.34 Handshake Protocol without Use of Data Bus (Channel 0 On-Demand Data
Transfer) .......................................................................................................... 558
Figure 14.35 Read from Synchronous DRAM Precharge Bank............................................ 559
Figure 14.36 Read from Synchronous DRAM Non-Precharge Bank (Row Miss)................ 559
Figure 14.37 Read from Synchronous DRAM (Row Hit)..................................................... 560
Figure 14.38 Write to Synchronous DRAM Precharge Bank ............................................... 560
Figure 14.39 Write to Synchronous DRAM Non-Precharge Bank (Row Miss) ................... 561
Figure 14.40 Write to Synchronous DRAM (Row Hit) ........................................................ 561
Figure 14.41 Single Address Mode/Burst Mode/External Bus External Device 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer .................................... 562
Figure 14.42 DDT Mode Setting........................................................................................... 563
Figure 14.43 Single Address Mode/Burst Mode/Edge Detection/ External Device
External Bus Data Transfer.............................................................................. 563
Figure 14.44 Single Address Mode/Burst Mode/Level Detection/ External Bus
External Device Data Transfer......................................................................... 564
Figure 14.45 Single Address Mode/Burst Mode/Edge Detection/By te, Word, Longword,
Quadword/Ex ternal Bus External Device Data Transfer ............................ 564
Figure 14.46 Single Address Mode/Burst Mode/Edge Detection/By te, Word, Longword,
Quadword/External Device External Bus Data Transfer ............................ 565
Figure 14.47 Single Address Mode/Burst Mode/32-By te Block Transfer/DMA Transfer
Request to Channels 1–3 Using Data Bus........................................................ 566
Figure 14.48 Single Address Mode/Burst Mode/32-Byte Block Transfer/ External Bus
External Device Data Transfer/ Direct Data Transfer Request to Channel 2
without Using Data Bus................................................................................... 567
Figure 14.49 Single Address Mode/Burst Mode/External Bus External Device Data
Transfer/Direct Data Transfer Request to Channel 2....................................... 568
Figure 14.50 Single Address Mode/Burst Mode/External Device External Bus Data
Transfer/Direct Data Transfer Request to Channel 2....................................... 569
Figure 14.51 Single Address Mode/Burst Mode/External Bus External Device Data
Transfer (Active Bank Address)/Direct Data Transfer Request to Channel 2. 570
Figure 14.52 Single Address Mode/Burst Mode/External Device External Bus Data
Transfer (Active Bank Address)/Direct Data Transfer Request to Channel 2. 571
Figure 14.53 Block Diagram of the DMAC.......................................................................... 574
Rev. 6.0, 07/02, page xli of I
Figure 14.54 DTR Format (Transfer Request Format) (SH7750R)...................................... 584
Figure 14.55 Single Address Mode/Burst Mode/External Bus External Device
32-Byte Block Transfer/Channel 0 On-Demand Data Transfer....................... 589
Figure 14.56 Single Address Mode/Burst Mode/External Bus External Device/
32-Byte Block Transfer/On- Demand Data Transfer on Channel 4.................. 590
Figure 15.1 Block Diagram of SCI ..................................................................................... 595
Figure 15.2 MD0/SCK Pin.................................................................................................. 611
Figure 15.3 MD7/TxD Pin .................................................................................................. 612
Figure 15.4 RxD Pin............................................................................................................ 612
Figure 15.5 Data Format in Asynchronous Communication (Example with 8-Bit Data,
Parity, Two Stop Bits)...................................................................................... 623
Figure 15.6 Relation between Output Clock and Transfer Data Phase
(Asynchronous Mode) ..................................................................................... 625
Figure 15.7 Sample SCI Initialization Flowchart................................................................ 626
Figure 15.8 Sample Serial Transmission Flowchart............................................................ 627
Figure 15.9 Example of Transmit Operation in Asynchronous Mode
(Example with 8-Bit Data, Parity, One Stop Bit)............................................. 629
Figure 15.10 Sample Serial Reception Flowchart (1) ........................................................... 630
Figure 15.10 Sample Serial Reception Flowchart (2) ........................................................... 631
Figure 15.11 Example of SCI Receive Operation
(Example with 8-Bit Data, Parity, One Stop Bit)............................................. 633
Figure 15.12 Example of Inter-Processor Comm unication Using Multiprocessor Format
(Transmission of Data H'AA to Receiving Station A)..................................... 635
Figure 15.13 Sample Multiprocessor Serial Transmission Flowchart................................... 636
Figure 15.14 Example of SCI Transmit Operation (Example with 8-Bit Data,
Multiprocessor Bit, One Stop Bit) ................................................................... 638
Figure 15.15 Sample Multiprocessor Serial Reception Flowchart (1) .................................. 639
Figure 15.15 Sample Multiprocessor Serial Reception Flowchart (2) .................................. 640
Figure 15.16 Example of SCI Receive Operation (Example with 8-Bit Data,
Multiprocessor Bit, One Stop Bit) ................................................................... 641
Figure 15.17 Data Format in Synchronous Communication................................................. 642
Figure 15.18 Sample SCI Initialization Flowchart................................................................ 644
Figure 15.19 Sample Serial Transmission Flowchart............................................................ 645
Figure 15.20 Example of SCI Transmit Operation ............................................................... 646
Figure 15.21 Sample Serial Reception Flowchart (1) ........................................................... 647
Figure 15.21 Sample Serial Reception Flowchart (2) ........................................................... 648
Figure 15.22 Example of SCI Receive Operation................................................................. 649
Figure 15.23 Sample Flowchart for Serial Data Transmission and Reception ...................... 650
Figure 15.24 Receive Data Sampling Timing in Asynchronous Mode................................. 654
Figure 15.25 Example of Synchronous Transm ission by DMAC......................................... 655
Figure 16.1 Block Diagram of SCIF................................................................................... 659
Figure 16.2 MD8/RTS2 Pin ................................................................................................ 681
Figure 16.3 CTS2 Pin.......................................................................................................... 682
Rev. 6.0, 07/02, page xlii of I
Figure 16.4 MD1/TxD2 Pin ................................................................................................ 683
Figure 16.5 MD2/RxD2 Pin................................................................................................ 683
Figure 16.6 Sample SCIF Initialization Flowchart .............................................................. 689
Figure 16.7 Sample Serial Transmission Flowchart............................................................ 690
Figure 16 .8 Example of Transmit Operation (Ex ample with 8-Bit Data, Parity,
One Stop Bit)................................................................................................... 692
Figure 16.9 Example of Operation Using Modem Control (CTS2).................................... 692
Figure 16.10 Sample Serial Reception Flowchart (1) ........................................................... 693
Figure 16.10 Sample Serial Reception Flowchart (2) ........................................................... 694
Figure 16.11 Example of SCIF Receive Operation (Example with 8-Bit Data, Parity,
One Stop Bit)................................................................................................... 696
Figure 16.12 Example of Operation Using Modem Control (RTS2).................................... 696
Figure 16.13 Receive Data Sampling Timing in Asynchronous Mode................................. 699
Figure 16.14 Overrun Error Flag........................................................................................... 701
Figure 17.1 Block Diagram of Smart Card Interface .......................................................... 704
Figure 17.2 Schematic Diagram of Smart Card Interface Pin Connections........................ 711
Figure 17.3 Smart Card Interface Data Format................................................................... 712
Figure 17.4 TEND Generation Timing ............................................................................... 714
Figure 17.5 Sample Start Character Waveforms................................................................. 715
Figure 17.6 Difference in Clock Output Accord ing to GM Bit Setting .............................. 717
Figure 17.7 Sample Initialization Flowchart....................................................................... 719
Figure 17.8 Sample Transmission Processing Flowchart.................................................... 721
Figure 17.9 Sample Reception Processing Flowchart......................................................... 723
Figure 17.10 Receive Data Sampling Timing in Smart Card Mode...................................... 725
Figure 17.11 Retransfer Operation in SCI Receive Mode..................................................... 726
Figure 17.12 Retransfer Operation in SCI Transmit Mode................................................... 727
Figure 17.13 Procedure for Stopping and Restarting the Clock............................................ 728
Figure 18.1 16-Bit Port........................................................................................................ 732
Figure 18.2 4-Bit Port.......................................................................................................... 733
Figure 18.3 MD0/SCK Pin.................................................................................................. 734
Figure 18.4 MD7/TxD Pin .................................................................................................. 735
Figure 18.5 RxD Pin............................................................................................................ 735
Figure 18.6 MD1/TxD2 Pin ................................................................................................ 736
Figure 18.7 MD2/RxD2 Pin................................................................................................ 736
Figure 18.8 CTS2 Pin.......................................................................................................... 737
Figure 18.9 MD8/RTS2 Pin ................................................................................................ 738
Figure 19.1 Block Diagram of INTC .................................................................................. 752
Figure 19.2 Example of IRL Interrupt Connection............................................................. 755
Figure 19.3 Interrupt Operation Flowchart ......................................................................... 769
Figure 20.1 Block Diagram of User Break Controller ........................................................ 774
Figure 20.2 User Break Debug Support Function Flowchart.............................................. 794
Figure 21.1 Block Diagram of H-UDI Circuit .................................................................... 800
Figure 21.2 TAP Control State Transition Diagram............................................................ 810
Rev. 6.0, 07/02, page xliii of I
Figure 21.3 H-UDI Reset.................................................................................................... 811
Figure 22.1 EXTAL Clock Input Timing............................................................................ 862
Figure 22.2(1) CKIO Clock Output Timing ............................................................................ 862
Figure 22.2(2) CKIO Clock Output Timing ............................................................................ 862
Figure 22.3 Power-On Oscillation Settling Time................................................................ 863
Figure 22.4 Standby Return Oscillation Settling Time (Return by RESET)....................... 863
Figure 22.5 Power-On Oscillation Settling Time................................................................ 864
Figure 22.6 Standby Return Oscillation Settling Time (Return by RESET)....................... 864
Figure 22.7 Standby Return Oscillation Settling Time (Return by NMI) ........................... 865
Figure 22.8 Standby Return Oscillation Settling Time (Return by IRL3IRL0)................ 865
Figure 22 .9 PLL Synchronization Settling Time in Case of RESET or NMI Interrupt ...... 866
Figure 22.10 PLL Synchronization Settling Time in Case of IRL Interrupt......................... 866
Figure 22.11 Manual Reset Input Timing............................................................................. 867
Figure 22.12 Mode Input Timing.......................................................................................... 867
Figure 22.13 Control Signal Timing ..................................................................................... 870
Figure 22.14 Pin Drive Timing for Standby Mode ............................................................... 870
Figure 22.15 SRAM Bus Cycle: Basic Bus Cycle (No Wait)............................................... 877
Figure 22.16 SRAM Bus Cycle: Basic Bus Cycle (One Internal Wait)................................ 878
Figure 22.17 SRAM Bus Cycle: Basic Bus Cycle (One Internal Wait + One External Wait)879
Figure 22.18 SRAM Bus Cycle: Basic Bus Cycle (No Wait, Address Setup/Hold Time
Insertion, AnS = 1, AnH = 1)........................................................................... 880
Figure 22.19 Burst ROM Bus Cycle (No Wait).................................................................... 881
Figure 22.20 Burst ROM Bus Cycle (1st Data: One Inter nal Wait + One External Wait;
2nd/3rd/4th Data: One Internal Wait).............................................................. 882
Figure 22.21 Burst ROM Bus Cycle (No Wait, Address Setup/Hold Time Insertion,
AnS = 1, AnH = 1)........................................................................................... 883
Figure 22.22 Burst ROM Bus Cycle (One Internal Wait + One External Wait)................... 884
Figure 22.23 Synchronous DRAM Auto-Precharge Read Bus Cycle:
Single (RCD[1:0] = 01, CAS Latency = 3, TPC[2:0] = 011)........................... 885
Figure 22.24 Synchronous DRAM Auto-Precharge Read Bus Cycle:
Burst (RCD[1:0] = 01, CAS Latency = 3, TPC[2:0] = 011)............................ 886
Figure 22.25 Synchronous DRAM Normal Read Bus Cycle:
ACT + READ Commands, Burst (RCD[1:0] = 01, CAS Latency = 3)........... 887
Figure 22.26 Synchronous DRAM Normal Read Bus Cycle:
PRE + ACT + READ Commands, Burst (RCD[1:0] = 01, TPC[2:0] = 001,
CAS Latency = 3)............................................................................................ 888
Figure 22.27 Synchronous DRAM Normal Read Bus Cycle:
READ Command, Burst (CAS Latency = 3)................................................... 889
Figure 22.28 Synchronous DRAM Auto-Precharge Write Bus Cycle:
Single (RCD[1:0] = 01, TPC[2:0] = 001, TRWL[2:0] = 010)......................... 890
Figure 22.29 Synchronous DRAM Auto-Precharge Write Bus Cycle:
Burst (RCD[1:0] = 01, TPC[2:0] = 001, TRWL[2:0] = 010)........................... 891
Rev. 6.0, 07/02, page xliv of I
Figure 22.30 Synchronous DRAM Normal Write Bus Cycle:
ACT + WRITE Commands, Burst (RCD[1:0] = 01, TRWL[2:0] = 010)........ 892
Figure 22.31 Synchronous DRAM Normal Write Bus Cycle:
PRE + ACT + WRITE Commands, Burst (RCD[1:0] = 01, TPC[2:0] = 001,
TRWL[2:0] = 010)........................................................................................... 893
Figure 22.32 Synchronous DRAM Normal Write Bus Cycle:
WRITE Command, Burst (TRWL[2:0] = 010)................................................ 894
Figure 22.33 Synchronous DRAM Bus Cycle:
Synchronous DRAM Precharge Command (TPC[2:0] = 001) ........................ 895
Figure 22.34 Synchronous DRAM Bus Cycle:
Synchronous DRAM Auto-Refresh (TRAS = 1, TRC[2:0] = 001) ................. 896
Figure 22.35 Synchronous DRAM Bus Cycle:
Synchronous DRAM Self-Refresh (TRC[2:0] = 001) ..................................... 897
Figure 22.36 (a) Synchronous DRAM Bus Cycle:
Synchronous DRAM Mode Register Setting (PALL) ..................................... 898
Figure 22.36 (b) Synchronous DRAM Bus Cycle:
Synchronous DRAM Mode Register Setting (SET)........................................ 899
Figure 22.37 DRAM Bus Cycles
(1) RCD[1:0] = 00, AnW[2:0] = 000, TPC[2:0] = 001
(2) RCD[1:0] = 01, AnW[2:0] = 001, TPC[2:0] = 010.................................... 900
Figure 22.38 DRAM Bus Cycle (EDO Mode, RCD[1:0] = 00, AnW[2:0] = 000,
TPC[2:0] = 001)............................................................................................... 901
Figure 22.39 DRAM Burst Bus Cycle (EDO Mode, RCD[1:0] = 00, AnW[2:0] = 000,
TPC[2:0] = 001)............................................................................................... 902
Figure 22.40 DRAM Burst Bus Cycle (EDO Mode, RCD[1:0] = 01, AnW[2:0] = 001,
TPC[2:0] = 001)............................................................................................... 903
Figure 22.41 DRAM Burst Bus Cycle (EDO Mode, RCD[1:0] = 01, AnW[2:0] = 001,
TPC[2:0] = 001, 2-Cycle CAS Negate Pulse Width)....................................... 904
Figure 22.42 DRAM Burst Bus Cycle: RAS Down Mode State (EDO Mode,
RCD[1:0] = 00, AnW[2:0] = 000) ................................................................... 905
Figure 22.43 DRAM Burst Bus Cycle: RAS Down Mode Continuation (EDO Mode,
RCD[1:0] = 00, AnW[2:0] = 000) ................................................................... 906
Figure 22.44 DRAM Burst Bus Cycle (Fast Page Mode, RCD[1:0] = 00,
AnW[2:0] = 000, TPC[2:0] = 001) .................................................................. 907
Figure 22.45 DRAM Burst Bus Cycle (Fast Page Mode, RCD[1:0] = 01,
AnW[2:0] = 001, TPC[2:0] = 001) .................................................................. 908
Figure 22.46 DRAM Burst Bus Cycle (Fast Page Mode, RCD[1:0] = 01,
AnW[2:0] = 001, TPC[2:0] = 001, 2-Cycle CAS Negate Pulse Width) .......... 909
Figure 22.47 DRAM Burst Bus Cycle: RAS Down Mode State (Fast Page Mode,
RCD[1:0] = 00, AnW[2:0] = 000) ................................................................... 910
Figure 22.48 DRAM Burst Bus Cycle: RAS Down Mode Continuation
(Fast Page Mode, RCD[1:0] = 00, AnW[2:0] = 000)....................................... 911
Rev. 6.0, 07/02, page xlv of I
Figure 22.49 DRAM Bus Cycle: DRAM CAS-Before-RAS Refresh
(TRAS[2:0] = 000, TRC[2:0] = 001)............................................................... 912
Figure 22.50 DRAM Bus Cycle: DRAM CAS-Before-RAS Refresh
(TRAS[2:0] = 001, TRC[2:0] = 001)............................................................... 913
Figure 22.51 DRAM Bus Cycle: DRAM Self-Refresh (TRC[2:0] = 001)............................ 914
Figure 22.52 PCMCIA Memory Bus Cycle
(1) TED[2:0] = 000, TEH[2:0] = 000, No Wait
(2) TED[2:0] = 001, TEH[2:0] = 001, One Internal Wait +
One External Wait............................................................................................ 915
Figure 22.53 PCMCIA I/O Bus Cycle
(1) TED[2:0] = 000, TEH[2:0] = 000, No Wait
(2) TED[2:0] = 001, TEH[2:0] = 001, One Internal Wait +
One External Wait............................................................................................ 916
Figure 22.54 PCMCIA I/O Bus Cycle (TED[2:0] = 001, TEH[2:0] = 001,
One Internal Wait, Bus Sizing)........................................................................ 917
Figure 22.55 MPX Basic Bus Cycle: Read
(1) 1st Data (One Internal Wait)
(2) 1st Data (One Internal Wait + One External Wait).................................... 918
Figure 22.56 MPX Basic Bus Cycle: Write
(1) 1st Data (No Wait)
(2) 1st Data (One Internal Wait)
(3) 1st Data (One Internal Wait + One External Wait).................................... 919
Figure 22.57 MPX Bus Cycle: Burst Read
(1) 1st Data (One Internal Wait), 2nd to 8th Data (One Inter n al Wait)
(2) 1st Data (One Internal Wait), 2nd to 4th Data (One Inter n al Wait +
One External Wait).......................................................................................... 920
Figure 22.58 MPX Bus Cycle: Bu rst Write
(1) No Internal Wait
(2) 1st Data (One Internal Wait), 2nd to 4th Data (No Internal Wait +
External Wait Control)..................................................................................... 921
Figure 22.59 Memory Byte Control SRAM Bus Cycles
(1) Basic Read Cycle (No Wait)
(2) Basic Read Cycle (One Internal Wait)
(3) Basic Read Cycle (One Internal Wait + One External Wait)..................... 922
Figure 22.60 Memory Byte Control SRAM Bus Cycle: Basic Read Cycle
(No Wait, Address Setup/Hold Time Insertion, AnS[0] = 1, AnH[1:0] =01).. 923
Figure 22.61 TCLK Input Timing......................................................................................... 930
Figure 22.62 RTC Oscillation Settling Time at Power-On ................................................... 930
Figure 22.63 SCK Input Clock Timing................................................................................. 930
Figure 22.64 SCI I/O Synchronous Mode Clock Timing...................................................... 931
Figure 22.65 I/O Port Input/Output Timing.......................................................................... 931
Figure 22.66(a) DREQ/DRAK Timing ..................................................................................... 931
Figure 22.66(b) DBREQ/TR Input Timing and BAVL Output Timing .................................... 932
Rev. 6.0, 07/02, page xlvi of I
Figure 22.67 TCK Input Timing........................................................................................... 932
Figure 22.68 RESET Hold Timing........................................................................................ 932
Figure 22.69 H-UDI Data Transfer Timing .......................................................................... 933
Figure 22.70 Pin Break Timing............................................................................................. 933
Figure 22.71 NMI Input Timing ........................................................................................... 933
Figure 22.72 Output Load Circuit......................................................................................... 934
Figure 22.73 Load Capacitance vs. Delay Time ................................................................... 935
Figure B.1 Package Dimensions (256-Pin BGA) (SH7750 and SH7750S)....................... 943
Figure B.2 Package Dimensions (256-Pin BGA) (SH7750R Only) .................................. 944
Figure B.3 Package Dimensions (208-Pin QFP)................................................................ 945
Figure B.4 Package Dimensions (264-Pin CSP)................................................................ 946
Figure D.1 CKIO2ENB Pin Configuration........................................................................ 949
Figure G.1 Instruction Prefetch.......................................................................................... 977
Figure H.1 Power-On and Power-Off Procedures.............................................................. 978
Tables
Table 1.1 SH7750 Series Features..................................................................................... 1
Table 1.2 Pin Functions ..................................................................................................... 13
Table 1.3 Pin Functions ..................................................................................................... 23
Table 1.4 Pin Functions ..................................................................................................... 31
Table 2.1 Initial Register Values........................................................................................ 43
Table 3.1 MMU Registers.................................................................................................. 60
Table 4.1 Cache Features (SH7750, SH7750S)................................................................. 95
Table 4.2 Cache Features (SH7750R)................................................................................ 95
Table 4.3 Features of Store Queues................................................................................... 96
Table 4.4 Cache Control Registers .................................................................................... 96
Table 5.1 Exception-Related Registers.............................................................................. 127
Table 5.2 Exceptions .......................................................................................................... 130
Table 5.3 Types of Reset ................................................................................................... 137
Table 6.1 Floating-Point Number Formats and Parameters............................................... 162
Table 6.2 Floating-Point Ranges........................................................................................ 163
Table 7.1 Addressing Modes and Effective Addresses...................................................... 175
Table 7.2 Notation Used in Instruction List....................................................................... 179
Table 7.3 Fixed-Point Transfer Instructions...................................................................... 180
Table 7.4 Arithmetic Operation Instructions ..................................................................... 182
Table 7.5 Logic Operation Instructions ............................................................................. 184
Table 7.6 Shift Instructions................................................................................................ 185
Table 7.7 Branch Instructions............................................................................................ 186
Table 7.8 System Control Instructions............................................................................... 187
Table 7.9 Floating-Point Single-Precision Instructions ..................................................... 189
Table 7.10 Floating-Point Double-Precision Instructions.................................................... 190
Table 7.11 Floating-Point Control Instructions ................................................................... 190
Rev. 6.0, 07/02, page xlvii of I
Table 7.12 Floating-Point Graphics Acceleration Instructions............................................ 191
Table 8.1 Instruction Groups ............................................................................................. 200
Table 8.2 Parallel-Executability......................................................................................... 204
Table 8.3 Execution Cycles ............................................................................................... 211
Table 9.1 Status of CPU and Peripheral Modules in Power-Down Modes........................ 222
Table 9.2 Power-Down Mode Registers............................................................................ 223
Table 9.3 Power-Down Mode Pins.................................................................................... 223
Table 9.4 State of Registers in Standby Mode................................................................... 231
Table 10.1 CPG Pins............................................................................................................ 252
Table 10.2 CPG Register ..................................................................................................... 252
Table 10.3 (1) Clock Operating Modes (SH7750, SH7750S)................................................... 253
Table 10.3 (2) Clock Operating Modes (SH7750R).................................................................. 253
Table 10.4 FRQCR Settings and Internal Clock Frequencies.............................................. 254
Table 10.5 WDT Registers .................................................................................................. 260
Table 11.1 RTC Pins............................................................................................................ 269
Table 11.2 RTC Registers.................................................................................................... 269
Table 11.3 Crystal Oscillator Circuit Constants (Recommended Values)........................... 289
Table 12.1 TMU Pins........................................................................................................... 292
Table 12.2 TMU Registers................................................................................................... 293
Table 12.3 TMU Interrupt Sources...................................................................................... 309
Table 13.1 BSC Pins............................................................................................................ 314
Table 13.2 BSC Registers.................................................................................................... 318
Table 13.3 External Memory Space Map ............................................................................ 320
Table 13.4 PCMCIA Interface Features .............................................................................. 322
Table 13.5 PCMCIA Support Interfaces.............................................................................. 323
Table 13.6 MPX Interface is Selected (Areas 0 to 6)........................................................... 350
Table 13.7 (1) 64-Bit External Device/Big-Endian Access and Data Alignment...................... 372
Table 13.7 (2) 64-Bit External Device/Big-Endian Access and Data Alignment...................... 373
Table 13.8 32-Bit External Device/Big-Endian Access and Data Alignment...................... 374
Table 13.9 16-Bit External Device/Big-Endian Access and Data Alignment...................... 375
Table 13.10 8-Bit External Device/Big-Endian Access and Data Alignment........................ 376
Table 13.11 (1) 64-Bit External Device/Little-Endian Access and Data Alignment ................... 377
Table 13.11 (2) 64-Bit External Device/Little-Endian Access and Data Alignment ................... 378
Table 13.12 32-Bit External Device/Little-Endian Access and Data Alignment................... 379
Table 13.13 16-Bit External Device/Little-Endian Access and Data Alignment................... 380
Table 13.14 8-Bit External Device/Little-Endian Access and Data Alignment..................... 381
Table 13.15 Relationship between AMXEXT and AMX2–0 Bits and
Address Multiplexing ........................................................................................ 399
Table 13.16 Example of Correspondence between SH7750 Series and Synchronous DRAM
Address Pins (64-Bit Bus Width, AMX2–AMX0 = 011, AMXEXT = 0)......... 416
Table 13.17 Cycles for which Pipeline Access is Possible.................................................... 431
Table 13.18 Relationship between Address and CE when Using PCMCIA Interface........... 446
Table 14.1 DMAC Pins........................................................................................................ 493
Rev. 6.0, 07/02, page xlviii of I
Table 14.2 DMAC Pins in DDT Mode................................................................................ 494
Table 14.3 DMAC Registers................................................................................................ 494
Table 14.4 Selecting External Request Mode with RS Bits................................................. 513
Table 14.5 Selecting On-Chip Peripheral Module Request Mode with RS Bits.................. 514
Table 14.6 Supported DMA Transfers................................................................................. 518
Table 14.7 Relationship between DMA Transfer Type, Request Mode, and Bus Mode..... 524
Table 14.8 External Request Transfer Sources and Destinations in Normal Mode............. 525
Table 14.9 External Request Transfer Sources and Destinations in DDT Mode................. 526
Table 14.10 Conditions for Transfer between External Memory and an External Device
with DACK, and Corresponding Register Settings............................................ 544
Table 14.11 DMAC Pins........................................................................................................ 575
Table 14.12 DMAC Pins in DDT Mode................................................................................ 576
Table 14.13 Register Configuration....................................................................................... 577
Table 14.14 Channel Selection by DTR Format (DMAOR.DBL = 1) .................................. 584
Table 14.15 Notification of Transfer Channel in Eight-Channel DDT Mode ....................... 587
Table 14.16 Function of BAVL ............................................................................................. 587
Table 14.17 DTR Format for Clearing Request Queues........................................................ 588
Table 14.18 DMAC Interrupt-Request Codes ....................................................................... 589
Table 15.1 SCI Pins............................................................................................................. 596
Table 15.2 SCI Registers..................................................................................................... 596
Table 15.3 Examples of Bit Rates and SCBRR1 Settings in Asynchronous Mode............. 615
Table 15.4 Examples of Bit Rates and SCBRR1 Settings in Synchronous Mode ............... 618
Table 15.5 Maximum Bit Rate for Various Frequencies with Baud Rate Generator
(Asynchronous Mode) ....................................................................................... 619
Table 15.6 Maximum Bit Rate with External Clock Input (Asynchronous Mode) ............. 620
Table 15.7 Maximum Bit Rate with External Clock Input (Synchronous Mode)................ 620
Table 15.8 SCSMR1 Settings for Serial Transfer Format Selection.................................... 622
Table 15.9 SCSMR1 and SCSCR1 Settings for SCI Clock Source Selection..................... 622
Table 15.10 Serial Transfer Formats (Asynchronous Mode)................................................. 624
Table 15.11 Receive Error Conditions................................................................................... 632
Table 15.12 SCI Interrupt Sources......................................................................................... 651
Table 15.13 SCSSR1 Status Flags and Transfer of Receive Data ......................................... 652
Table 16.1 SCIF Pins........................................................................................................... 660
Table 16.2 SCIF Registers................................................................................................... 661
Table 16.3 SCSMR2 Settings for Serial Transfer Format Selection.................................... 685
Table 16.4 SCSCR2 Settings for SCIF Clock Source Selection.......................................... 686
Table 16.5 Serial Transmit/Receive Formats....................................................................... 687
Table 16.6 SCIF Interrupt Sources ...................................................................................... 697
Table 17.1 Smart Card Interface Pins.................................................................................. 705
Table 17.2 Smart Card Interface Registers.......................................................................... 705
Table 17.3 Smart Card Interface Register Settings.............................................................. 713
Table 17.4 Values of n and Co rresponding CKS1 and CKS0 Settings................................ 715
Table 17.5 Examples of Bit Rate B (bits/s) for Various SCBRR1 Settings (When n = 0) .. 716
Rev. 6.0, 07/02, page xlix of I
Table 17.6 Examples of SCBRR1 Settings for Bit Rate B (bits/s) (When n = 0)................ 716
Table 17.7 Maximum Bit Rate at Various Frequencies (Smart Card Interface Mode)........ 716
Table 17.8 Register Settings and SCK Pin State.................................................................. 717
Table 17.9 Smart Card Mode Operating States and Interrupt Sources ................................ 724
Table 18.1 20-Bit General-Purpose I/O Port Pins................................................................ 739
Table 18.2 SCI I/O Port Pins ............................................................................................... 740
Table 18.3 SCIF I/O Port Pins............................................................................................. 740
Table 18.4 I/O Port Registers............................................................................................... 741
Table 19.1 INTC Pins.......................................................................................................... 753
Table 19.2 INTC Registers.................................................................................................. 753
Table 19.3 IRL3IRL0 Pins and Interrupt Levels ............................................................... 756
Table 19.4 SH7750 IRL3IRL0 Pins and Interrupt Levels (When IRLM = 1)................... 757
Table 19.5 Interrupt Exception Handling Sources and Priority Order................................. 759
Table 19.6 Interrupt Request Sources and IPRA–IPRD Registers....................................... 762
Table 19.7 Interrupt Request Sources and the Bits of the INTPRI00 Register.................... 765
Table 19.8 Bit Assignments................................................................................................. 767
Table 19.9 Interrupt Response Time.................................................................................... 771
Table 20.1 UBC Registers ................................................................................................... 775
Table 21.1 H-UDI Pins........................................................................................................ 801
Table 21.2 H-UDI Registers ................................................................................................ 802
Table 21.3 Configuration of the Boundary Scan Register (1).............................................. 807
Table 21.3 Configuration of the Boundary Scan Register (2).............................................. 808
Table 21.3 Configuration of the Boundary Scan Register (3).............................................. 809
Table 22.1 Absolute Maximum Ratings.............................................................................. 813
Table 22.2 DC Characteristics (HD6417750RBP240) ........................................................ 814
Table 22.3 DC Characteristics (HD6417750RF240)........................................................... 816
Table 22.4 DC Characteristics (HD6417750RBP200) ........................................................ 818
Table 22.5 DC Characteristics (HD6417750RF200)........................................................... 820
Table 22.6 DC Characteristics (HD6417750SBP200)......................................................... 822
Table 22.7 DC Characteristics (HD6417750SF200) ........................................................... 824
Table 22.8 DC Characteristics (HD6417750BP200M)........................................................ 826
Table 22.9 DC Characteristics (HD6417750SF167) ........................................................... 828
Table 22.10 DC Characteristics (HD6417750SF167I).......................................................... 830
Table 22.11 DC Characteristics (HD6417750F167).............................................................. 832
Table 22.12 DC Characteristics (HD6417750F167I) ............................................................ 834
Table 22.13 DC Characteristics (HD6417750SVF133)......................................................... 836
Table 22.14 DC Characteristics (HD6417750SVBT133)...................................................... 838
Table 22.15 DC Characteristics (HD6417750VF128)........................................................... 840
Table 22.16 Permissible Output Currents.............................................................................. 841
Table 22.17 Clock Timing (HD6417750RBP240) ................................................................ 842
Table 22.18 Clock Timing (HD6417750RF240)................................................................... 842
Table 22.19 Clock Timing (HD6417750BP200M, HD6417750SBP200,
HD6417750RBP200)......................................................................................... 842
Rev. 6.0, 07/02, page l of I
Table 22.20 Clock Timing (HD6417750RF200)................................................................... 842
Table 22.21 Clock Timing (HD6417750SF200) ................................................................... 842
Table 22.22 Clock Timing (HD6417750F167, HD6417750F167I, HD6417750SF167,
HD6417750SF167I)........................................................................................... 843
Table 22.23 Clock Timing (HD6417750SVF133, HD6417750SVBT133)........................... 843
Table 22.24 Clock Timing (HD6417750VF128)................................................................... 843
Table 22.25 Clock and Control Signal Timing (HD6417750RBP240) ................................. 844
Table 22.26 Clock and Control Signal Timing (HD6417750RF240).................................... 846
Table 22.27 Clock and Control Signal Timing (HD6417750RBP200) ................................. 848
Table 22.28 Clock and Control Signal Timing (HD6417750RF200).................................... 850
Table 22.29 Clock and Control Signal Timing (HD6417750BP200M,
HD6417750SBP200)......................................................................................... 852
Table 22.30 Clock and Control Signal Timing (HD6417750SF200) .................................... 854
Table 22.31 Clock and Control Signal Timing (HD6417750F167, HD6417750F167I,
HD6417750SF167, HD6417750SF167I)........................................................... 856
Table 22.32 Clock and Control Signal Timing (HD6417750SVF133,
HD6417750SVBT133)...................................................................................... 858
Table 22.33 Clock and Control Signal Timing (HD6417750VF128).................................... 860
Table 22.34 Control Signal Timing (1).................................................................................. 868
Table 22.34 Control Signal Timing (2).................................................................................. 869
Table 22.35 Bus Timing (1) ................................................................................................... 871
Table 22.35 Bus Timing (2) ................................................................................................... 873
Table 22.35 Bus Timing (3) ................................................................................................... 875
Table 22.36 Peripheral Module Signal Timing (1)................................................................ 924
Table 22.36 Peripheral Module Signal Timing (2)................................................................ 926
Table 22.36 Peripheral Module Signal Timing (3)................................................................ 928
Table A.1 Address List....................................................................................................... 937
Table E.1 Pin States in Reset, Power-Down State, and Bus-Released State...................... 951
Table I.1 SH7750 Series Product Code Lineup................................................................. 979
Rev. 6.0, 07/02, page 1 of 986
Section 1 Overview
1.1 SH7750 Series (SH7750, SH7750S, SH7750R) Features
The SH7750 Series (SH7750, SH7750S, SH7750R) is a 32-bit RISC (reduced instruction set
computer) microprocessor, featuring object code upward-compatibility with SH-1, SH-2, and SH-
3 microcomputers. It includes an instruction cache, an operand cache with a choice of copy-back
or write-through mode, and an MMU (memory management unit) with a 64-entry fully-associative
unified TLB (translation lookaside buffer). The SH7750 and SH7750S have an 8-kbyte instruction
cache and a 16-kbyte data cache. The SH7750R has a 16-kbyte instruction cache and a 32-kbyte
data cache.
The SH7750 Series has an on-chip bus state controller (BSC) that allows connection to DRAM
and synchronous DRAM. Its 16-bit fixed-length instruction set enables program code size to be
reduced by almost 5 0% compared with 32-b it instructions.
The features of the SH7750 Series are summarized in table 1.1.
Table 1.1 SH7750 Series Features
Item Features
LSI Operating frequency: 240 MHz*1, 200 MHz, 167 MHz*2 *3, 133 MHz*2,
128 MHz*3
Performance
432 MIPS (240 MHz), 360 MIPS (200 MHz), 300 MIPS (167 MHz),
240 MIPS (133 MHz), 230 MIPS (128 MHz)
1.7 GFLOPS (240 MHz), 1.4 GFLOPS (200 MHz),
1.2 GFLOPS (167 MHz), 0.9 GFLOPS (133 MHz, 128 MHz)
Superscalar architecture: Parallel execution of two instructions
Packages: 256-pin BGA, 208-pin QFP, 264-pin CSP*2
External buses
Separate 26-bit address and 64-bit data buses
External bus frequency of 1/2, 1/3, 1/4, 1/6, or 1/8 times internal bus
frequency
Rev. 6.0, 07/02, page 2 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
CPU Original Hitachi SH architecture
32-bit internal data bus
General register file:
Sixteen 32-bit general registers (and eight 32-bit shadow registers)
Seven 32-bit control registers
Four 32-bit system registers
RISC-type instruction set (upward-compatible with SH Series)
Fixed 16-bit instruction length for improved code efficiency
Load-store architecture
Delayed branch instructions
Conditional execution
C-based instruction set
Superscalar architecture (providing simu ltaneous execution of two
instructions) including FPU
Instruction execution time: Maximum 2 instructions/cycle
Virtual address space: 4 Gbytes (448-Mbyte external memory space)
Space identifier ASIDs : 8 bits, 256 virtual address spaces
On-chip multiplier
Five-stage pipeline
Rev. 6.0, 07/02, page 3 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
FPU On-chip floating-point coprocessor
Supports single-precision (32 bits) and double-precision (64 bits)
Supports IEEE754-com pli ant data typ es and ex cept ion s
Two rounding modes: Round to Nearest and Round to Zero
Handling of denormalized numbers: Truncation to zero or interrupt
generation for compliance with IEEE754
Floating-point registers: 32 bits × 16 words × 2 banks
(single-precision × 16 wo rds o r double-precision × 8 words) × 2 banks
32-bit CPU-FPU floating -point communication register (FPUL)
Supports FMAC (multiply-and-accumulate) instruction
Supports FDIV (divide) and FSQRT (square root) instructions
Supports FLDI0/FLDI1 (load constant 0/1) instructions
Instruction execution times
Latency (FMAC/FADD/FSUB/FMUL): 3 cycles (single-precision), 8
cycles (double-precision)
Pitch (FMAC/FADD/FSUB/FMUL): 1 cycle (single-precision), 6 cycles
(double-precision)
Note: FMAC is supported for single-precision only.
3-D graphics instructions (single-precision only):
4-dimensional vector conversion and matrix operations (FTRV): 4
cycles (pitch), 7 cycles (latency)
4-dimensional vector inner product (FIPR): 1 cycle (pitch), 4 cycles
(latency)
Rev. 6.0, 07/02, page 4 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
Clock pulse
generator (CPG) Choice of main clock:
SH7750, SH7750S: 1/2, 1, 3, or 6 times EXTAL
SH7750R: 1, 6, or 12 times EXTAL
Clock modes:
CPU frequency: 1, 1/2, 1/3, 1/4, 1/6, or 1/8 times main clock
Bus frequency: 1/2, 1/3, 1/4, 1/6, or 1/8 times main clock
Peripheral frequen cy: 1/2, 1/3, 1/4, 1/6, or 1/ 8 times main cl ock
Note: Maximum frequency varies with models.
Power-down modes
Sleep mode
Standby mode
Module standb y functi on
Single-channel watchdog timer
Memory
management
unit (MMU)
4-Gbyte address space, 256 address space identifiers (8-bit ASIDs)
Single virtual mode and multiple virtual memory mode
Supports multiple page sizes: 1 kbyte, 4 kbytes, 64 kbytes, 1 Mbyte
4-entry fully-associative TLB for instructions
64-entry fully-associative TLB for instructions and operands
Supports software-controlled replacement and random-counter
replacement alg orit hm
TLB contents can be accessed directly by address mapping
Rev. 6.0, 07/02, page 5 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
Cach e memory
[SH7750, SH7750S] Instruction cache (IC)
8 kbytes, direct mapping
256 entries, 32-byte blo ck len gth
Normal mode (8-kbyte cache)
Index mode
Operand ca che (OC)
16 kbytes, direct mapping
512 entries, 32-byte blo ck len gth
Normal mode (16-kbyte cache)
Index mode
RAM mode (8-kbyte cache + 8-kbyte RAM)
Choice of write method (copy-back or write-through)
Single-st age cop y-ba ck buffer, sin gle-s tag e write-through buffer
Cache memory contents can be accessed directly by address mapping
(usable as on-chip memory)
Store queue (32 bytes × 2 ent ries)
Cach e memory
[SH7750R] Instru cti on cac he (IC)
16 kbytes, 2-way set as so ciat iv e
256 entries/way, 32-byte block length
Cache-double-mode (16-kbyte cache)
Index mode
SH7750/SH7750S-compatible mode (8 kbytes, direct mapping)
Operand ca che (OC)
32 kbytes, 2-way set as so ciat iv e
512 entries/way, 32-byte block length
Cache-double-mode (32-kbyte cache)
Index mode
RAM mode (16-kbyte cache + 16-kbyte RAM)
SH7750/SH7750S-compatible mode (16 kbytes, direct mapping)
Single-st age cop y-ba ck buffer, sin gle-s tag e write-through buffer
Cache memory contents can be accessed directly by address mapping
(usable as on-chip memory)
Store queue (32 bytes × 2 ent ries)
Rev. 6.0, 07/02, page 6 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
Interrupt controller
(INTC) Five independent external interrupts: NMI, IRL3 to IRL0
15-level encoded external interrupts: IRL3 to IRL0
On-chip peripheral module interrupts: Priority level can be set for each
module
User break
controller (UBC) Supports debugging by means of user break interrupts
Two break channels
Address, data value, access type, and data size can all be set as break
conditions
Supports sequential break function
Bus state
controller (BSC) Supports external memory access
64/32/16/8-bit external data bus
External memory spac e divided into seven areas, each of up to 64
Mbytes, with the following parameters settable for each area:
Bus size (8, 16, 32, or 64 bits)
Number of wait cycles (hardware wait function also supported)
Connection of DRAM, synchronous DRAM, and burst ROM possible
by setting space type
Supports fast page mode and DRAM EDO
Supports PCMCIA interface
Chip select signals (CS0 to CS6) ou tput for rel e vant areas
DRAM/synchronous DRAM refresh functions
Programmable refresh interval
Supports CAS-before-RAS refresh mode and self-refresh mode
DRAM/synchronous DRAM burst access function
Big endian or little endian mode can be set
Rev. 6.0, 07/02, page 7 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
Direct memory
access controller
(DMAC)
Physical address DMA controller:
SH7750, SH7750S: 4-channel
SH7750R: 8-channel
Transfer data size: 8, 16, 32, or 64 bits, or 32 bytes
Address modes:
Single address mode
Dual address mode
Transfer requests: External, on-chip module, or auto-requests
Bus modes: Cycle-steal or burst mode
Supports on-demand data transfer
Timer unit (TMU) Auto-reload 32-b it timer:
SH7750, SH7750S: 3-channel
SH7750R: 5-channel
Input capture fun ctio n
Choice of seven cou nter inp ut clo ck s
Realtime clo ck
(RTC) On-chip clock and calendar functions
Built-in 32 kHz crystal oscillator with maximum 1/256 second resolution
(cycle interrupts)
Serial
communication
interface
(SCI, SCIF)
Two full-duplex communication channels (SCI, SCIF)
Channel 1 (SCI):
Choice of asynchronous mode or synchronous mode
Supports smart card interface
Channel 2 (SCIF):
Supports asynchronous mode
Separate 16-byte FIFOs provided for transmitter and receiver
Rev. 6.0, 07/02, page 8 of 986
Table 1.1 SH7750 Series Features (cont)
Item Features
Product lineup Abbre-
viation Voltage
(Internal) Operating
Frequency Model No. Package
SH7750 1.95 V 200 MHz HD6417750BP200M 256-pin BGA
1.8 V 167 MHz HD6417750F167
HD6417750F167I
1.5 V 128 MHz HD6417750VF128
208-pin QFP
SH7750S 1.95 V 200 MHz HD6417750SBP200 256-pin BGA
HD6417750SF200
1.8 V 167 MHz HD6417750SF167
HD6417750SF167I
1.5 V 133 MHz HD6417750SVF133
208-pin QFP
HD6417750SVBT133 264-pin CSP
SH7750R 1.5 V 240 MHz HD6417750RBP240 256-pin BGA
HD6417750RF240 208-pin QFP
200 MHz HD6417750RBP200 256-pin BGA
HD6417750RF200 208-pin QFP
Notes: *1 For SH7750R only
*2 For SH7750S only
*3 For SH7750 only
Rev. 6.0, 07/02, page 9 of 986
1.2 Block Diagram
Figure 1.1 shows an internal block diagram of the SH7750 Series.
CPG
INTC
SCI
(SCIF)
RTC
TMU
External
bus interface
BSC DMAC
Address 29-bit address
64-bit data
64-bit data
32-bit data
32-bit data
Upper 32-bit data
32-bit address (instructions)
32-bit data (instructions)
32-bit address (data)
Peripheral address bus
26-bit
address 64-bit
data
16-bit peripheral data bus
UBC
Lower 32-bit data
Lower 32-bit data
32-bit data (load)
32-bit data (store)
CPU
I cache O cacheITLB UTLB
Cache and
TLB
controller
FPU
64-bit data (store)
BSC: Bus state controller
CPG: Clock pulse generator
DMAC: Direct memory access controller
FPU: Floating-point unit
INTC: Interrupt controller
ITLB: Instruction TLB (translation lookaside buffer)
UTLB: Unified TLB (translation lookaside buffer)
RTC: Realtime clock
SCI: Serial communication interface
SCIF: Serial communication interface with FIFO
TMU: Timer unit
UBC: User break controller
Figure 1.1 Block Diagram of SH7750 Series Functions
Rev. 6.0, 07/02, page 10 of 986
1.3 Pin Arrangement
;;
;;
;;
;;
;;
;;
;;
;;
;;
;;
;;
;
;
;
;
;
;
;
;
;
;
;
;
;;
;
;
;
;;
;;
;
;
;
;
;;
;;
;;
;;
;
;
;
;
;;
;;
;;
;;
;
;
NMI
MD1/TXD2
MD0/SCK
D50
D51
D52
D53
D63
D62
D61
D57
D56
D31
D30
D29
D28
D27
D26
D25
D54
D55
D16
D17
D18
D19
D20
D21
D48
D49
D60
D59
D58
EXTAL
XTAL
VSS-CPG
VDD-CPG(3.3V)
VDD-PLL1(3.3V)
VDD-PLL2(3.3V)
TDI
TCK
TMS
TDO /BRKACK
MD6/
STATUS1
STATUS0
DACK1
DACK0
MD5/
MD4/
MD3/
A25
A24
A23
A22
A21
A20
A19
A18
MD7/TXD
SCK2/
MD8/
TCLK
VDD-RTC(3.3V)
VSS-RTC
EXTAL2
XTAL2
D8
D7
CKE
//DQM5
//DQM4
//DQM1
//DQM0
A17
A16
A15
A14
A13
A12
A11
A10
A9
A8
A7
CKIO
CKIO2
A6
A5
A4
A3
A2
/ /RD/
//DQM2/
//DQM3/
//DQM6
//DQM7/ D23
D24
D22
A
B
C
D
E
F
G
H
1234567891011121314151617 192018
J
K
L
M
N
P
R
T
U
V
W
Y
D47
D46
D45
D44
D43
D42
D32
D33
D34
D35
D36
D37
DRAK0
DRAK1
VSS-PLL1
VSS-PLL2
A1
A0
RD/
BGA256
(Top view)
MD2/RXD2
RXD
/
D41
D40
D15
D14
D13
D12
D11
D10
D9
D38
D39
D0
D1
D2
D3
D4
D5
D6
/
;
VDDQ (IO)
VSSQ (IO)
VDD (internal)
VSS (internal)
NC
;
CA*
Note: Power must be supplied to the on-chip PLL power supply pins (VDD-PLL1, VDD-PLL2, VSS-PLL1, VSS-PLL2,
VDD-CPG, VSS-CPG, VDD-RTC, and VSS-RTC) regardless of whether or not the PLL circuits, crystal resonator,
and RTC are used.
* Hardware standby request (SH7750S and SH7750R). In the SH7750, pull up to 3.3 V.
Figure 1.2 Pin Arrangement (256-Pin BGA)
Rev. 6.0, 07/02, page 11 of 986
;;
;;
;;
;;
;;
;;
;
;
;
;
;;
;;
;;
;;
;;
;;
;;
;;
;;
;;
;;
;
;
;
;;
;;
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
D47
D32
D46
D33
D45
D34
D44
D35
D43
D36
D42
D37
D41
D38
D40
D39
D15
D0
D14
D1
D13
D2
D12
D3
D11
D4
D10
D5
D9
D6
//
156
155
154
153
152
151
150
149
148
147
146
145
144
143
142
141
140
139
138
137
136
135
134
133
132
131
130
129
128
127
126
125
124
123
122
121
120
119
118
117
116
115
114
113
112
111
110
109
108
107
106
105
NMI
MD2/RXD2
MD1/TXD2
MD0/SCK
D63
D48
D62
D49
D61
D50
D60
D51
D59
D52
D58
D53
D57
D54
D56
D55
D31
D16
D30
D17
D29
D18
D28
D19
D27
D20
D26
D21
D25
RXD
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
D8
D7
CKE
/ /DQM5
/ /DQM4
/ /DQM1
/ /DQM0
A17
A16
A15
A14
A13
A12
A11
A10
A9
A8
A7
CKIO
A6
A5
A4
A3
A2
DRAK1
DRAK0
/ /RD/
/ /DQM2/
/ /DQM3/
/ /DQM6
/ /DQM7/ D23
D24
D22
208
207
206
205
204
203
202
201
200
199
198
197
196
195
194
193
192
191
190
189
188
187
186
185
184
183
182
181
180
179
178
177
176
175
174
173
172
171
170
169
168
167
166
165
164
163
162
161
160
159
158
157
EXTAL
XTAL
VSS-CPG
VDD-CPG(3.3V)
VSS-PLL1
VDD-PLL1(3.3V)
VSS-PLL2
VDD-PLL2(3.3V)
TDI
TCK
TMS
TDO /BRKACK
MD6/
STATUS1
STATUS0
A1
A0
DACK1
DACK0
MD5/
MD4/
MD3/
A25
A24
A23
A22
A21
A20
A19
A18
SCK2/
MD7/TXD
MD8/
TCLK
CA*
VDD-RTC(3.3V)
VSS-RTC
EXTAL2
XTAL2
;
QFP208
Top view
VDD (internal)
VSS (internal)
VDDQ (IO)
VSSQ (IO)
Note: Power must be supplied to the on-chip PLL power supply pins (VDD-PLL1, VDD-PLL2, VSS-PLL1, VSS-PLL2,
VDD-CPG, VSS-CPG, VDD-RTC, and VSS-RTC) regardless of whether or not the PLL circuits, crystal resonator,
and RTC are used.
*Hardware standby request (SH7750S and SH7750R). In the SH7750, pull up to 3.3 V.
Figure 1.3 Pin Arrangement (208-Pin QFP)
Rev. 6.0, 07/02, page 12 of 986
;;
VDDQ (IO)
VSSQ (IO)
VDD (internal)
VSS (internal)
NC
;
;
;
;;
;;
;;
;
;;
;;
;;
;;
;;
;;
;
;
;;
;
;;
;;
;
;
;;
;;
;;
;;
;;
;
;
;;
;;
;
;
;
;
;;
;;
;;
;
;;
;
;;
;;
;
;
;
;;
;;
;;
;;
;;
;;
;
;;
;
A
B
C
D
E
F
G
H
1
VSS-CPG XTAL EXTAL VDD-CPG TDO MD6 A0 VDDQ VDDQ A20 VDD TCLK VSS-RTC XTAL2 EXTAL2
VDD-PLL2 VSS STATUS0 DACK0 A24 VDDQ MD7/TXD CA
VSS-PLL2 VSS-PLL1 VDD-PLL1 TCK VSSQ VSSQ MD3/ A22 A18 VDDQ VDDQ VDD-RTC MD1/TXD2 NMI
VSSQ TDI VDD A1 MD5/ A23 VSS MD8/ VSSQ
TMS VDDQ VDDQ MD4/ VSSQ VSSQ SCK2 D48 RD/ MD2/RXD2 VSSQ
VDD D47 VDDQ D32 D33 STATUS1 DACK1 VSSQ A25 A21 A19 D49 VDDQ D63 MD0/SCK D62
D45 VDDQ D46 VSS VSSQ D34 D50 VDDQ VDD VSSQ VSS D61
VDDQ D43 D44 D35 VSSQ D36 D52 VDDQ D51 VSSQ D60 D59
VDDQ D38 D42 D41 D37 VSSQ VSSQ D57 D53 D54 D58 VDDQ
D39 D0 VSSQ D15 VDDQ D40 D56 VSSQ D31 D16 D55 VDDQ
D1 VSS VSSQ VDD VDDQ D14 D30 VSSQ VSS D18 VDDQ D17
D2 D4 D3 VDDQ D13 D14 A9 VDDQ A6 A2 D29 D28 D27 VDDQ D19 VDD
VSSQ D5 D11 D12 A16 VDDQ VDDQ A7 A4 DRAK0 VSSQ VSS D26 D21 VDDQ D20
VSSQ VDDQ VDDQ VDD A11 VSSQ VSSQ VSSQ VSSQ D25
D6 D10 CKE A17 VSS A12 A8 VDDQ VDDQ RXD
VSSQ D8 VDDQ VSSQ A13 VSSQ CKIO2 A3 VDD RD/ D24 D22 VSSQ
D9 D7 A15 VSSQ A10 CKIO A5 DRAK1 VDDQ VDDQ D23 VSSQ
234567891011121314151617
J
K
L
M
N
P
R
T
U
CSP264
(Top view)
Note: Power must be supplied to the on-chip PLL power supply pins (VDD-PLL1, VDD-PLL2, VSS-PLL1, VSS-PLL2,
VDD-CPG, VSS-CPG, VDD-RTC, and VSS-RTC) regardless of whether or not the PLL circuits, crystal resonator,
and RTC are used.
Figure 1.4 Pin Arrangement (264-Pin CSP)
Rev. 6.0, 07/02, page 13 of 986
1.4 Pin Functions
1.4.1 Pin Functions (256-Pin BGA)
Table 1.2 Pin Funct ions
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA M PX
1B2RDY I Bus ready RDY RDY RDY
2B1RESET I Reset RESET
3C2CS0 O Chip s el ect 0 CS0 CS0
4C1CS1 O Chip s el ect 1 CS1 CS1
5D4CS4 O Chip s el ect 4 CS4 CS4
6D3CS5 O Chip s el ect 5 CS5 CE1A CS5
7D2CS6 O Chip s el ect 6 CS6 CE1B CS6
8D1BS O Bust start (BS)(BS)(BS)(BS)(BS)
9 E4 VSSQ Power IO GND (0 V)
10 E3 RD2 ORD/CASS/
FRAME
OE CAS OE FRAME
11 F3 VDDQ Power IO VDD (3.3 V)
12 F4 VSSQ Power IO GND (0 V)
13 E2 D47 I/O Data/port (Port) (Port) (Port) (Port) (Port)
14 E1 D32 I/O Data/port (Port) (Port) (Port) (Port) (Port)
15 G3 VDD Power Internal VDD
(1.8 V)
16 G4 VSS Power Int ernal GND
(0 V)
17 F2 D46 I/O Data/port (Port) (Port) (Port) (Port) (Port)
18 F1 D33 I/O Data/port (Port) (Port) (Port) (Port) (Port)
19 H3 VDDQ Power IO VDD (3.3 V)
20 H4 VSSQ Power IO GND (0 V)
21 G2 D45 I/O Data/port (Port) (Port) (Port) (Port) (Port)
22 G1 D34 I/O Data/port (Port) (Port) (Port) (Port) (Port)
23 H2 D44 I/O Data/port (Port) (Port) (Port) (Port) (Port)
24 H1 D35 I/O Data/port (Port) (Port) (Port) (Port) (Port)
25 J3 VDDQ Power IO VDD (3.3 V)
26 J4 VSSQ Power IO GND (0 V)
27 J2 D43 I/O Data/port (Port) (Port) (Port) (Port) (Port)
28 J1 D36 I/O Data/port (Port) (Port) (Port) (Port) (Port)
Rev. 6.0, 07/02, page 14 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
29 K2 D42 I/O Data/port (Port) (Port) (Port) (Port) (Port)
30 K1 D37 I/O Data/port (Port) (Port) (Port) (Port) (Port)
31 K3 VDDQ Power IO VDD (3.3 V)
32 K4 VSSQ Power IO GND (0 V)
33 L1 D41 I/O Data/port (Port) (Port) (Port) (Port) (Port)
34 L2 D38 I/O Data/port (Port) (Port) (Port) (Port) (Port)
35 M1 D40 I/O Data/port (Port) (Port) (Port) (Port) (Port)
36 M2 D39 I/O Data/port (Port) (Port) (Port) (Port) (Port)
37 L3 VDDQ Power IO VDD (3.3 V)
38 L4 VSSQ Power IO GND (0 V)
39 N1 D15 I/O Data A15
40 N2 D0 I/O Data A0
41 P1 D14 I/O Data A14
42 P2 D1 I/O Data A1
43 M3 VDDQ Power IO VDD (3.3 V)
44 M4 VSSQ Power IO GND (0 V)
45 R1 D13 I/O Data A13
46 R2 D2 I/O Data A2
47 P3 VDD Power Internal VDD
48 P4 VSS P ower Internal GND
(0 V)
49 T1 D12 I/O Data A12
50 T2 D3 I/O Data A3
51 R3 VDDQ Power IO VDD (3.3 V)
52 R4 VSSQ Power IO GND (0 V)
53 U1 D11 I/O Data A11
54 U2 D4 I/O Data A4
55 V1 D10 I/O Data A10
56 V2 D5 I/O Data A5
57 T3 VDDQ Power IO VDD (3.3 V)
58 T4 VSSQ Power IO GND (0 V)
59 W1 D9 I/O Data A9
60 Y1 D6 I/O Data A6
Rev. 6.0, 07/02, page 15 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
61 U3 BACK/
BSREQ OBus
acknowledge/
bus request
62 V3 BREQ/
BSACK IBus
request/bus
acknowledge
63 W2 D8 I/O Data A8
64 Y2 D7 I/O Data A7
65 W3 CKE O Clock out put
enable CKE
66 V5 VDDQ Power IO VDD (3.3 V)
67 U5 VSSQ Power IO GND (0 V)
68 Y3 WE5/CAS5/
DQM5 O D47–D40
select signal WE5 CAS5 DQM5
69 W4 WE4/CAS4/
DQM4 O D39–D32
select signal WE4 CAS4 DQM4
70 Y4 WE1/CAS1/
DQM1 O D15–D8 select
signal WE1 CAS1 DQM1 WE1
71 W5 WE0/CAS0/
DQM0 O D7–D0 select
signal WE0 CAS0 DQM0
72 Y5 A17 O Address
73 V6 VDDQ Power IO VDD (3.3 V)
74 U6 VSSQ Power IO GND (0 V)
75 W6 A16 O Address
76 Y6 A15 O Address
77 V7 VDD Power Internal VDD
78 U7 VSS Power Int ernal GND
(0 V)
79 W7 A14 O Address
80 Y7 A13 O Address
81 V8 VDDQ Power IO VDD (3.3 V)
82 U8 VSSQ Power IO GND (0 V)
83 V4 NC
84 W8 A12 O Address
85 Y8 A11 O Address
86 W9 A10 O Address
Rev. 6.0, 07/02, page 16 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
87 V9 VDDQ Power IO VDD (3.3 V)
88 U9 VSSQ Power IO GND (0 V)
89 Y9 A9 O Address
90 W10 A8 O Address
91 Y10 A7 O Address
92 Y11 CKIO O Cloc k output CKIO
93 V10 VDDQ Power IO VDD (3.3 V)
94 U10 VSSQ P ower IO GND (0 V)
95 W11 CKIO2 O CKIO*1CKIO
96 Y12 A6 O Address
97 W12 A5 O Address
98 Y13 A4 O Address
99 V11 VDDQ Power IO VDD (3.3 V)
100 U11 VSSQ Power IO GND (0 V)
101 W13 A3 O Address
102 Y14 A2 O Address
103 V12 DRAK1 O DMAC1
request
acknowledge
104 U13 DRAK0 O DMAC0
request
acknowledge
105 V13 VDDQ Power IO VDD (3.3 V)
106 U12 VSSQ Power IO GND (0 V)
107 W14 CS3 O Chip sel ect 3 CS3 (CS3)CS3 CS3
108 Y15 CS2 O Chip select 2 CS2 (CS2)CS2 CS2
109 V14 VDD Power Internal VDD
110 U14 VSS Power Internal GND
(0 V)
111 W15 RAS ORAS RAS RAS
112 Y16 RD/CASS/
FRAME O Read/CAS/
FRAME
OE CAS OE FRAME
113 V15 VDDQ Power IO VDD (3.3 V)
114 U15 VSSQ Power IO GND (0 V)
Rev. 6.0, 07/02, page 17 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
115 W16 RD/WR O Read/write RD/WR RD/WR RD/WR RD/WR
116 Y17 WE2/CAS2/
DQM2/
ICIORD
O D23–D16
select signal WE2 CAS2 DQM2 ICIORD
117 W17 WE3/CAS3/
DQM3/
ICIOWR
O D31–D24
select signal WE3 CAS3 DQM3 ICIOWR
118 Y18 WE6/CAS6/
DQM6 O D55–D48
select signal WE6 CAS6 DQM6
119 V16 VDDQ Power IO VDD (3.3 V)
120 U16 VSSQ Power IO GND (0 V)
121 W18 WE7/CAS7/
DQM7/REG O D63–D56
select signal WE7 CAS7 DQM7 REG
122 Y19 D23 I/O Data A23
123 W19 D24 I/O Data A24
124 Y20 D22 I/O Data A22
125 V17 RXD I SCI data i nput
126 U17 DREQ0 I Request from
DMAC0
127 U18 DREQ1 I Request from
DMAC1
128 W20 D25 I/O Data A25
129 T18 VDDQ Power IO VDD (3.3 V)
130 T17 VSSQ P ower IO GND (0 V)
131 V19 D21 I/O Data A21
132 V20 D26 I/O Data
133 U19 D20 I/O Data A20
134 U20 D27 I/O Data
135 R18 VDDQ Power IO VDD (3.3 V)
136 R17 VSSQ Power IO GND (0 V)
137 T19 D19 I/O Data A19
138 T20 D28 I/O Data
139 P18 VDD Power Internal VDD
140 P17 VSS Power Int ernal GND
(0 V)
141 R19 D18 I/O Data A18
Rev. 6.0, 07/02, page 18 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
142 R20 D29 I/O Data
143 N18 VDDQ Power IO VDD (3.3 V)
144 N17 VSSQ Power IO GND (0 V)
145 P19 D17 I/O Data A17
146 P20 D30 I/O Data
147 N19 D16 I/O Data A16
148 N20 D31 I/O Data
149 M18 VDDQ Power IO VDD (3.3 V)
150 M17 V SS Q P ower IO GND (0 V)
151 M19 D55 I/O Data
152 M20 D56 I/O Data
153 L19 D54 I/O Data
154 L20 D57 I/O Data
155 L18 VDDQ Power IO VDD (3.3 V)
156 L17 VSSQ Power IO GND (0 V)
157 K20 D53 I/O Data
158 K19 D58 I/O Data
159 J20 D52 I/O Data
160 J19 D59 I/O Data
161 K18 VDDQ Power IO VDD (3.3 V)
162 K17 VSS Q Power IO GND (0 V)
163 H20 D51 I/O Data/port (Port) (Port) (Port) (Port) (Port)
164 H19 D60 I/O Data
165 G20 D50 I/O Data/port (Port) (Port) (Port) (Port) (Port)
166 G19 D61 I/O Data ACCSIZE0
167 J18 VDDQ Power IO VDD (3.3 V)
168 J17 VSSQ Power IO GND (0 V)
169 F20 D49 I/O Data/port (Port) (Port) (Port) (Port) (Port)
170 F19 D62 I/O Data ACCSIZE1
171 G18 VDD Power Internal VDD
172 G17 V SS Power Int ernal GND
(0 V)
173 E20 D48 I/O Data/port (Port) (Port) (Port) (Port) (Port)
Rev. 6.0, 07/02, page 19 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
174 E19 D63 I/O Data ACCSIZE2
175 F18 VDDQ Power IO VDD (3.3 V)
176 F17 VSSQ P ower IO GND (0 V)
177 E17 VSS Q Power IO GND (0 V)
178 E18 RD/WR2 O RD/WR RD/WR RD/WR RD/WR RD/WR
179 D20 MD0/SCK I/O Mode/SCI
clock MD0 SCK SCK SCK SCK SCK
180 D19 MD1/TXD2 I/O Mode SCIF
data output MD1 TXD2 TXD2 TXD2 TXD2 TXD2
181 D18 MD2/RXD2 I Mode/SCIF
data input MD2 RXD2 RXD2 RXD2 RXD2 RXD2
182 C20 IRL0 I Interrupt 0
183 C19 IRL1 I Interrupt 1
184 B20 IRL2 I Interrupt 2
185 C18 IRL3 I Interrupt 3
186 A20 NMI I Nonmaskable
interrupt
187 B19 XTAL2 O RTC crystal
resonator pin
188 A19 EXTAL2 I RTC crystal
resonator pin
189 B18 VSS-RTC Power RTC GND
(0 V)
190 A18 V DD-RT C Power RT C VDD
(3.3 V)
191 D17 CA I *2
192 C17 VSS Power Internal GND
(0 V)
193 B17 VDDQ Power IO VDD (3.3 V)
194 C16 CTS2 I/O SCIF data
control (CTS)
195 A17 TCLK I/O RTC/TMU
clock
196 B16 MD8/RTS2 I/O Mode/SCIF
data control
(RTS)
MD8 RTS2 RTS2 RTS2 RTS2 RTS2
Rev. 6.0, 07/02, page 20 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
197 C15 VDDQ Power IO VDD (3.3 V)
198 D15 VSSQ Power IO GND (0 V)
199 B15 MD7/TXD I/O Mode/SCI
data output MD7 TXD TXD TXD TXD TXD
200 A16 SCK2/
MRESET I SCIF clock/
manual reset MRESET SCK2 SCK2 SCK2 SCK2 SCK2
201 C14 VDD Power Internal VDD
202 D14 VSS Power Internal GND
(0 V)
203 A15 A18 O Address
204 B14 A19 O Address
205 C13 VDDQ Power IO VDD (3.3 V)
206 D13 VSSQ Power IO GND (0 V)
207 A14 A20 O Address
208 B13 A21 O Address
209 A13 A22 O Address
210 B12 A23 O Address
211 C12 VDDQ Power IO VDD (3.3 V)
212 D12 VSSQ Power IO GND (0 V)
213 A12 A24 O Address
214 B11 A25 O Address
215 A11 MD3/CE2A I/O Mode/
PCMCIA-CE MD3 CE2A
216 A10 MD4/CE2B I/O Mode/
PCMCIA-CE MD4 CE2B
217 C11 VDDQ Power IO VDD (3.3 V)
218 D11 VSSQ Power IO GND (0 V)
219 B10 MD5/RAS2 I/O Mode/RAS
(DRAM) MD5 RAS2
220 A9 DACK 0 O DMA C0 bus
acknowledge
221 B9 DACK 1 O DMA C1 bus
acknowledge
222 C8 A0 O Address
223 C10 VDDQ Power IO VDD (3.3 V)
224 D10 VSSQ Power IO GND (0 V)
Rev. 6.0, 07/02, page 21 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
225 D8 A1 O Address
226 A8 STATUS0 O Status
227 B8 STATUS1 O Status
228 A7 MD6/
IOIS16 I Mode/IOIS16
(PCMCIA) MD6 IOIS16
229 C9 VDDQ Power IO VDD (3.3 V)
230 D9 VSSQ Power IO GND (0 V)
231 B7 ASEBRK/
BRKACK I/O Pin break/
acknowledge
(H-UDI)
232 A6 TDO O Data out
(H-UDI)
233 C7 VDD Power Internal VDD
234 D7 VSS Power Internal GND
(0 V)
235 B6 TMS I Mode
(H-UDI)
236 A5 TCK I Clock
(H-UDI)
237 B5 TDI I Data in
(H-UDI)
238 C4 TRST I Reset
(H-UDI)
239 C3 CKIO2ENB ICKIO2, RD2,
RD/WR2
enable
240 C6 NC
241 A4 VDD-P LL2 Power PLL2 VDD
(3.3V)
242 D6 VSS-PLL2 Power PLL2 GND (0V)
243 B4 VDD-P LL1 Power PLL1 VDD
(3.3V)
244 D5 VSS-PLL1 Power PLL1 GND (0V)
245 A3 VDD-CPG Power CPG VDD
(3.3V)
246 B3 VSS-CPG Power CPG GND (0V)
247 A2 XTAL O Crystal
resonator
Rev. 6.0, 07/02, page 22 of 986
Table 1.2 Pin Functions (cont)
Memory Interface
No. Pin
No. P i n Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
248 A1 EX TAL I External i nput
clock/crystal
resonator
249 C5 NC
250 D16 NC
251 H17 NC
252 H18 NC
253 N3 NC
254 N4 NC
255 U4 NC
256 V18 NC
I: Input
O: Output
I/O: Input/output
Power: Power supply
Notes: 1. Except in hardware standby mode, supply power to all power pins. In hardware standby
mode, supply power to RTC as a mi nimum.
2. Power must be supplied to VDD-PLL1/2 and VSS-PLL1/2 regardless of whether or not
the on-chip PLL circuits are used.
3. Power must be supplied to VDD-CPG and VSS-CPG regardless of whether or not the
on-chip crystal resonator is used.
4. Power must be supplied to VDD-RTC and VSS-RTC regardless of whether or not the
on-chip RTC is use d.
5. VSSQ, VSS, VSS-RTC, VSS-PLL1/2, and VSS-CPG are connected inside the package.
6. In the SH7750S and SH7750R, at leas t the RTC power supply must be supplied in
hardware standby mode.
7. NC pins must be left completely open, and not connected to a power supply, GND, etc.
*1 CKIO2 is not connected to PLL2.
*2 Hardware standby request (SH7750S and SH7750R). In the SH7750, pull up to 3.3 V.
Rev. 6.0, 07/02, page 23 of 986
1.4.2 Pin Functions (208-Pin QFP)
Table 1.3 Pin Funct ions
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
1RDY I Bus ready RDY RDY RDY
2RESET I Reset RESET
3CS0 O Chip select 0 CS0 CS0
4CS1 O Chip select 1 CS1 CS1
5CS4 O Chip select 4 CS4 CS4
6CS5 O Chip select 5 CS5 CE1A CS5
7CS6 O Chip select 6 CS6 CE1B CS6
8BS O Bust start (BS)(BS)(BS)(BS)(BS)
9 VDDQ Power IO VDD (3.3 V)
10 VSSQ Power IO GND (0 V)
11 D47 I/O Data/port (Port) (Port) (Port) (Port) (Port)
12 D32 I/O Data/port (Port) (Port) (Port) (Port) (Port)
13 VDD Power Internal VDD
14 VSS Power Internal GND
(0 V)
15 D46 I/O Data/port (Port) (Port) (Port) (Port) (Port)
16 D33 I/O Data/port (Port) (Port) (Port) (Port) (Port)
17 D45 I/O Data/port (Port) (Port) (Port) (Port) (Port)
18 D34 I/O Data/port (Port) (Port) (Port) (Port) (Port)
19 D44 I/O Data/port (Port) (Port) (Port) (Port) (Port)
20 D35 I/O Data/port (Port) (Port) (Port) (Port) (Port)
21 VDDQ Power IO VDD (3.3 V)
22 VSSQ Power IO GND (0 V)
23 D43 I/O Data/port (Port) (Port) (Port) (Port) (Port)
24 D36 I/O Data/port (Port) (Port) (Port) (Port) (Port)
25 D42 I/O Data/port (Port) (Port) (Port) (Port) (Port)
26 D37 I/O Data/port (Port) (Port) (Port) (Port) (Port)
27 D41 I/O Data/port (Port) (Port) (Port) (Port) (Port)
28 D38 I/O Data/port (Port) (Port) (Port) (Port) (Port)
29 D40 I/O Data/port (Port) (Port) (Port) (Port) (Port)
30 D39 I/O Data/port (Port) (Port) (Port) (Port) (Port)
Rev. 6.0, 07/02, page 24 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
31 VDDQ Power IO VDD (3.3 V)
32 VSSQ Power IO GND (0 V)
33 D15 I/O Data A15
34 D0 I/O Data A0
35 D14 I/O Data A14
36 D1 I/O Data A1
37 D13 I/O Data A13
38 D2 I/O Data A2
39 VDD Power Internal VDD
(1.8 V)
40 VSS Power Internal GND
(0 V)
41 D12 I/O Data A12
42 D3 I/O Data A3
43 VDDQ Power IO VDD (3.3 V)
44 VSSQ Power IO GND (0 V)
45 D11 I/O Data A11
46 D4 I/O Data A4
47 D10 I/O Data A10
48 D5 I/O Data A5
49 D9 I/O Data A9
50 D6 I/O Data A6
51 BACK/
BSREQ OBus
acknowledge/
bus request
52 BREQ/
BSACK I Bus reques t/ bus
acknowledge
53 D8 I/O Data A8
54 D7 I/O Data A7
55 CKE O Clock out put
enable CKE
56 VDDQ Power IO VDD (3.3 V)
57 VSSQ Power IO GND (0 V)
58 WE5/CAS5/
DQM5 O D47–D40 select
signal WE5 CAS5 DQM5
Rev. 6.0, 07/02, page 25 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
59 WE4/CAS4/
DQM4 O D39–D32 select
signal WE4 CAS4 DQM4
60 WE1/CAS1/
DQM1 O D15–D8 select
signal WE1 CAS1 DQM1 WE1
61 WE0/CAS0/
DQM0 O D7–D0 select
signal WE0 CAS0 DQM0
62 A17 O Address
63 A16 O Address
64 A15 O Address
65 VDD Power Internal VDD
66 VSS Power Internal GND
(0 V)
67 A14 O Address
68 A13 O Address
69 VDDQ Power IO VDD (3.3 V)
70 VSSQ Power IO GND (0 V)
71 A12 O Address
72 A11 O Address
73 A10 O Address
74 A9 O Address
75 A8 O Address
76 A7 O Address
77 CKIO O Clock output CKIO
78 VDDQ Power IO VDD (3.3 V)
79 VSSQ Power IO GND (0 V)
80 A6 O Address
81 A5 O Address
82 A4 O Address
83 A3 O Address
84 A2 O Address
85 DRAK1 O DM A C1 request
acknowledge
86 DRAK0 O DM A C0 request
acknowledge
87 VDDQ Power IO VDD (3.3 V)
Rev. 6.0, 07/02, page 26 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
88 VSSQ Power IO GND (0 V)
89 CS3 O Chip select 3 CS3 (CS3)CS3 CS3
90 CS2 O Chip select 2 CS2 (CS2)CS2 CS2
91 VDD Power Internal VDD
92 VSS Power Internal GND
(0 V)
93 RAS ORAS RAS RAS
94 RD/CASS/
FRAME O Read/CAS/
FRAME
OE CAS OE FRAME
95 RD/WR O Read/write RD/WR RD/WR RD/WR RD/WR
96 WE2/CAS2/
DQM2/
ICIORD
O D23–D16 select
signal WE2 CAS2 DQM2 ICIORD
97 WE3/CAS3/
DQM3/
ICIOWR
O D31–D24 select
signal WE3 CAS3 DQM3 ICIOWR
98 WE6/CAS6/
DQM6 O D55–D48 select
signal WE6 CAS6 DQM6
99 VDDQ Power IO VDD (3.3 V)
100 VSSQ Power IO GND (0 V)
101 WE7/CAS7/
DQM7/REG O D63–D56 s el ect
signal WE7 CAS7 DQM7 REG
102 D23 I/O Data A23
103 D24 I/O Data A24
104 D22 I/O Data A22
105 RXD I SCI Data input
106 DREQ0 I Request from
DMAC0
107 DREQ1 I Request from
DMAC1
108 D25 I/O Data A25
109 D21 I/O Data A21
110 D26 I/O Data
111 D20 I/O Data A20
112 D27 I/O Data
113 VDDQ Power IO VDD (3.3 V)
Rev. 6.0, 07/02, page 27 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
114 VSSQ Power IO GND (0 V)
115 D19 I/O Data A19
116 D28 I/O Data
117 VDD Power Internal VDD
118 VSS Power Internal GND
(0 V)
119 D18 I/O Data A18
120 D29 I/O Data
121 D17 I/O Data A17
122 D30 I/O Data
123 D16 I/O Data A16
124 D31 I/O Data
125 VDDQ Power IO VDD (3.3 V)
126 VSSQ Power IO GND (0 V)
127 D55 I/O Data
128 D56 I/O Data
129 D54 I/O Data
130 D57 I/O Data
131 D53 I/O Data
132 D58 I/O Data
133 D52 I/O Data
134 D59 I/O Data
135 VDDQ Power IO VDD (3.3 V)
136 VSSQ Power IO GND (0 V)
137 D51 I/O Data/port (Port) (Port) (Port) (Port) (Port)
138 D60 I/O Data
139 D50 I/O Data/port (Port) (Port) (Port) (Port) (Port)
140 D61 I/O Data ACCSIZE0
141 D49 I/O Data/port (Port) (Port) (Port) (Port) (Port)
142 D62 I/O Data ACCSIZE1
143 VDD Power Internal VDD
144 VSS Power Internal GND
(0 V)
Rev. 6.0, 07/02, page 28 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
145 D48 I/O Data/port (Port) (Port) (Port) (Port) (Port)
146 D63 I/O Data ACCSIZE2
147 VDDQ Power IO VDD (3.3 V)
148 VSSQ Power IO GND (0 V)
149 MD0/SCK I/O Mode/SCI clock MD0 SCK SCK SCK SCK SCK
150 MD1/ TXD2 I/O Mode SCIF data
output MD1 TXD2 TXD2 TXD2 TXD2 TXD2
151 MD2/RXD2 I Mode/SCIF data
input MD2 RXD2 RXD2 RXD2 RXD2 RXD2
152 IRL0 I Interrupt 0
153 IRL1 I Interrupt 1
154 IRL2 I Interrupt 2
155 IRL3 I Interrupt 3
156 NMI I Nonmaskable
interrupt
157 XTAL2 O RTC crystal
resonator pin
158 EXTAL2 I RTC crystal
resonator pin
159 VSS-RTC P ower RTC GND
(0 V)
160 V DD-RT C P ower RTC VDD
(3.3 V)
161 CA I *
162 VSS Power Internal GND
(0 V)
163 VDDQ Power IO VDD (3.3 V)
164 CTS2 I/ O SCIF data control
(CTS)
165 TCLK I/O RTC/TMU
clock
166 MD8/RTS2 I/O Mode/SCIF data
control (RTS)MD8 RTS2 RTS2 RTS2 RTS2 RTS2
167 MD7/ TXD I /O Mode/S CI data
output MD7 TXD TXD TXD TXD TXD
Rev. 6.0, 07/02, page 29 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
168 SCK2/
MRESET I SCIF clock/
manual reset MRESET SCK2 SCK2 SCK2 SCK2 SCK2
169 VDD Power Internal VDD
170 VSS Power Internal GND
(0 V)
171 A18 O Address
172 A19 O Address
173 A20 O Address
174 A21 O Address
175 A22 O Address
176 A23 O Address
177 VDDQ Power IO VDD (3.3 V)
178 VSSQ Power IO GND (0 V)
179 A24 O Address
180 A25 O Address
181 MD3/CE2A I/O Mode/
PCMCIA-CE MD3 CE2A
182 MD4/CE2B I/O Mode/
PCMCIA-CE MD4 CE2B
183 MD5/RAS2 I/O Mode/RAS
(DRAM) MD5 RAS2
184 DACK0 O DMAC0 bus
acknowledge
185 DACK1 O DMAC1 bus
acknowledge
186 A0 O Address
187 VDDQ Power IO VDD (3.3 V)
188 VSSQ Power IO GND (0 V)
189 A1 O Address
190 STATUS0 O Status
191 STATUS1 O Status
192 MD6/
IOIS16 I Mode/IOIS16
(PCMCIA) MD6 IOIS16
193 ASEBRK/
BRKACK I/O P i n break/
acknowledge
(H-UDI)
Rev. 6.0, 07/02, page 30 of 986
Table 1.3 Pin Functions (cont)
Memory Interface
Pin
No. P in Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
194 TDO O Data out
(H-UDI)
195 VDD Power Internal VDD
196 VSS Power Internal GND
(0 V)
197 TMS I Mode (H-UDI)
198 TCK I Clock (H-UDI)
199 TDI I Data in (H-UDI)
200 TRST I Reset (H-UDI)
201 VDD-PLL2 P ower PLL2 V DD (3.3V)
202 VSS -P LL2 Power P LL2 GND (0V)
203 VDD-PLL1 P ower PLL1 V DD (3.3V)
204 VSS -P LL1 Power P LL1 GND (0V)
205 VDD-CPG Power CPG VDD (3.3V)
206 VSS -CP G Power CPG GND (0V)
207 XT AL O Cryst al res onator
208 EXTAL I E xternal input
clock/crystal
resonator
I: Input
O: Output
I/O: Input/output
Power: Power supply
Notes: 1. Except in hardware standby mode, supply power to all power pins. In hardware standby
mode, supply power to RTC as a mi nimum.
2. Power must be supplied to VDD-PLL1/2 and VSS-PLL1/2 regardless of whether or not
the on-chip PLL circuits are used.
3. Power must be supplied to VDD-CPG and VSS-CPG regardless of whether or not the
on-chip crystal resonator is used.
4. Power must be supplied to VDD-RTC and VSS-RTC regardless of whether or not the
on-chip RTC is use d.
5. VSSQ, VSS, VSS-RTC, VSS-PLL1/2, and VSS-CPG are connected inside the package.
6. In the SH7750S and SH7750R, at leas t the RTC power supply must be supplied in
hardware standby mode.
7. The RD2, RD/WR2, CKIO2, and CKIO2ENB pins are not provided on the QFP
package.
8. For a QFP package, the maximum operating frequency of the external bus is 84 MHz.
*Hardware standby request (SH7750S and SH7750R). In the SH7750, pull up to 3.3 V.
Rev. 6.0, 07/02, page 31 of 986
1.4.3 Pin Functions (264-Pin CSP)
Table 1.4 Pin Funct ions
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
1C2RDY I Bus ready RDY RDY RDY
2B1RESET I Reset RESET
3D3CS0 O Chip select 0 CS0 CS0
4E2CS1 O Chi p select 1 CS1 CS1
5B2CS4 O Chi p select 4 CS4 CS4
6E3CS5 O Chi p select 5 CS5 CE1A CS5
7E4CS6 O Chi p select 6 CS6 CE1B CS6
8E1BS O Bus start (BS)(BS)(BS)(BS)(BS)
9F4RD2 ORD/CASS/
FRAME
OE CAS OE FRAME
10 F3 VDDQ Power IO VDD (3.3 V)
11 D4 VSSQ Power IO GND (0 V)
12 F2 D47 I/O Data/port (Port) (Port) (Port) (Port) (Port)
13 F5 D32 I/O Data/port (Port) (Port) (Port) (Port) (Port)
14 F1 VDD Power Internal VDD
(1.5 V)
15 G4 VSS Power Int ernal GND
(0 V)
16 G3 D46 I/O Data/port (Port) (Port) (Port) (Port) (Port)
17 F6 D33 I/O Data/port (Port) (Port) (Port) (Port) (Port)
18 G2 VDDQ Power IO VDD (3.3 V)
19 G5 VSSQ Power IO GND (0 V)
20 G1 D45 I/O Data/port (Port) (Port) (Port) (Port) (Port)
21 G6 D34 I/O Data/port (Port) (Port) (Port) (Port) (Port)
22 H3 D44 I/O Data/port (Port) (Port) (Port) (Port) (Port)
23 H4 D35 I/O Data/port (Port) (Port) (Port) (Port) (Port)
24 H1 VDDQ Power IO VDD (3.3 V)
25 H5 VSSQ Power IO GND (0 V)
26 H2 D43 I/O Data/port (Port) (Port) (Port) (Port) (Port)
27 H6 D36 I/O Data/port (Port) (Port) (Port) (Port) (Port)
28 J3 D42 I/O Data/port (Port) (Port) (Port) (Port) (Port)
29 J5 D37 I/O Data/port (Port) (Port) (Port) (Port) (Port)
30 J1 VDDQ Power IO VDD (3.3 V)
Rev. 6.0, 07/02, page 32 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
31 J6 VSSQ Power IO GND (0 V)
32 J4 D41 I/O Data/port (Port) (Port) (Port) (Port) (Port)
33 J2 D38 I/O Data/port (Port) (Port) (Port) (Port) (Port)
34 K6 D40 I/O Data/port (Port) (Port) (Port) (Port) (Port)
35 K1 D39 I/O Data/port (Port) (Port) (Port) (Port) (Port)
36 K5 VDDQ Power IO VDD (3.3 V)
37 K3 VSSQ Power IO GND (0 V)
38 K4 D15 I/O Data A15
39 K2 D0 I/O Data A0
40 L6 D14 I/O Data A14
41 L1 D1 I/O Data A1
42 L5 VDDQ Power IO VDD (3.3 V)
43 L3 VSSQ Power IO GND (0 V)
44 M5 D13 I/O Data A13
45 M1 D2 I/O Data A2
46 L4 VDD Power Internal VDD
(1.5 V)
47 L2 VSS Power Internal GND
(0 V)
48 N5 D12 I/O Data A12
49 M3 D3 I/O Data A3
50 M4 VDDQ Power IO VDD (3.3 V)
51 N1 VSSQ Power IO GND (0 V)
52 N4 D11 I/O Data A11
53 M2 D4 I/O Data A4
54 R3 D10 I/O Data A10
55 N3 D5 I/O Data A5
56 P3 VDDQ Power IO VDD (3.3 V)
57 P1 VSSQ Power IO GND (0 V)
58 U1 D9 I/O Data A9
59 R1 D6 I/O Data A6
60 T1 BACK/
BSREQ OBus
acknowledge/
bus request
Rev. 6.0, 07/02, page 33 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
61 R2 BREQ/
BSACK I Bus request/ bus
acknowledge
62 T3 D8 I/O Data A8
63 U2 D7 I/O Data A7
64 R4 CKE O Clock output
enable CKE
65 T5 VDDQ Power IO VDD (3.3 V)
66 T2 VSSQ Power IO GND (0 V)
67 R5 WE5/CAS5/
DQM5 O D47–D40 select
signal WE5 CAS5 DQM5
68 P5 WE4/CAS4/
DQM4 O D39–D32 select
signal WE4 CAS4 DQM4
69 U5 WE1/CAS1/
DQM1 O D15–D8 select
signal WE1 CAS1 DQM1 WE1
70 P6 WE0/CAS0/
DQM0 O D7–D0 select
signal WE0 CAS0 DQM0
71 R6 A17 O Address
72 P4 VDDQ Power IO VDD (3.3 V)
73 T6 VSSQ Power IO GND (0 V)
74 N6 A16 O Address
75 U6 A15 O Address
76 P7 VDD Power Internal VDD
(1.5 V)
77 R7 VSS Power Internal GND
(0 V)
78 M6 A14 O Address
79 T7 A13 O Address
80 N7 VDDQ Power IO VDD (3.3 V)
81 U7 VSSQ Power IO GND (0 V)
82 R8 A12 O Address
83 P8 A11 O Address
84 U8 A10 O Address
85 N8 VDDQ Power IO VDD (3.3 V)
86 T8 VSSQ Power IO GND (0 V)
87 M8 A9 O Address
88 R9 A8 O Address
Rev. 6.0, 07/02, page 34 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
89 N9 A7 O Address
90 U9 CKIO O Cloc k out put CKIO
91 M9 VDDQ Power IO VDD (3.3 V)
92 P9 VSSQ Power IO GND (0 V)
93 T9 CKIO2 O CKIO*CKIO
94 M10 A6 O Address
95 U10 A5 O Address
96 N10 A4 O Address
97 R10 VDDQ Power IO VDD (3.3 V)
98 P10 V SS Q Power IO GND (0 V)
99 T10 A3 O Address
100 M11 A2 O Address
101 U11 DRA K 1 O DMAC1 request
acknowledge
102 N11 DRA K 0 O DMAC0 request
acknowledge
103 R11 VDDQ Power IO VDD (3.3 V)
104 N12 VSS Q P ower IO GND (0 V)
105 U12 CS3 O Chip sel ect 3 CS3 (CS3)CS3 CS3
106 P11 CS2 O Chip sel ect 2 CS2 (CS2)CS2 CS2
107 T11 VDD Power Internal VDD
(1.5 V)
108 N13 VSS Power Int ernal GND
(0 V)
109 R12 RAS ORAS RAS RAS
110 P12 RD/CASS/
FRAME O Read/CAS/
FRAME
OE CAS OE FRAME
111 U13 VDDQ Power IO VDD (3.3 V)
112 P13 VSS Q P ower IO GND (0 V)
113 T12 RD/WR O Read/write RD/WR RD/WR RD/WR RD/WR
114 R15 WE2/CAS2/
DQM2/
ICIORD
O D23–D16 s el ect
signal WE2 CAS2 DQM2 ICIORD
115 R13 WE3/CAS3/
DQM3/
ICIOWR
O D31–D24 s el ect
signal WE3 CAS3 DQM3 ICIOWR
Rev. 6.0, 07/02, page 35 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
116 R14 WE6/CAS6/
DQM6 O D55–D48 select
signal WE6 CAS6 DQM6
117 U14 VDDQ Power IO VDD (3.3 V)
118 U17 VSS Q P ower IO GND (0 V)
119 U15 WE7/CAS7/
DQM7/REG O D63–D56 select
signal WE7 CAS7 DQM7 REG
120 U16 D23 I/O Data A23
121 T13 D24 I/O Data A24
122 T15 D22 I/O Data A22
123 R16 RXD I SCI1 data input
124 T17 DREQ0 I Request from
DMAC0
125 P17 DREQ1 I Request from
DMAC1
126 P15 D25 I/O Data A25
127 N16 VDDQ Power IO VDD (3.3 V)
128 T16 VSSQ Power IO GND (0 V)
129 N15 D21 I/O Data A21
130 N14 D26 I/O Data
131 N17 D20 I/O Data A20
132 M14 D27 I/O Data
133 M15 VDDQ Power IO VDD (3.3 V)
134 P14 VSS Q P ower IO GND (0 V)
135 M16 D19 I/O Data A19
136 M13 D28 I/O Data
137 M17 VDD Power Internal VDD
(1.5 V)
138 L14 VSS Power Internal GND
(0 V)
139 L15 D18 I/O Data A18
140 M12 D29 I/O Data
141 L16 VDDQ Power IO VDD (3.3 V)
142 L13 VSSQ Power IO GND (0 V)
143 L17 D17 I/O Data A17
144 L12 D30 I/O Data
Rev. 6.0, 07/02, page 36 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
145 K15 D16 I/O Data A16
146 K14 D31 I/O Data
147 K17 VDDQ Power IO VDD (3.3 V)
148 K13 VSS Q P ower IO GND (0 V)
149 K16 D55 I/O Data
150 K12 D56 I/O Data
151 J15 D54 I/O Data
152 J13 D57 I/O Data
153 J17 VDDQ Power IO VDD (3.3 V)
154 J12 VSSQ Power IO GND (0 V)
155 J14 D53 I/O Data
156 J16 D58 I/O Data
157 H12 D52 I/O Data
158 H17 D59 I/O Data
159 H13 VDDQ Power IO VDD (3.3 V)
160 H15 VSS Q P ower IO GND (0 V)
161 H14 D51 I/O Data/port (Port) (Port) (Port) (Port) (Port)
162 H16 D60 I/O Data
163 G12 D50 I/O Data/port (Port) (Port) (Port) (Port) (Port)
164 G17 D61 I/O Data ACCSIZE0
165 G13 VDDQ Power IO VDD (3.3 V)
166 G15 VSSQ Power IO GND (0 V)
167 F13 D49 I/O Data/port (Port) (Port) (Port) (Port) (Port)
168 F17 D62 I/O Data ACCSIZE1
169 G14 VDD Power Internal VDD
(1.5 V)
170 G16 VSS Power Internal GND
(0 V)
171 E13 D48 I/O Data/port (Port) (Port) (Port) (Port) (Port)
172 F15 D63 I/O Data ACCSIZE2
173 F14 VDDQ Power IO VDD (3.3 V)
174 E17 VSS Q P ower IO GND (0 V)
175 E14 RD/WR2 O RD/WR RD/WR RD/WR RD/WR RD/WR
Rev. 6.0, 07/02, page 37 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
176 F16 MD0/SCK I/O Mode/SCI1
clock MD0 SCK SCK SCK SCK SCK
177 C15 MD1/TXD2 I/O Mode/SCIF
data output MD1 TXD2 TXD2 TXD2 TXD2 TXD2
178 E15 MD2/RXD2 I Mode/SCIF
data input MD2 RXD2 RXD2 RXD2 RXD2 RXD2
179 D15 IRL0 I Interrupt 0
180 D17 IRL1 I Interrupt 1
181 A17 IRL2 I Interrupt 2
182 B17 IRL3 I Interrupt 3
183 C16 NMI I Nonmaskable
interrupt
184 A15 XTAL2 O RTC crystal
resonator pin
185 A16 EXTAL2 I RTC crystal
resonator pin
186 A14 V SS-RTC Power RTC GND
(0 V)
187 C14 V DD-RT C Power RTC V DD
(3.3 V)
188 B13 CA I Hardware
standby request
189 C13 VDDQ Power IO VDD (3.3 V)
190 D13 CTS2 I/O SCI F data cont rol
(CTS)
191 A13 TCLK I/O RTC/TMU
clock
192 D12 MD8/RTS2 I/ O M ode/SCIF data
control (RTS)MD8 RTS2 RTS2 RTS2 RTS2 RTS2
193 C12 VDDQ Power IO VDD (3.3 V)
194 D14 VSS Q P ower IO GND (0 V)
195 B12 MD7/ TXD I/O Mode/S CI1 data
output MD7 TXD TXD TXD TXD TXD
196 E12 SCK2/
MRESET I SCIF clock/
manual reset MRESET SCK2 SCK2 SCK2 SCK2 SCK2
197 A12 VDD Power Internal VDD
(1.5 V)
Rev. 6.0, 07/02, page 38 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
198 D11 VSS Power Int ernal GND
(0 V)
199 C11 A18 O Address
200 F12 A19 O Address
201 B11 VDDQ Power IO VDD (3.3 V)
202 E11 VSS Q P ower IO GND (0 V)
203 A11 A20 O Address
204 F11 A21 O Address
205 C10 A22 O Address
206 D10 A23 O Address
207 A10 VDDQ Power IO VDD (3.3 V)
208 E10 VSS Q P ower IO GND (0 V)
209 B10 A24 O Address
210 F10 A25 O Address
211 C9 MD3/CE2A I/O Mode/
PCMCIA-CE MD3 CE2A
212 E9 MD4/CE2B I/O Mode/
PCMCIA-CE MD4 CE2B
213 A9 VDDQ Power IO VDD (3.3 V)
214 F9 VSSQ Power IO GND (0 V)
215 D9 MD5/RAS2 I/O Mode/RAS
(DRAM) MD5 RAS2
216 B9 DACK0 O DMA C0 bus
acknowledge
217 F8 DACK1 O DMAC1 bus
acknowledge
218 A8 A0 O Address
219 E8 VDDQ Power IO VDD (3.3 V)
220 C8 VSSQ Power IO GND (0 V)
221 D8 A1 O Address
222 B8 STATUS0 O Status
223 F7 STATUS1 O Status
224 A7 MD6/
IOIS16 I Mode/IOIS16
(PCMCIA) MD6 IOIS16
225 E7 VDDQ Power IO VDD (3.3 V)
226 C7 VSSQ Power IO GND (0 V)
Rev. 6.0, 07/02, page 39 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
227 E6 ASEBRK/
BRKACK I/O Pin break/
acknowledge
(H-UDI)
228 A6 TDO O Data out
(H-UDI)
229 D7 VDD Power Internal VDD
(1.5 V)
230 B7 VSS Power Internal GND
(0 V)
231 E5 TMS I Mode (H-UDI )
232 C6 TCK I Cloc k (H-UDI)
233 D6 TDI I Data in (H-UDI )
234 A5 TRST I Reset (H-UDI)
235 D5 CKIO2ENB ICKIO2, RD2,
RD/WR2 enable
236 B6 VDD-PLL2 Power PLL2 VDD (3.3V)
237 C3 VSS-PLL2 Power PLL2 GND (0V)
238 C5 VDD-PLL1 Power PLL1 VDD (3.3V)
239 C4 VSS-PLL1 Power PLL1 GND (0V)
240 A4 VDD-CPG Power CPG VDD (3.3V)
241 A1 VSS-CPG Power CPG GND (0V)
242 A2 XTAL O Crystal resonator
243 A3 EXTAL I External clock/
crystal resonator
244 B3 NC-1
245 B4 NC-2
246 B5 NC-3
247 B14 NC-4
248 B15 NC-5
249 B16 NC-6
250 C1 NC-7
251 C17 NC-8
252 D1 NC-9
253 D2 NC-10
254 D16 NC-11
255 E16 NC-12
Rev. 6.0, 07/02, page 40 of 986
Table 1.4 Pin Functions (cont)
Memory Interface
No. Pin
No. Pin Name I/O Function Reset SRAM DRAM SDRAM PCMCIA MPX
256 M7 NC-13
257 N2 NC-14
258 P2 NC-15
259 P16 NC-16
260 R17 NC-17
261 T4 NC-18
262 T14 NC-19
263 U3 NC-20
264 U4 NC-21
I: Input
O: Output
I/O: Input/output
Power: Power supply
Notes: 1. Except in hardware standby mode, supply power to all power pins. In hardware standby
mode, supply power to RTC as a mi nimum.
2. Power must be supplied to VDD-PLL1/2 and VSS-PLL1/2 regardless of whether or not
the on-chip PLL circuits are used.
3. Power must be supplied to VDD-CPG and VSS-CPG regardless of whether or not the
on-chip crystal resonator is used.
4. Power must be supplied to VDD-RTC and VSS-RTC regardless of whether or not the
on-chip RTC is use d.
5. At least the RTC power supply must be supplied in hardware standby mode.
6. NC pins must be left completely open, and not connected to a power supply, GND, etc.
*CKIO2 is not connected to PLL2.
Rev. 6.0, 07/02, page 41 of 986
Section 2 Programm ing Model
2.1 Data Formats
The data formats handled by the SH7750 Series are shown in figure 2.1.
Byte (8 bits)
Word (16 bits)
Longword (32 bits)
Single-precision floating-point (32 bits)
Double-precision floating-point (64 bits)
07
015
031
031 30 22 fractionexps
063 62 51
exps fraction
Figure 2.1 Data Formats
Rev. 6.0, 07/02, page 42 of 986
2.2 Register Configuration
2.2.1 Privileged Mode and Banks
Proc essor Mode s: The SH7750 Series has two processor modes, user mode and privileged mode.
The SH7750 Series normally op erates in user mode, and switches to privileged mode when an
exception occurs or an interrupt is accepted. There are four kinds of registers—general registers,
system registers, control registers, and floating-point registers—and the registers that can be
accessed differ in the two processor modes.
General Registers: There are 16 general registers, designated R0 to R15. General registers R0 to
R7 are banked registers which are switched by a processor mode change.
In privileged mode, the register bank bit (RB) in the status register (SR) defines which banked
register set is accessed as general registers, and which set is accessed only through the load control
register (LDC) and store control register (STC) instructions.
When the RB bit is 1 (that is, when bank 1 is selected), the 16 registers comprising bank 1 general
registers R0_BANK1 to R7_BANK1 and non-banked general registers R8 to R15 can be accessed
as general registers R0 to R15. In this case, the eight registers comprising bank 0 general registers
R0_BANK0 to R7_BANK0 are accessed by the LDC/STC instructions. When the RB bit is 0 (that
is, when bank 0 is selected), the 16 registers comprising bank 0 general registers R0_BANK0 to
R7_BANK0 and non-banked general registers R8 to R15 can be accessed as general registers R0
to R15. In this case, the eight registers comprising bank 1 general registers R0_BANK1 to
R7_BANK1 are accessed by the LDC/STC instructions.
In user mode, the 16 registers comprising bank 0 general registers R0_BANK0 to R7_ BANK0 an d
non-banked general registers R8 to R15 can be accessed as general registers R0 to R15. The eight
registers comprising bank 1 general registers R0_BANK1 to R7_BANK1 cannot be accessed.
Control Registers: Control registers comprise the global base register (GBR) and status register
(SR), which can be accessed in both processor modes, and the saved status register (SSR), saved
program counter (SPC), vector base register (VBR), saved general register 15 (SGR), and debug
base register (DBR), which can only be accessed in privileged mode. Some bits of the status
register (such as the RB bit) can only be accessed in privileged mode.
System Registers: System registers comprise the multiply-and-accumu late registers
(MACH/MACL), the procedure register (PR), the program counter (PC), the floating-point
status/control register (FPSC R), and the floating-point commu nication register (FPUL). Access to
these registers does not depend on the processor mode.
Rev. 6.0, 07/02, page 43 of 986
Floating-Point Registers: There are thirty-two floating-point registers, FR0–FR15 and XF0–
XF15. FR0–FR15 and XF0–XF15 can be assigned to either of two bank s (FPR0_ BANK0–
FPR15_BANK0 or FPR0_BANK1–FPR15_BANK1).
FR0–FR15 can be used as the eight registers DR0/2/4/6/8/10/12/14 (double-precision floating-
point registers, or pair registers) or the four registers FV0/4/8/12 (register vectors), while XF0–
XF15 can be used as the eight registers XD0/2/4/6/8/10/12/14 (register pairs) or register matrix
XMTRX.
Register values after a reset are shown in table 2.1.
Table 2.1 Initial Register Values
Type Registers Initial Value*
General registers R0_BANK0–R7_BANK0,
R0_BANK1–R7_BANK1,
R8–R15
Undefined
SR MD bit = 1, RB bit = 1, BL bit = 1, FD bit = 0,
I3–I0 = 1111 (H'F), reserved bits = 0, others
undefined
GBR, SSR, SPC, SGR,
DBR Undefined
Control registers
VBR H'00000000
MACH, MACL, PR, FPUL Undefined
PC H'A0000000
System registers
FPSCR H'00040001
Floating-point
registers FR0–FR15, XF0–XF15 Undefined
Note: *Initialized by a power-on reset and manual reset.
The register configuration in each processor is shown in figure 2.2.
Switching between user mode and priv ileged mode is controlled by the processo r mode bit (MD)
in the status register.
Rev. 6.0, 07/02, page 44 of 986
31 0
R0_BANK0*1 *2
R1_BANK0*2
R2_BANK0*2
R3_BANK0*2
R4_BANK0*2
R5_BANK0*2
R6_BANK0*2
R7_BANK0*2
R8
R9
R10
R11
R12
R13
R14
R15
SR
GBR
MACH
MACL
PR
PC
(a) Register configuration
in user mode
31 0
R0_BANK1*1 *3
R1_BANK1*3
R2_BANK1*3
R3_BANK1*3
R4_BANK1*3
R5_BANK1*3
R6_BANK1*3
R7_BANK1*3
R8
R9
R10
R11
R12
R13
R14
R15
R0_BANK0*1 *4
R1_BANK0*4
R2_BANK0*4
R3_BANK0*4
R4_BANK0*4
R5_BANK0*4
R6_BANK0*4
R7_BANK0*4
(b) Register configuration in
privileged mode (RB = 1)
GBR
MACH
MACL
VBR
PR
SR
SSR
PC
SPC
31 0
R0_BANK1*1 *3
R1_BANK1*3
R2_BANK1*3
R3_BANK1*3
R4_BANK1*3
R5_BANK1*3
R6_BANK1*3
R7_BANK1*3
R8
R9
R10
R11
R12
R13
R14
R15
R0_BANK0*1 *4
R1_BANK0*4
R2_BANK0*4
R3_BANK0*4
R4_BANK0*4
R5_BANK0*4
R6_BANK0*4
R7_BANK0*4
(c) Register configuration in
privileged mode (RB = 0)
GBR
MACH
MACL
VBR
PR
SR
SSR
PC
SPC
SGR
DBR
SGR
DBR
Notes: *1 The R0 register is used as the index register in indexed register-indirect addressing mode and
indexed GBR indirect addressing mode.
*2 Banked registers
*3 Banked registers
Accessed as general registers when the RB bit is set to 1 in the SR register. Accessed only by
LDC/STC instructions when the RB bit is cleared to 0.
*4 Banked registers
Accessed as general registers when the RB bit is cleared to 0 in the SR register. Accessed only by
LDC/STC instructions when the RB bit is set to 1.
Figure 2.2 CPU Register Configuration in Each Processor Mode
Rev. 6.0, 07/02, page 45 of 986
2.2.2 General Registers
Figure 2.3 shows the relationship between the processor modes and general registers. The SH7750
Series has twenty-fou r 32-bit ge n e ral registers (R0_BANK0–R7_BANK0, R0_BANK1–
R7_BANK1, and R8–R15). However, only 16 of these can be accessed as general registers R0–
R15 in one processor mode. The SH7750 Series has two processor modes, user mode and
privileged mode, in which R0–R7 are assigned as shown below.
R0_BANK0–R7_BANK0
In user mode (SR.MD = 0), R0–R7 are always assigned to R0_BANK0–R7_ BANK0.
In privileged mode (SR.MD = 1), R0–R7 are assigned to R0_BANK0–R7_BANK0 only when
SR.RB = 0.
R0_BANK1–R7_BANK1
In user mode, R0_BANK1–R7_BANK1 cannot be accessed.
In privileged mode, R0–R7 are assigned to R0_ BANK1– R7_BANK1 only when SR.RB = 1.
Rev. 6.0, 07/02, page 46 of 986
SR.MD = 0 or
(SR.MD = 1, SR.RB = 0)
R0_BANK0
R1_BANK0
R2_BANK0
R3_BANK0
R4_BANK0
R5_BANK0
R6_BANK0
R7_BANK0
R0_BANK0
R1_BANK0
R2_BANK0
R3_BANK0
R4_BANK0
R5_BANK0
R6_BANK0
R7_BANK0
R0_BANK1
R1_BANK1
R2_BANK1
R3_BANK1
R4_BANK1
R5_BANK1
R6_BANK1
R7_BANK1
R0_BANK1
R1_BANK1
R2_BANK1
R3_BANK1
R4_BANK1
R5_BANK1
R6_BANK1
R7_BANK1
R0
R1
R2
R3
R4
R5
R6
R7
R0
R1
R2
R3
R4
R5
R6
R7
R8
R9
R10
R11
R12
R13
R14
R15
R8
R9
R10
R11
R12
R13
R14
R15
R8
R9
R10
R11
R12
R13
R14
R15
(SR.MD = 1, SR.RB = 1)
Figure 2.3 General Registers
Programming Note: As the user’s R0–R7 are assigned to R0_BANK0–R7_BANK0, and after an
exception or interrupt R0–R7 are assigned to R0_BANK1–R7_BANK1, it is not necessary for the
interrupt handler to save and restore the user’s R0–R7 (R0_BANK0–R7_BANK0).
After a reset, the values of R0_BANK0–R7_BANK0, R0_BANK1– R7_ BANK1, an d R8–R15 are
undefined.
Rev. 6.0, 07/02, page 47 of 986
2.2.3 Floating-Point Registers
Figure 2.4 shows the floating-point registers. There are thirty-two 32-bit floating-point registers,
divided into two banks (FPR0_BANK0–FPR15_BANK0 and FPR0_BANK1–FPR15_BANK1).
These 32 registers are referenced as FR0–FR15, DR0/2/4/6/8/10/12/14, FV0/4/8/12, XF0–XF15,
XD0/2/4/6/8/10/12/14, or XMTRX. The correspondence between FPRn_BANKi and the referen ce
name is de ter mined by the FR bit in FPSCR (see figur e 2.4).
Floating-point registers, FPRn_BANKi (32 registers)
FPR0_BANK0, FPR1_ BANK0, FPR2_BANK0 , FPR3 _BANK0, FPR4_B ANK0,
FPR5_BANK0, FPR6_ BANK0, FPR7_BANK0 , FPR8 _BANK0, FPR9_B ANK0,
FPR10_BANK0, FPR11_BANK0, FPR12_BANK0, FPR13_BANK0, FPR14_BANK0,
FPR15_BANK0
FPR0_BANK1, FPR1_ BANK1, FPR2_BANK1 , FPR3 _BANK1, FPR4_B ANK1,
FPR5_BANK1, FPR6_ BANK1, FPR7_BANK1 , FPR8 _BANK1, FPR9_B ANK1,
FPR10_BANK1, FPR11_BANK1, FPR12_BANK1, FPR13_BANK1, FPR14_BANK1,
FPR15_BANK1
Single-precision floating-point registers, FRi (16 registers)
When FPSCR.FR = 0, FR0–FR15 are assigned to FPR0_BANK0–FPR15_BANK0.
When FPSCR.FR = 1, FR0–FR15 are assigned to FPR0_BANK1–FPR15_BANK1.
Double-precision floating-point registers or single-precision floating-point register pairs, DRi
(8 registers): A DR r e gister co mprises two FR registers.
DR0 = {FR0, FR1}, DR2 = {FR2, FR3}, DR4 = {FR4, FR5}, DR6 = {FR6, FR7},
DR8 = {FR8, FR9}, DR10 = {FR10, FR11}, DR12 = {FR12, FR13}, DR14 = {FR14, FR15}
Single-precision floating-point vector registers, FVi (4 registers): An FV register comprises
four FR registers
FV0 = {FR0, FR1, FR2, FR3}, FV4 = {FR4, FR5, FR6, FR7},
FV8 = {FR8, FR9, FR10, FR11}, FV12 = {FR12, FR13, FR14, FR15}
Single-precision floating-point extended registers, XFi (16 registers)
When FPSCR.FR = 0, XF0–XF15 are assigned to FPR0_BANK1–FPR15_BANK1.
When FPSCR.FR = 1, XF0–XF15 are assigned to FPR0_BANK0–FPR15_BANK0.
Single-precision floating-point extended register pairs, XDi (8 registers): An XD register
comp rises two XF registers
XD0 = {XF0, XF1}, XD2 = {XF2, XF3}, XD4 = {XF4, XF5}, XD6 = {XF6, XF7},
XD8 = {XF8, XF9}, XD10 = {XF10, XF11}, XD12 = {XF12, XF13}, XD14 = {XF14, XF15}
Rev. 6.0, 07/02, page 48 of 986
Single-precisio n floating-point ex tended register matrix, XMTRX: XMTRX com prises all 16
XF registers
XMTRX = XF0 XF4 XF8 XF12
XF1 XF5 XF9 XF13
XF2 XF6 XF10 XF14
XF3 XF7 XF11 XF15
FPR0_BANK0
FPR1_BANK0
FPR2_BANK0
FPR3_BANK0
FPR4_BANK0
FPR5_BANK0
FPR6_BANK0
FPR7_BANK0
FPR8_BANK0
FPR9_BANK0
FPR10_BANK0
FPR11_BANK0
FPR12_BANK0
FPR13_BANK0
FPR14_BANK0
FPR15_BANK0
XF0
XF1
XF2
XF3
XF4
XF5
XF6
XF7
XF8
XF9
XF10
XF11
XF12
XF13
XF14
XF15
FR0
FR1
FR2
FR3
FR4
FR5
FR6
FR7
FR8
FR9
FR10
FR11
FR12
FR13
FR14
FR15
DR0
DR2
DR4
DR6
DR8
DR10
DR12
DR14
FV0
FV4
FV8
FV12
XD0 XMTRX
XD2
XD4
XD6
XD8
XD10
XD12
XD14
FPR0_BANK1
FPR1_BANK1
FPR2_BANK1
FPR3_BANK1
FPR4_BANK1
FPR5_BANK1
FPR6_BANK1
FPR7_BANK1
FPR8_BANK1
FPR9_BANK1
FPR10_BANK1
FPR11_BANK1
FPR12_BANK1
FPR13_BANK1
FPR14_BANK1
FPR15_BANK1
XF0
XF1
XF2
XF3
XF4
XF5
XF6
XF7
XF8
XF9
XF10
XF11
XF12
XF13
XF14
XF15
FR0
FR1
FR2
FR3
FR4
FR5
FR6
FR7
FR8
FR9
FR10
FR11
FR12
FR13
FR14
FR15
DR0
DR2
DR4
DR6
DR8
DR10
DR12
DR14
FV0
FV4
FV8
FV12
XD0XMTRX
XD2
XD4
XD6
XD8
XD10
XD12
XD14
FPSCR.FR = 0 FPSCR.FR = 1
Figure 2.4 Floating-Point Registers
Rev. 6.0, 07/02, page 49 of 986
Programming Note: After a reset, the values of FPR0_BANK0–FPR15_BANK0 and
FPR0_BANK1–FPR15_BANK1 are undefined.
2.2.4 Control Registers
Status register, SR (32 bits, privilege protection, initial value = 0111 0000 0000 0000 0000
00XX 11 11 00XX (X: undefined))
31 30 29 28 27 16 15 14 10 9 8 7 4 3 2 1 0
MD RB BL FD M Q IMASK ST
Note: : Reserved. These bits are always read as 0, and should only be written with 0.
MD: Processo r mode
MD = 0: User mode (some instructions cannot be executed, and some resources cannot be
accessed)
MD = 1: Privileged mode
RB: General register bank specifier in privileged mode (set to 1 by a reset, exception, or
interrupt)
RB = 0: R0_BANK0–R7_BANK0 are accessed as general registers R0–R7. (R0_BANK1–
R7_BANK1 can be accessed using LDC/STC R0_BANK–R7_BANK instructions.)
RB = 1: R0_BANK1–R7_BANK1 are accessed as general registers R0–R7. (R0_BANK0–
R7_BANK0 can be accessed using LDC/STC R0_BANK–R7_BANK instructions.)
BL: Exception/interrupt block bit (set to 1 by a reset, exception, or interrupt)
BL = 1: Interrupt requests are masked. If a general exception other than a user break occu rs
while BL = 1, the p rocessor switches to the r e set state.
FD: FPU disable bit (cleared to 0 by a reset)
FD = 1: An FPU instruction causes a general FPU disable exception, and if the FPU instruction
is in a delay slot, a slot FPU disable exception is generated. (FPU instructions: H'F***
instructions, LDC(.L)/STS(.L) instructions for FPUL/FPSCR)
M, Q: Used by the DIV0S, DIV0U, and DIV1 instructions.
IMASK: Interrupt mask level
External interrup ts o f a same level or a lower level than IMASK are m asked.
S: Specifies a saturation operation for a MAC instruction.
T: True/false cond ition o r carry/borrow bit
Rev. 6.0, 07/02, page 50 of 986
Saved status register, SSR (32 bits, privilege protection, initial value undefined) : The current
contents of SR are saved to SSR in the event of an exception or interrupt.
Saved prog r am counter, SPC (32 bit s, privilege protection, initial value undefined): The
address of an in struction at which an interrupt or exception occurs is saved to SPC.
Global base regist er, GBR (32 bits, initial v alue undefined): GBR is referenced as the base
address in a GBR-referencing MOV instruction.
Vector base register, VBR (32 bits, privilege protection, initial value = H'0000 0000): VBR is
referenced as the branch destination base address in the event of an exception or interrupt. For
details, see section 5, Exceptions.
Saved general register 15, SGR (3 2 bits, privilege protection, initial value undef ined) : The
contents of R15 are saved to SGR in the event of an exception or interrupt.
Debug base register, DBR ( 32 bits, privilege protection, initial value undefined): When the
user break debug function is enabled (BRCR.UBDE = 1), DBR is referenced as the user break
handler branch destination address instead of VBR.
2.2.5 System Registers
Multiply- and-accumulate register high, MACH (32 bits, initial va lue undef ined)
Multiply- and-accumulate register low, MACL (32 bits, initial value undefined)
MACH/MACL is used fo r the added value in a MAC instruction, and to store a MAC instruction
or MUL operation result.
Procedure register, PR ( 32 bits, initial va lue undef ined) : The r eturn address is stored in PR in a
subroutine call using a BSR, BSRF, or JSR instruction, and PR is referenced by the subroutine
return instruction (RTS).
Program counter, PC (32 bits, initial value = H'A000 0000): PC indicates the instruction fetch
address.
Rev. 6.0, 07/02, page 51 of 986
Floating-point status/control register, FPSCR (32 bits, initial value = H'0004 0001)
31 22 21 20 19 18 17 12 11 7 6 2 1 0
FR SZ PR DN Cause Enable Flag RM
Note: : Reserved. These bits are always read as 0, and should only be written with 0.
FR: Floating-point register bank
FR = 0: FPR0_BANK0–FPR15_BANK0 are assigned to FR0–FR15; FPR0_BANK1–
FPR15_BANK1 are assigned to XF0–XF15.
FR = 1: FPR0_BANK0–FPR15_BANK0 are assigned to XF0–XF15; FPR0_BANK1–
FPR15_BANK1 are assigned to FR0–FR15.
SZ: Transfer size mode
SZ = 0: The data size of the FMOV instruction is 32 bits.
SZ = 1: The data size of the FMOV instruction is a 32-bit register pair (64 bits).
PR: Precision mode
PR = 0: Floating-point instructions are executed as single-precision operations.
PR = 1: Floating-point instructions are executed as double-precision operations (the result of
instructions for which double-precision is not su pported is undefined).
Do not set SZ and PR to 1 simultaneously; this setting is r eserved.
[SZ, PR = 11]: Reserved (FPU operation instruction is undefined.)
DN: Denormalization mode
DN = 0: A denormalized number is treated as such.
DN = 1: A denormalized number is treated as zero.
Cause: FPU exception cause field
Enable: FPU exception enable field
Flag: FPU exception flag field
FPU
Error (E) Invalid
Operation (V) Division
by Zero (Z) Overflow
(O) Underflow
(U) Inexact
(I)
Cause FPU exception
cause field Bit 17 Bit 16 Bit 15 Bit 14 Bit 13 Bit 12
Enable F PU exception
enable field None Bit 11 Bit 10 Bit 9 B i t 8 Bit 7
Flag FPU exception
flag field None Bit 6 Bit 5 Bit 4 B it 3 Bit 2
Rev. 6.0, 07/02, page 52 of 986
When an FPU operation instruction is executed, the FPU exception cause field is cleared to
zero first. When the next FPU exception is occured, the corresponding bits in the FPU
exception cause field and FPU exception flag field are set to 1. The FPU exception flag field
holds the status of the exception generated after the field was last cleared.
RM: Rounding mode
RM = 00: Round to Nearest
RM = 01: Round to Zero
RM = 10: Reser ved
RM = 11: Reser ved
Bits 22 to 31: Reserved
Floating -point communicat ion register, FPUL (3 2 bits, initial va lue undef ined) : Data transfer
between FPU registers and CPU registers is carried out via the FPUL register.
Programming Note: When SZ = 1 and big endian mode is selected, FMOV can be used for
double-precision floating-point load or store operations. In little endian mode, two 32-bit data size
moves must be executed, with SZ = 0, to load or store a double-precision floating-point number.
2.3 Memory-Mapped Registers
Appendix A, Address List shows the control registers mapped to memory. The control registers
are double-mapped to the following two memory areas. All registers have two addresses.
H'1C00 0000–H'1FFF FFFF
H'FC00 0000–H'FFFF FFFF
These two areas are used as follows.
H'1C00 0000–H'1FFF FFFF
This area must be accessed using the address translatio n function of the MMU. Setting the
page number of this area to the corresponding filed of the TLB enables access to a memory-
mapped register. Accessing this area without using the address translation function of the
MMU is not guaranteed.
H'FC00 0000–H'FFFF FFFF
Access to area H'FF00 0000–H'FFFF FFFF in user mode will cause an addr ess error. Memory-
mapped registers can be referenced in user mode by means of access that involves address
translation.
Note: Do not access undefined locations in either area The operation of an access to an
undefined location is undefined. Also, memory-mapped registers must be accessed using a
fixed data size. The operation of an access using an invalid data size is undefined.
Rev. 6.0, 07/02, page 53 of 986
2.4 Data Format in Registers
Register operands are always longwords (32 bits). When a memory operand is only a byte (8 bits)
or a word (16 bits), it is sig n-extended into a longwor d when loaded into a register.
31 0
Longword
2.5 Data Formats in Memory
Memory data formats are classified into bytes, words, and long words. Memory can be accessed in
8-bit byte, 16-bit word, or 32-bit longword form. A memory operand less than 32 bits in length is
sign-extended before being loaded into a register.
A word operand must be accessed starting from a word boundary (even address of a 2-byte unit:
address 2n), and a longword operand starting from a longword boundary (even address of a 4-byte
unit: addr ess 4n). An address error will result if this rule is no t obser ved. A byte operand can be
accessed from any address.
Big endian or little endian byte order can be selected for the data format. The endian should be set
with the MD5 external pin in a power-on reset. Big endian is selected when the MD5 pin is low,
and little endian when high. The endian cannot be changed dynamically. Bit positions are
numbered left to right from most-significant to least-significant. Thus, in a 32-bit longword, the
leftmost bit, b it 31, is the most signif icant bit and the rightmost b it, bit 0, is the least significant
bit.
The data format in memory is shown in figure 2.5.
Address A
A
70707070
31
15 0 15 0
31 0
15 0
31 0
23 15 7 0
A + 1 A + 2 A + 3
Byte 0
Word 0
Longword
Word 1
Byte 1 Byte 2 Byte 3
A + 11
70707070
31
15 0
23 15 7 0
A + 10 A + 9 A + 8
Byte 3
Word 1
Longword
Word 0
Byte 2 Byte 1 Byte 0
Address A + 4
Address A + 8
Address A + 8
Address A + 4
Address A
Big endian Little endian
Figure 2.5 Data Formats In Memory
Rev. 6.0, 07/02, page 54 of 986
Note: The SH7750 Series does not support endian conversion for the 64-bit data format.
Therefore, if double-precision floating-point format (64-bit) access is performed in little
endian mode, the upper and lower 32 bits will be reversed.
2.6 Processor States
The SH7750 Series has five processor states: the reset state, exception-handling state, bus-released
state, program execution state, and power-down state.
Reset State: In this state the CPU is reset. The reset state is entered when the RESET pin goes
low. The CPU enters the power-on reset state if the MRESET pin is high, and the manual reset
state if the MRESET pin is low. For more infor m ation on r e sets, see sectio n 5, Exceptions.
In the power-on reset state, the internal state of the CPU and the on-chip peripheral module
registers are initialized. In the manual reset state, the internal state o f the CPU and registers o f on-
chip peripheral m odu les other than the bus state contro ller (BSC) are in itialized. Since the bus
state controller ( BSC) is not initialized in the manual re set state, r e f r e shing operations continue .
Refer to the re gister configurations in th e relevant sections for further d etails.
Exception-Handling State: This is a transient state during which the CPU’s processor state flow
is altered by a reset, general exception, or interrupt exception handling source.
In the case of a reset, the CPU branches to address H'A000 0000 and starts executing the user-
coded exception handling program.
In the case of a general exception or interrupt, the program counter (PC) contents are saved in the
saved program counter (SPC), the status register (SR) contents are saved in the saved status
register (SSR), and the R15 contents are saved in saved general register 15 (SGR). The CPU
branches to the start address of the user-coded exception service routine found from the sum of the
contents of the vector base address and th e vector offset. See section 5, Exceptions, for more
information on resets, general ex ceptions, and interrupts.
Program Execution State: In this state the CPU executes program instructions in sequence.
Power-Down State: In the power-down state, CPU operation halts and power consumption is
reduced. The power-down state is entered by executing a SLEEP instruction. There are two modes
in the power-down state: sleep mode and standby mode. For details, see section 9, Power-Down
Modes.
Bus-Released State: In this state the CPU has released the bus to a device that requested it.
Transitions between the states are shown in figure 2.6.
Rev. 6.0, 07/02, page 55 of 986
= 0,
= 1
= 1,
= 0
= 1,
= 1
Power-on reset state Manual reset state
Program execution state
Bus-released state
Exception-handling state
Interrupt Interrupt
End of exception
transition
processing
Bus request
clearance
Exception
interrupt
Bus request
clearance
Bus
request
Bus request
clearance
SLEEP instruction
with STBY bit
cleared
SLEEP instruction
with STBY bit set
From any state when
= 0 and = 1 From any state when
= 0 and = 0
Reset state
Power-down state
Bus request
Bus request
Standby modeSleep mode
Figure 2.6 Processor State Transitions
2.7 Processor Modes
There are two processor modes: user mode and privileged mode. The processor mode is
determined by the processor mode bit (MD) in the status register (SR). User mode is selected
when the MD bit is clear ed to 0, and privileged mode when th e MD b it is set to 1. When the reset
state or exception state is entered, the MD bit is set to 1. There are certain registers and bits which
can only be accessed in privileged mode.
Rev. 6.0, 07/02, page 56 of 986
Rev. 6.0, 07/02, page 57 of 986
Section 3 Memory Management Unit (MMU)
3.1 Overview
3.1.1 Features
The SH7750 Series can handle 29-bit external memory space from an 8-bit address space
identifier and 32-bit logical (virtual) address space. Address translation from virtual address to
physical address is performed using the memo ry management unit (MMU) built into the SH7750
Series. The MMU performs high-speed address translation by caching user-created address
translation table information in an address translation buffer (translation lookaside buffer: TLB).
The SH7750 Series has four instruction TLB (ITLB) entries and 64 unified TLB (UTLB) entries.
UTLB copies are stored in the ITLB by hardware. A paging system is used for address translation,
with support for four page sizes (1, 4, and 64 kbytes, and 1 Mbyte). It is possible to set the virtual
address space access right and implement storage protection independently for privileged mode
and user mode.
3.1.2 Role of the MMU
The MMU was conceived as a means of making efficient use of physical memory. As shown in
figure 3.1, when a process is smaller in size than the physical memory, the entire process can be
mapped onto physical memory, but if the process increases in size to the point where it does not fit
into physical memory, it becomes necessary to divide the process into smaller parts, and map the
parts requiring execution onto physical memory on an ad hoc basis ((1)). Having this mapping
onto physical memory executed consciously by the process itself imposes a heavy burden on the
process. The virtual memory system was devised as a means of handling all physical memory
mapping to reduce this burden ((2)). With a virtual memory system, the size of the available
virtual memory is much larger than the actual physical memory, and processes are mapped onto
this virtual memory. Thus processes only have to consider their operation in virtual memory, and
mapping from virtual memory to physical memory is handled by the MMU. The MMU is
normally managed by the OS, and physical memory switching is carried out so as to enable the
virtual memory required by a task to be mapped smoothly onto physical memory. Physical
memory switching is performed via secondary storage, etc.
The virtual memory system that came into being in th is way works to best effect in a time sharing
system (TSS) that allows a number of processes to run simultaneously ((3)). Running a number of
processes in a TSS did not increase efficiency since each process had to take account of physical
memory mapping. Efficiency is improved and the load on each process reduced by the use of a
virtual memory system ((4)). In this system, virtual memory is allocated to each process. The task
of the MMU is to map a number of virtual memory areas onto physical memory in an efficient
manner. It is also provided with memory protection functions to prevent a process from
inadvertently accessing another process’s physical memory.
Rev. 6.0, 07/02, page 58 of 986
When address translation from virtual memory to physical memory is performed using the MMU,
it may happen that the translation information has not been recorded in the MMU, or the virtual
memory of a different process is accessed by mistake. In such cases, the MMU will generate an
exception, change the physical memory mapping, and record the new address translation
information.
Although the functions of the MMU could be implemented by software alone, having address
translation performed by software each time a process accessed physical memory would be very
inefficient. For this reason, a buffer for address translation (the translation lookaside buffer: TLB)
is provided in hardware, and frequently used address translation information is placed here. The
TLB can be described as a cache for address translation information. However, unlike a cache, if
address translation fails—that is, if an exception occurs—switching of the address translation
information is normally performed by software. Thus memory management can be performed in a
flexible manner by software.
There are two methods by which the MMU can perform mapping from virtual memory to physical
memory: the paging method, using fixed-length address translation, and the segment method,
using variable-length address translation. With the paging method, the unit of translation is a
fixed-size address space called a page (usually from 1 to 64 kbytes in size).
In the following descriptions, the address space in virtual memory in the SH7750 Series is referred
to as virtual address space, and the address space in physical memory as physical address space.
Rev. 6.0, 07/02, page 59 of 986
;
;;
(2)
Process 1
Process 1
Physical
memory
Process 1
Process 2
Process 3
Virtual
memory
Process 1
Process 1
Process 2
Process 3
MMU
MMU
(4)(3)
(1)
Physical
memory
Physical
memory
Physical
memory
Physical
memory
Virtual
memory
;
;;
;;;
;;
;
;
;;
;;
;
;;
;;;
;
;;
;;;;
;
;;
;;;;
;;
;;
;;;;
;;
;;
;;;;
;;
;
;;;;
;;
;
;;;
;;
;
;;
;;
;
;
;;
;;;
;;
;;
;;;
;;
;
;
;;
;;
;
;;
;;;
;
;;
;;;;
;
;;
;;;;
;;
;;
;;;;
;;
;;
;;;;
;;
;
;;;;
;;
;
;;;
;;
;
;;
;;
;
;
;;
;;;
;;
;
;;
;;;
;;;;
;;;
;
;
;;;
;;
;
;;;
;;;
;
;;;
;;;
;;
;;;
;;;
;;
;;;
;;;
;;
;;
;;;
;;
;
;;;
;;
;
;;
;;
;
;
;;
;;;
;;
;
;
;;
;;;
;;
;
;
;;
;;
;
;;
;;;
;
;;
;;;;
;
;;
;;;;
;;
;;
;;;;
;;
;;
;;;;
;;
;
;;;;
;;
;
;;;
;;
;
;;
;;
;
;
;;
;;;
;;
;
Figure 3.1 Role of the MMU
Rev. 6.0, 07/02, page 60 of 986
3.1.3 Register Configuration
The MMU registers are shown in table 3.1.
Table 3.1 MMU Registers
Name Abbrevia-
tion R/W Initial
Value*1P4
Address*2Area 7
Address*2Access
Size
Page table entry high
register PTEH R/W Undefined H'FF00 0000 H'1F00 0000 32
Page table entry low
register PTEL R/W Undefined H'FF00 0004 H'1F00 0004 32
Page table entry
assistance register PTEA R/W Undefined H'FF00 0034 H'1F00 0034 32
Translation table base
register TTB R/W Undefined H'FF00 0008 H'1F00 0008 32
TLB exception address
register TEA R/W Undefined H'FF00 000C H'1F00 000C 32
MMU control register MMUCR R/W H'0000 0000 H'FF00 0010 H'1F00 0010 32
Notes: *1 The initial value is the value after a power-on reset or manual reset.
*2 This is the address when using the virt ual/ ph ysi cal address spa ce P4 area. When
making an access from physical address space area 7 using the TLB, the upper 3 bits
of the address are ignored.
3.1.4 Caution
Operation is not guaranteed if an area designated as a reserved area in this manu al is accessed.
Rev. 6.0, 07/02, page 61 of 986
3.2 Register Desc riptions
There are six MMU-related registers.
31 10 9 8 70
VPN
PPN
ASID
1. PTEH
31 30 29 28 10 9 8 7 6543210
VSZPRSZCDSH
WT
2. PTEL
31 4 3 20
TC SA
3. PTEA
31 0
TTB
4. TTB
31
Virtual address at which MMU exception or address error occurred
5. TEA
31 26 24 23 18 17 16 15 10 9 8 7 6 5 4 3 2 1 0
LRUI URC
SQMD
SV—————TIAT
6. MMUCR
— indicates a reserved bit: the write value must be 0, and a read will return 0.
URB
25
Figure 3.2 MMU-Related Registers
Rev. 6.0, 07/02, page 62 of 986
1. Page table entry high register (PTEH): Longword access to PTEH can be performed from
H'FF00 0000 in the P4 area and H'1F00 0000 in area 7. PTEH consists of the virtual page number
(VPN) and address space identifier (ASID). When an MMU exception or address error exception
occurs, the VPN of the virtual address at which the exception occurred is set in the VPN field by
hardware. VPN varies according to the page size, but the VPN set by hardware when an exception
occurs consists of the upper 22 bits of the vir tual address which caused the exception . VPN setting
can also be carried out by software. The number of the currently executing process is set in the
ASID field by software. ASID is not updated by hardware. VPN and ASID are recorded in the
UTLB by means of the LDLTB instruction.
A branch to the P0, P3, or U0 area which uses the updated ASID after the ASID field in PTEH is
rewritten should be made at least 6 instructions after the PTEH update instruction.
2. Page table entry low register (PTEL): Longword access to PTEL can be performed from
H'FF00 0004 in the P4 area and H'1F00 0004 in area 7. PTEL is used to ho ld the physical page
number and page management information to be recorded in the UTLB by means of the LDTLB
instruction. The contents of this register are not changed unless a software directive is issued.
3. Page table entry assistance register (PTEA): Longword access to PTEA can be performed
from H'FF00 0034 in the P4 area and H'1F00 0034 in area 7. PTEL is used to store assistance bits
for PCMCIA access to the UTLB by means of the LDTLB instruction. When performing access
from the CPU in the SH7750S and SH7750R with MMUCR.AT = 0, access is always performed
using the values of the SA and TC bits in this register. In the SH7750, it is not possible to access a
PCMCIA interface area with MMUCR.AT = 0. In the SH7750 Series, access to a PCMCIA
interface area by the DMAC is always performed using the DMAC’s CHCRn.SSAn,
CHCRn.DSAn, CHCRn.STC, and CHCRn.DTC values. The contents of this register are not
changed unless a software directive is issued.
4. Translation table base register (TTB): Longword access to TTB can be performed from
H'FF00 0008 in the P4 area and H'1F00 0008 in area 7. TTB is used, for example, to hold the base
address of the currently used page table. The conten ts of TTB are not changed unless a software
directive is issued. This register can be freely used by software.
5. TLB exception address register (TEA): Longword access to TEA can be performed from
H'FF00 000C in the P4 area and H'1F00 000C in area 7. After an MMU exception or address error
exception occurs, the virtual address at which the exception occurred is set in TEA by hardware.
The contents of this register can be changed by software.
6. MMU control register (MMUCR): MMUCR contains the following bits:
LRUI: Least recently used ITLB
URB: UTLB replace boundary
URC: UTLB replace counter
SQMD: Store queue mode bit
SV: Single virtual mode bit
Rev. 6.0, 07/02, page 63 of 986
TI: TLB invalidate
AT: Address translation bit
Longword access to MMUCR can be performed from H'FF00 0010 in the P4 area and H'1F00
0010 in area 7. The individual bits perform MMU settings as shown below. Therefore, MMUCR
rewriting should be performed by a pr ogram in the P1 or P2 area. After MMUCR is updated, an
instruction that performs data access to the P0, P3, U0, or store queue area should be located at
least four instructions after the MMUCR update instruction. Also, a branch instruction to the P0,
P3, or U0 area should be located at least eight instructions after the MMUCR update instruction.
MMUCR contents can be changed by software. The LRUI bits and URC bits may also be updated
by hardware.
LRUI: Least recently used ITLB. The LRU (least recently used) method is used to decide the
ITLB entry to be replaced in the event of an ITLB miss. The entry to be purged from the ITLB
can be confirmed using the LRUI bits. LRUI is updated by means of the algorithm shown
below. A dash in this table means that updating is not performed.
LRUI
[5] [4] [3] [2] [1] [0]
When ITLB entry 0 is used 0 0 0
When ITLB entry 1 is used 1 0 0
When ITLB entry 2 is used 1 1 0
When ITLB entry 3 is used 1 1 1
Other than the above
When the LRUI bit settings are as shown below, the corresponding ITLB entry is updated by
an ITLB miss. An asterisk in this table means “don’t care”.
LRUI
[5] [4] [3] [2] [1] [0]
ITLB entry 0 is updated 1 1 1 ***
ITLB entry 1 is updated 0 **11*
ITLB entry 2 is updated *0*0*1
ITLB entry 3 is updated **0*00
Other than the above Setting prohibited
Ensure that values fo r which “Setting prohibited” is indicated in the above table are not set at
the discretion of software. After a power-on or manual reset the LRUI bits are initialized to 0,
and therefore a prohibited setting is never made by a hardware update.
Rev. 6.0, 07/02, page 64 of 986
URB: UTLB replace boundary. Bits that indicate the UTLB entry boundary at which
replacement is to be performed. Valid only when URB > 0.
URC: UTLB replace counter. Random counter for indicating the UTLB entry for which
replacement is to be performed with an LDTLB instruction. URC is incremented each time the
UTLB is accessed. When URB > 0, URC is reset to 0 when the condition URC = URB occurs.
Also note that, if a value is written to URC by software which results in the condition URC >
URB, incrementing is first performed in excess of URB until URC = H'3F. URC is not
incremented by an LDTLB instruction.
SQMD: Store queue mode bit. Specifies the right of access to the store queues.
0: User/privileged access possible
1: Privileged access possible (address error exception in case of user access)
SV: Single virtual mode bit. Bit that switches between single virtual memory mode and
multiple vir tu a l m e mor y mode.
0: Multiple virtu al memory mode
1: Single virtual memory mode
When this bit is changed, ensure that 1 is also written to the TI bit.
TI: TLB invalidatio n bit. Writing 1 to this bit invalidates (c lear s to 0) all valid UTLB/ITLB
bits. This bit always returns 0 when read.
AT: Address translation enable bit. Specifies MMU enabling or disabling.
0: MMU disabled
1: MMU enabled
MMU exceptions are not generated when the AT bit is 0. In the case of software that does not
use the MMU, therefore, the AT bit should be cleared to 0.
3.3 Address Space
3.3.1 Physical Address Space
The SH7750 Series supports a 32-bit physical address space, and can access a 4-Gbyte address
space. When the MMUCR.AT bit is cleared to 0 and the MMU is disabled, the address space is
this physical address space. The physical address space is divided into a number of areas, as
shown in figure 3.3. Th e physical address space is permanently mapped onto 29-bit external
memory space; this correspondence can be implemented by ignoring the upper 3 bits of the
physical address space addresses. In privileged mode, the 4- Gbyte space from the P0 area to the
P4 area can be accessed. In user mode, a 2-Gbyte space in the U0 area can be accessed. Accessing
the P1 to P4 ar eas ( except the store queue area) in user mode will cause an addr ess er r or.
Rev. 6.0, 07/02, page 65 of 986
Area 0
Area 1
Area 2
Area 3
Area 4
Area 5
Area 6
Area 7
External
memory space
Address error
Address error
Store queue area
User modePrivileged mode
P1 area
Cacheable
P0 area
Cacheable
P2 area
Non-cacheable
P3 area
Cacheable
P4 area
Non-cacheable
U0 area
Cacheable
H'0000 0000
H'8000 0000
H'E000 0000
H'E400 0000
H'FFFF FFFF
H'0000 0000
H'8000 0000
H'FFFF FFFF
H'A000 0000
H'C000 0000
H'E000 0000
Figure 3.3 Physical Address Spa ce (MMUCR.AT = 0)
In the SH7750, the CPU cannot access a PCMCIA interface area. When performing access from
the CPU to a PCMCIA interface area in the SH7750S or the SH7750R, access is always
performed using the values of the SA and TC bits set in the PTEA register.
The PCMCIA interface area is always accessed by the DMAC with the values of CHCRn.SSAn,
CHCRn.DSAn, CHCRn.STC, and CHCRn.DTC in the DMAC. For details, see section 14, Direct
Memory Access Controller (DMAC).
P0, P1, P3, U0 Areas: The P0, P1, P3, and U0 areas can be accessed using the cache. Whether or
not the cache is u sed is d e termin ed by the cache control register (CCR). Wh en the cache is used,
with the exception of the P1 area, switching between the copy-back method and the write-through
method for write accesses is specified by the CCR.WT bit. For the P1 area, switching is specified
by the CCR.CB bit. Zeroizing the upper 3 bits of an address in these areas gives the corresponding
external memory space address. However, since area 7 in the external memory space is a reserved
area, a reserved area also appears in these areas.
P2 Area: The P2 area cannot be accessed using the cache. In the P2 area, zeroizing the upper 3
bits of an address gives the corresponding external memo ry space address. However, since area 7
in the external memory space is a reserved area, a reserved area also appears in this area.
Rev. 6.0, 07/02, page 66 of 986
P4 Area: The P4 area is mapped onto SH7750 Series on-chip I/O channels. This area cannot be
accessed using the cache. Th e P4 area is shown in detail in figure 3.4.
H'E000 0000
H'E400 0000
H'F000 0000
H'F100 0000
H'F200 0000
H'F300 0000
H'F400 0000
H'F500 0000
H'F600 0000
H'F700 0000
H'F800 0000
H'FC00 0000
Store queue
Reserved area
Instruction cache address array
Instruction cache data array
Instruction TLB address array
Instruction TLB data arrays 1 and 2
Operand cache address array
Operand cache data array
Unified TLB address array
Unified TLB data arrays 1 and 2
Reserved area
Control register area
Figure 3.4 P4 Area
The area from H'E000 0000 to H'E3FF FFFF com prises addresses for accessing the store queues
(SQs). When the MMU is disabled (MMUCR.AT = 0), the SQ access right is specified by the
MMUCR.SQMD bit. For details, see section 4.7, Store Queues.
The area from H'F000 0000 to H'F0FF FFFF is used for direct access to the instruction cache
address array. For details, see section 4.5.1, IC Address Array.
The area from H'F100 0000 to H'F1FF FFFF is used for direct access to the instruction cache data
array. For details, see section 4.5.2, IC Data Array.
The area from H'F200 0000 to H'F2 FF FFFF is used for direct access to the instruction TLB
address array. For details, see section 3.7.1, ITLB Addr ess Array.
Rev. 6.0, 07/02, page 67 of 986
The area from H'F300 0000 to H'F3FF FFFF is used for direct access to instruction TLB data
arrays 1 and 2. For details, see sections 3.7.2, ITLB Data Array 1, and 3.7.3, ITLB Data Array 2.
The area from H'F400 0000 to H'F4 FF FFFF is used for direct access to the operand cache address
array. For details, see section 4.5.3, OC Address Array.
The area from H'F500 0000 to H'F5 FF FFFF is used for direct access to the operand cache data
array. For details, see section 4.5.4, OC Data Array.
The area from H'F600 0000 to H'F6 FF FFFF is used for direct access to the unified TLB ad dress
array. For details, see section 3.7.4, UTLB Address Array.
The area from H'F700 0000 to H'F7FF FFFF is used for direct access to unified TLB data arrays 1
and 2. For details, see sections 3.7.5, UTLB Data Array 1, and 3.7.6, UTLB Data Array 2.
The area from H'FF00 0000 to H'FFFF FFFF is the on-chip peripheral module control register
area. For details, see appendix A, Address List.
3.3.2 External Memory Space
The SH7750 Series supports a 29-bit external memory space. The extern al memory space is
divided into eight areas as shown in figure 3.5. Areas 0 to 6 relate to memory, such as SRAM,
synchronous DRAM, DRAM, and PCMCIA. Area 7 is a reserved area. For details, see section 13,
Bus State Controller (BSC).
H'0000 0000
H'0400 0000
H'0800 0000
H'0C00 0000
H'1000 0000
H'1400 0000
H'1800 0000
H'1C00 0000
H'1FFF FFFF
Area 0
Area 1
Area 2
Area 3
Area 4
Area 5
Area 6
Area 7 (reserved area)
Figure 3.5 External Memory Space
Rev. 6.0, 07/02, page 68 of 986
3.3.3 Virtual Address Space
Setting the MMUCR.AT bit to 1 enables the P0, P3, and U0 areas of the physical memory space in
the SH7750 Series to be mapped onto any external memory space in 1-, 4-, or 64-kby te, or 1-
Mbyte, page units. By using an 8-bit address space identifier, the P0, U0, P3, and store queue
areas can be increased to a maximum of 256. Th is is called the virtual memory space. Mapping
from virtual memory space to 29-bit external memory space is carried out using the TLB. Only
when area 7 in external memory space is accessed using virtual memory space, addresses H'1C00
0000 to H'1FFF FFFF of area 7 are not designated as a reserved area, but are equivalent to the P4
area control register area in the physical memory space. Virtual memory space is illustrated in
figure 3.6.
Area 0
Area 1
Area 2
Area 3
Area 4
Area 5
Area 6
Area 7
External
memory space 256
256
U0 area
Cacheable
Address translation possible
Address error
Address error
Store queue area
P0 area
Cacheable
Address translation possible
User modePrivileged mode
P1 area
Cacheable
Address translation not possible
P2 area
Non-cacheable
Address translation not possible
P3 area
Cacheable
Address translation possible
P4 area
Non-cacheable
Address translation not possible
Figure 3.6 Virtual Address Space (MMUCR.AT = 1)
In the state of cache enabling, when the areas of P0, P3, and U0 are mapped onto a PCMCIA
interface area by means of the TLB, it is necessary either to specify 1 for the WT bit or to specify
0 for the C bit on that page. At that time, the regions are accessed by the values of SA and TC set
in page units of the TLB.
Rev. 6.0, 07/02, page 69 of 986
Here, access to the PCMCIA interface area by accessing an area of P1, P2, or P4 from the CPU is
disabled.
In addition, the PCMCIA in terface area is always accessed by the DMAC with th e v a lu es of
CHCRn. SSA n, CHCRn.DSA n, CHCRn.STC, and CHCRn.DTC in the DMAC. For details, see
section 14, Direct Memory Access Controller (DMAC).
P0, P3, U0 Areas: The P0 area (excluding addresses H'7C00 0000 to H'7FFF FFFF), P3 area, and
U0 area (excluding addr esses H'7C00 0000 to H'7FFF FFF F) allow access using the cache and
address translation using the TLB. These areas can be mapped onto any external memory space in
1-, 4-, or 64-kbyte, or 1-Mbyte, page units. When CCR is in the cache-enabled state and the TLB
enable bit (C bit) is 1, accesses can be performed using the cache. In write accesses to the cache,
switching between the copy-back method and the write-through method is indicated by the TLB
write-through bit (WT bit), and is specified in page units.
Only when the P0, P3, and U0 ar eas are mapped onto external memory space by means of the
TLB, addresses H'1C00 0000 to H'1FFF FFFF of area 7 in external memory space are allocated to
the control register area. Th is enables on-chip peripheral module control registers to be accessed
from the U0 area in user mode. In this case, the C bit for the corresponding page must be cleared
to 0.
P1, P2, P4 Areas: Address translation using the TLB cannot be performed for the P1, P2, or P4
area (except for the store queue area). Accesses to these areas are the same as for physical memory
space. The store queue area can be mapped onto any external memory space by the MMU.
However, operation in the case of an exception differs from that for normal P0, U0, and P3 spaces.
For details, see section 4.7, Store Queues.
3.3.4 On-Chip RAM Space
In the SH7750 Series, half of the instruction cache can be used as on-chip RAM. This can be done
by changing the CCR settings.
When the operand cache is used as on-chip RAM (CCR.O RA = 1), P0 area addresses H'7C00
0000 to H'7FFF FFFF are an on-chip RAM area. Data accesses (byte/word/longword/quadword)
can be used in this area. This area can only be used in RAM mode.
3.3.5 Address Translation
When the MMU is used, the virtual address space is divided into units called pages, and
translation to physical addresses is carried out in these page units. The address translation table in
external memory contains the physical addresses corresponding to virtual addresses and additional
information such as memory protection codes. Fast address translation is achieved by caching the
contents of the address translation table located in external memory into the TLB. I n the SH7 750
Series, basically, the ITLB is used for instruction accesses and the UTLB for data accesses. In the
Rev. 6.0, 07/02, page 70 of 986
event of an access to an area other than the P4 area, the accessed virtual address is translated to a
physical address. If the virtual address belongs to the P1 or P2 area, the physical address is
uniquely determined without accessing the TLB. If the virtual address belongs to the P0, U0, or P3
area, the TLB is searched using the virtual address, and if the virtual address is recorded in the
TLB, a TLB hit is made and the corresponding physical address is read from the TLB. If the
accessed virtual address is not recorded in the TLB, a TLB miss exception is generated and
processing switches to the TLB miss exception routine. In the TLB miss exception routine, the
address translation table in external memory is searched, and the corresponding physical address
and page manag ement in form ation are recorded in the TLB. After the return from the exception
handling routine, the instruction which caused the TLB miss exception is re-executed.
3.3.6 Single Virtual Memory Mode and Multiple Virtual Memory Mode
There are two virtual memor y systems, single virtual memory and multiple virtual mem o ry, eith er
of which can be selected with the MMUCR.SV bit. In the single virtual memory system, a number
of processes run simultaneously, using virtual address space on an exclusive basis, and the
physical address corresponding to a particular virtual address is uniquely determined. In the
multiple vir tual memory system, a number of processes run while sharing the virtual address
space, and a particular virtual address may be translated into different physical addresses
depending on the process. The only difference between the sing le virtual me mory and multiple
virtual memory systems in terms of operation is in the TLB address comparison method (see
section 3.4.3, Address Translation Method).
3.3.7 Address Space Identifier (ASID)
In multiple virtual memory mode, the 8-bit address space identifier (ASID) is used to distinguish
between processes running simultaneously while sharing the virtual address space. Software can
set the ASID of the currently executing process in PTEH in the MMU. The TLB does not have to
be purged when processes are switched by means of ASID.
In single virtual memory mode, ASID is used to provide memory protection for processes running
simultaneously while using the virtual memory space on an exclusive basis.
Note: In single virtual memory mode, entries with the same virtual page number (VPN) but
different ASIDs cannot be set in the TLB simultaneously.
Rev. 6.0, 07/02, page 71 of 986
3.4 TLB Functions
3.4.1 Unified TLB (UTLB) Co nfiguration
The unified TLB (UTLB) is so called because of its use for the following two purposes:
1. To translate a virtual address to a physical address in a data access
2. As a table of address translation inf ormation to be recorded in the instr uction TLB in the event
of an ITLB miss
Information in the address translation table located in external memory is cached into the UTLB.
The address translation table contains virtual page nu mbers and address space identifiers, and
corresponding physical page numbers and page management information. Figure 3.7 shows the
overall config uration of the UTLB. The UTLB consists of 64 fully-associative type entries. Figure
3.8 shows the relationship between the address format and page size.
PPN [28:10]
PPN [28:10]
PPN [28:10]
SZ [1:0]
SZ [1:0]
SZ [1:0]
SH
SH
SH
C
C
C
PR [1:0]
PR [1:0]
PR [1:0]
ASID [7:0]
ASID [7:0]
ASID [7:0]
VPN [31:10]
VPN [31:10]
VPN [31:10]
V
V
V
Entry 0
Entry 1
Entry 2
D
D
D
WT
WT
WT
PPN [28:10] SZ [1:0] SH C PR [1:0]
SA [2:0]
SA [2:0]
SA [2:0]
TC
TC
TC
SA [2:0] TCASID [7:0] VPN [31:10] VEntry 63 D WT
Figure 3.7 UTLB Configuration
Rev. 6.0, 07/02, page 72 of 986
31
• 1-kbyte page
10 9 0
Virtual address
31
• 4-kbyte page
12 11 0
Virtual address
31
• 64-kbyte page
16 15 0
Virtual address
31
• 1-Mbyte page
20 19 0
Virtual address
VPN
Offset
VPN Offset
VPN Offset
VPN Offset
28 10 9 0
Physical address
28 12 11 0
Physical address
28 16 15 0
Physical address
28 20 19 0
Physical address
PPN Offset
PPN Offset
PPN Offset
PPN Offset
Figure 3.8 Relationship between Page Size and Address Format
VPN: Virtual page number
For 1-kbyte page: upper 22 bits of virtual address
For 4-kbyte page: upper 20 bits of virtual address
For 64-kbyte page: upper 16 bits of virtual address
For 1-Mbyte page: upper 12 bits of virtual address
ASID: Address space identifier
Indicates the process that can access a virtual page.
In single vir tu al memo ry m ode an d user m ode, or in multip le v ir tu a l m e mory mode, if the SH
bit is 0, this id entifier is compar ed with the ASID in PTEH when address comparison is
performed.
SH: Share status bit
When 0, pages are not shared by processes.
When 1, pages are shared by processes.
Rev. 6.0, 07/02, page 73 of 986
SZ: Page size bits
Specify the page size.
00: 1-kbyte page
01: 4-kbyte page
10: 64-kbyte page
11: 1-Mbyte page
V: Validity bit
Indicates whether the entry is valid.
0: Invalid
1: Valid
Cleared to 0 by a power-on reset.
Not affected by a manual reset.
PPN: Physical page number
Upper 22 bits of the physical address.
With a 1-kbyte page, PPN bits [28:10] are valid.
With a 4-kbyte page, PPN bits [28:12] are valid.
With a 64-kbyte page, PPN bits [28:16] are valid.
With a 1-Mbyte page, PPN bits [28:20] are valid.
The synonym problem must be taken into account when setting the PPN (see section 3.5.5,
Avoiding Synonym Problems).
PR: Protection key data
2-bit data expressing the page access right as a code.
00: Can be read only, in privileged mode
01: Can be r ead and written in privileged mode
10: Can be read only, in privileged or user mode
11: Can be read and written in priv ileged mode or user mode
C: Cacheability bit
Indicates whether a page is cacheable.
0: Not cacheable
1: Cacheable
When control register space is mapped, this bit must be cleared to 0.
When performing PCMCIA space mapping in the cache enabled state, either clear this bit to 0
or set the WT bit to 1.
Rev. 6.0, 07/02, page 74 of 986
D: Dirty bit
Indicates whether a write has been performed to a page.
0: Write has not been performed
1: Write has been performed
WT: Write-through bit
Specifies the cache write mode.
0: Copy-back mode
1: Write-through mode
When performing PCMCIA space mapping in the cache enabled state, either set this bit to 1 or
clear the C bit to 0.
SA: Space attribute bits
Valid only when the page is mapped onto PCMCIA connected to area 5 or 6.
000: Undefined
001: Variable-size I/O space (base size according to IOIS16 signal)
010: 8-bit I/O space
011: 16-bit I/O space
100: 8-bit common memory space
101: 16-bit common memory space
110: 8-bit attribute memory space
111: 16-bit attribute memory space
TC: Timing control bit
Used to select wait contr ol register bits in the bus control unit for ar eas 5 and 6.
0: WCR2 (A5W2–A5W0) and PCR (A5PCW1–A5PCW0, A5TED2–A5TED0, A5TEH2–
A5TEH0) are used
1: WCR2 (A6W2–A6W0) and PCR (A6PCW1–A6PCW0, A6TED2–A6TED0, A6TEH2–
A6TEH0) are used
Rev. 6.0, 07/02, page 75 of 986
3.4.2 Instruction TLB (ITLB) Configuration
The ITLB is used to translate a virtual address to a physical address in an instruction access.
Information in the address translation table located in the UTLB is cached into the ITLB. Figure
3.9 sho ws the overall configuration of th e ITLB. The ITLB consists of 4 fully -associative type
entries. The address translation information is almost the same as th at in the UTLB, but with the
following differences:
1. D and WT bits are not supported.
2. There is only one PR bit, corresponding to the upper of the PR bits in the UTLB.
PPN [28:10]
PPN [28:10]
PPN [28:10]
PPN [28:10]
SZ [1:0]
SZ [1:0]
SZ [1:0]
SZ [1:0]
SH
SH
SH
SH
C
C
C
C
PR
PR
PR
PR
ASID [7:0]
ASID [7:0]
ASID [7:0]
ASID [7:0]
VPN [31:10]
VPN [31:10]
VPN [31:10]
VPN [31:10]
V
V
V
V
Entry 0
Entry 1
Entry 2
Entry 3
SA [2:0]
SA [2:0]
SA [2:0]
SA [2:0]
TC
TC
TC
TC
Figure 3.9 ITLB Configuration
3.4.3 Address Translation Method
Figures 3.10 and 3.11 show flowcharts of memory accesses using the UTLB and ITLB.
Rev. 6.0, 07/02, page 76 of 986
MMUCR.AT = 1
SH = 0
and (MMUCR.SV = 0 or
SR.MD = 0)
VPNs match
and ASIDs match and
V = 1
Only one
entry matches
SR.MD?
CCR.OCE?
CCR.CB? CCR.WT?
VPNs match
and V = 1
Cache access
in write-through mode
Memory access
Memory access
Data TLB multiple
hit exception
Data TLB protection
violation exception
Data TLB miss
exception
Initial page write
exception
Data TLB protection
violation exception
Cache access
in copy-back mode
Data access to virtual address (VA)
On-chip I/O access
R/W?
R/W?
VA is
in P4 area VA is
in P2 area VA is
in P1 area VA is in P0, U0,
or P3 area
Yes
No
1
1
0
Yes
Yes
NoNo
Yes
Yes
Yes
No
No
1 (Privileged)
1
00
PR?
0 (User)
D?
R/W? WW
W
RRRR
W
R/W?
(Non-cacheable)
WT?
C = 1
and CCR.OCE = 1
No
1
1
0
0
00 or
01 10 11 01 or 11 00 or 10
Figure 3.10 Flowchart of Memory Access Using UTLB
Rev. 6.0, 07/02, page 77 of 986
MMUCR.AT = 1
SH = 0
and (MMUCR.SV = 0 or
SR.MD = 0)
VPNs match
and ASIDs match and
V = 1
Only one
entry matches
SR.MD?
CCR.ICE?
VPNs match
and V = 1
Memory access
Instruction TLB
multiple hit exception
Instruction TLB
miss exception
Instruction access to virtual address (VA)
VA is
in P4 area VA is
in P2 area VA is
in P1 area VA is in P0, U0,
or P3 area
Yes
No
1
0
Yes
Yes
No
No
Yes
Yes
No
(Non-cacheable)
C = 1
and CCR.ICE = 1
No
PR?
Instruction TLB protection
violation exception
Match? Record in ITLB
Access prohibited
0
1
No
Yes
Yes
No
Hardware ITLB
miss handling
0 (User) 1 (Privileged)
Search UTLB
Cache access
Figure 3.11 Flowchart of Memory Access Using ITLB
Rev. 6.0, 07/02, page 78 of 986
3.5 MMU Functions
3.5.1 MMU Hardware Management
The SH7750 Series supports the following MMU functions.
1. The MMU decodes the virtual address to be accessed by software, and performs address
translation by controlling the UTLB/ITLB in accordance with the MMUCR settings.
2. The MMU determines the cache access status on the basis of the page management
information read during address translation (C, WT, SA, and TC bits).
3. If address translation cannot be performed normally in a data access or instruction access, the
MMU notifies software by means of an MMU exception.
4. If address translation information is not reco rded in the ITLB in an instruction access, the
MMU searches the UTLB, and if the necessary address translation information is recorded in
the UTLB, the MMU copies this information into the ITLB in accordance with
MMUCR.LRUI.
3.5.2 MMU Software Management
Software processing for the MMU consists of the following:
1. Setting of MMU-related registers. Some registers are also partially updated by hardware
automatically.
2. Recording, deletion, and reading of TLB entries. There are two methods of recording UTLB
entries: by using the LDTLB instruction, or by writing directly to the memory-mapped UTLB.
ITLB entries can only be recorded by writing directly to the me mory-mapped ITLB. For
deleting or reading UTLB/ITLB entries, it is possible to access the memory-mapped
UTLB/ITLB.
3. MMU exception handling. When an MMU exception occurs, processing is performed based on
inform ation set by hardware.
3.5.3 MMU Instruction (LDTLB)
A TLB load instruction (LDTLB) is provided for recording UTLB entries. When an LDTLB
instruction is issued, the SH7750 Series copies the contents of PTEH, PTEL, and PTEA to the
UTLB entry indicated by MMUCR.URC. ITLB entries are not updated by the LDTLB instruction,
and therefore add r ess translation infor m ation purged from th e UTLB entry may still remain in the
ITLB entry. As the LDTLB instruction chan ges address translation information, ensure that it is
issued by a program in the P1 or P2 area. The operation of the LDTLB instruction is shown in
figure 3.12.
Rev. 6.0, 07/02, page 79 of 986
PPN [28:10]
PPN [28:10]
PPN [28:10]
SZ [1:0]
SZ [1:0]
SZ [1:0]
SH
SH
SH
C
C
C
PR [1:0]
PR [1:0]
PR [1:0]
ASID [7:0]
ASID [7:0]
ASID [7:0]
VPN [31:10]
VPN [31:10]
VPN [31:10]
V
V
V
Entry 0
Entry 1
Entry 2
D
D
D
WT
WT
WT
PPN [28:10] SZ [1:0] SH C PR [1:0]
SA [2:0]
SA [2:0]
SA [2:0]
TC
TC
TC
SA [2:0] TCASID [7:0] VPN [31:10] VEntry 63 D WT
31 29 28 987654 3 2 1 0
——VSZ PR SZC DSH
WT
PTEL
Write
UTLB
31 10 9870
ASID
PTEH
31 26 25 24 23 18 17 16 15 10 98732 1 0
LRUI URB URC SV
SQMD
TI AT
MMUCR
VPN
10
PPN
31 4 32 0
SA
TC
PTEA
Entry specification
Figure 3.12 Operation of LDTLB Instruction
3.5.4 Hardware ITLB Miss Handling
In an instruction access, the SH7750 Series searches the ITLB. If it cannot find the necessary
address translation information (i.e. in the event of an ITLB miss), the UTLB is searched by
hardware, and if the necessary address translation information is present, it is recorded in the
ITLB. This procedure is known as hardware ITLB miss handling. If the necessary address
translation in formation is not f ound in the UTLB search, an instru ction TLB m iss exception is
generated and processing passes to software.
Rev. 6.0, 07/02, page 80 of 986
3.5.5 Avoiding Synony m Problems
When 1- or 4-kbyte pages are recorded in TLB entries, a synonym problem may arise. The
problem is that, when a number of virtual addresses are mapped onto a single physical address, the
same physical address data is recorded in a number of cache en tries, and it becomes impossible to
guarantee data integrity. This problem does not occur with the instru ction TLB o r instruction
cache . In the SH7750 Series, entry specification is performed using bits [13:5] of the virtual
address in order to achieve fast operand cache operation. However, bits [13:10] of the virtual
address in the case of a 1-kbyte page, and bits [13:12] of the virtual address in the case of a 4-
kbyte page, are subject to address translation. As a result, bits [13:10] of the physical address after
translation may differ from bits [13:10] of the virtual address.
Consequently, the following restrictions apply to the recording of address translation information
in UTLB entries.
1. When address translation information whereby a number of 1-kbyte page UTLB entries are
translated into the same physical address is recorded in the UTLB, ensure that the VPN [13:10]
values are the same.
2. When address translation information whereby a number of 4-kbyte page UTLB entries are
translated into the same physical address is recorded in the UTLB, ensure that the VPN [13:12]
values are the same.
3. Do not use 1-kbyte page UTLB entry physical addresses with UTLB entries of a different page
size.
4. Do not use 4-kbyte page UTLB entry physical addresses with UTLB entries of a different page
size.
The above restrictions apply only when performing accesses using the cache. Wh en cache index
mode is used, VPN [25] is used for the entry address instead of VPN [13], and therefore the above
restrictions apply to VPN [25].
Note: When multiple items of address translation information use the same physical memory to
provide for future SuperH RISC engine family expansion, ensure that the VPN [20:10]
values are the same. Also, do not use the same physical address for address translation
information of different page sizes.
Rev. 6.0, 07/02, page 81 of 986
3.6 MMU Exceptions
There are seven MMU exceptions: the instruction TLB multiple hit exception, instruction TLB
miss exception , in struction TLB protection violation exception, data TLB mu ltip le hit exception,
data TLB miss exceptio n, data TLB protection v io lation ex ception, and initial page write
exception. Refer to figures 3.10 and 3.11 for the conditions under which each of these exceptions
occurs.
3.6.1 Instruction TLB Multiple Hit Exception
An instructio n TLB multiple hit exception occur s when mo r e than one ITLB entry matches the
virtual address to which an instruction access has been made. If multiple hits occur when the
UTLB is searched by hardware in hardware ITLB miss handling, a data TLB multiple hit
exception will r e sult.
When an instru ctio n TLB multiple hit exception occurs a reset is executed, and cache coherency is
not guaranteed.
Hardware Processing: In the event of an instruction TLB mu ltiple hit exception, hardwar e
carries out the fo llowing processing:
1. Sets the virtual address at which the exception occurred in TEA.
2. Sets exception code H'140 in EXPEVT.
3. Branches to the reset handling routine (H'A000 0000).
Software Processing (Reset Routine): The ITLB entries which caused the multiple hit exception
are checked in the reset handling routine. This exception is intended for use in program
debugging, and should not normally be generated.
Rev. 6.0, 07/02, page 82 of 986
3.6.2 Instruction TLB Miss Exception
An instruction TLB miss exception occurs when address translation information for the virtual
address to which an instruction access is made is not found in the UTLB entries by the hardware
ITLB miss handling procedure. The instruction TLB miss exception processing carried out by
hardware and software is shown below. This is the same as the processing for a data TLB miss
exception.
Hardware Processing: In the event of an instruction TLB miss exception, hardware carries out
the following processing:
1. Sets the VPN of the virtual address at which the exception occurred in PTEH.
2. Sets the virtual address at which the exception occurred in TEA.
3. Sets exception code H'040 in EXPEVT.
4. Sets the PC value indicating th e address of the instru ction at which the exception occurred in
SPC. If the exception occurred at a delay slot, sets the PC value indicating the address of the
delayed branch instruction in SPC.
5. Sets the SR contents at the time of the exception in SSR. The R15 contents at this time are
saved in SGR.
6. Sets the MD bit in SR to 1, and switches to privileged mode.
7. Sets the BL bit in SR to 1, and masks subsequent exception requests.
8. Sets the RB b it in SR to 1.
9. Branches to the address obtained by adding offset H'0000 0400 to the contents of VBR, and
starts the instru ction TLB miss exception hand ling r ou tine.
Software Processing (Instruction TLB Miss Exception Ha ndling Routine) : Software is
responsible for searching the external memory page table and assigning the necessary page table
entry. Software should carry out the following processing in order to find and assign the necessary
page table entry.
1. Write to PTEL the values of the PPN, PR, SZ, C, D, SH, V, and WT bits in the page table
entry recorded in the ex ternal memory address translation table. If necessary, the values of the
SA and TC bits should be written to PTEA.
2. When the entry to be replaced in entry replacement is specified by software, write that value to
URC in the MMUCR register. If URC is greater than URB at this time, the value should be
changed to an appropriate value after issuing an LDTLB instruction.
3. Execute the LDTLB instruction and write the contents of PTEH, PTEL, and PTEA to the TLB.
4. Finally, ex ecute the exception handling retu rn in str uction (RTE), terminate the exception
handling routine, and return control to the normal flow. The RTE instruction should be issued
at least one instruction after the LDTLB instru ctio n.
Rev. 6.0, 07/02, page 83 of 986
3.6.3 Instruction TLB Protection Violation Exception
An instruction TLB protection violation exception occurs when, even though an ITLB entry
contains add r ess translation infor mation matching the vir tual address to which an in str uction
access is made, the actual access ty p e is not permitted by the access rig ht specified by the PR bit.
The instruction TLB protection violation exception processing carried out by hardware and
software is shown below.
Hardware Processing: In the event of an instruction TLB pr o tection v iolation exception,
hardware carries out the following processing:
1. Sets the VPN of the virtual address at which the exception occurred in PTEH.
2. Sets the virtual address at which the exception occurred in TEA.
3. Sets exception code H'0A0 in EXPEVT.
4. Sets the PC value indicating th e address of the instru ction at which the exception occurred in
SPC. If the exception occurred at a delay slot, sets the PC value indicating the address of the
delayed branch instruction in SPC.
5. Sets the SR contents at the time of the exception in SSR. The R15 contents at this time are
saved in SGR.
6. Sets the MD bit in SR to 1, and switches to privileged mode.
7. Sets the BL bit in SR to 1, and masks subsequent exception requests.
8. Sets the RB b it in SR to 1.
9. Branches to the address obtained by adding offset H'0000 0100 to the contents of VBR, and
starts the instruction TLB protection violation exception handling routine.
Software Processing (Instruction TLB Pro tection Violat ion Exception Handling Routine):
Resolve the instr uction TLB pro tection violation, execute the exception h andling return instruction
(RTE), terminate the exception handling routine, and return control to the normal flow. The RTE
instruction should be issued at least one instruction after the LDTLB instruction.
Rev. 6.0, 07/02, page 84 of 986
3.6.4 Data TLB Mult iple Hit Exception
A data TLB multiple h it exception occurs when more than one UTLB en try ma tch e s th e virtual
address to wh ich a data access has been made. A data TLB multip le h it exception is also generated
if multiple hits occur when the UTLB is searched in hardware ITLB miss hand ling.
When a data TLB mu ltiple hit exception occurs a reset is executed, and cache coherency is not
guaranteed. The contents of PPN in the UTLB prior to th e exception may also be corrupted.
Hardware Processing: In the event of a data TLB multiple hit exceptio n, ha rdware car r ies out th e
following processing:
1. Sets the virtual address at which the exception occurred in TEA.
2. Sets exception code H'140 in EXPEVT.
3. Branches to the reset handling routine (H'A000 0000).
Software Processing (Reset Routine): The UTLB entries which caused the multiple hit
exception are checked in the reset handling routine. This ex ception is intended for use in program
debugging, and should not normally be generated.
3.6 .5 Data TLB Miss Ex ception
A data TLB miss exception occurs when address translation information for the virtual address to
which a data access is made is not found in the UTLB entries. The data TLB miss exception
processing carried out by hardware and software is shown below.
Hardware Processing: In the event of a data TLB miss exception, hardware carries out the
following processing:
1. Sets the VPN of the virtual address at which the exception occurred in PTEH.
2. Sets the virtual address at which the exception occurred in TEA.
3. Sets exception code H'040 in the case of a read, or H'060 in the case of a write, in EXPEVT
(OCBP, OCBWB: r e ad; OCBI, M O VCA.L: write).
4. Sets the PC value indicating th e address of the instru ction at which the exception occurred in
SPC. If the exception occurred at a delay slot, sets the PC value indicating the address of the
delayed branch instruction in SPC.
5. Sets the SR contents at the time of the exception in SSR. The R15 contents at this time are
saved in SGR.
6. Sets the MD bit in SR to 1, and switches to privileged mode.
7. Sets the BL bit in SR to 1, and masks subsequent exception requests.
8. Sets the RB b it in SR to 1.
9. Branches to the address obtained by adding offset H'0000 0400 to the contents of VBR, and
starts the data TLB miss exception handling routin e.
Rev. 6.0, 07/02, page 85 of 986
Software Processing (Data TLB Miss Exception Handling Routine): Software is responsible
for searching the external memory page table and assigning the necessary page table entry.
Software should carry out the following processing in order to find and assign the necessary page
table entry.
1. Write to PTEL the values of the PPN, PR, SZ, C, D, SH, V, and WT bits in the page table
entry recorded in the ex ternal memory address translation table. If necessary, the values of the
SA and TC bits should be written to PTEA.
2. When the entry to be replaced in entry replacement is specified by software, write that value to
URC in the MMUCR register. If URC is greater than URB at this time, the value should be
changed to an appropriate value after issuing an LDTLB instruction.
3. Execute the LDTLB instruction and write the contents of PTEH, PTEL, and PTEA to the
UTLB.
4. Finally, ex ecute the exception handling retu rn in str uction (RTE), terminate the exception
handling routine, and return control to the normal flow. The RTE instruction should be issued
at least one instruction after the LDTLB instru ctio n.
3.6.6 Data TLB Pro tectio n V iolation Excepti on
A data TLB protection violation exception occurs when, even though a UTLB entry contains
address translation information matching the virtual address to which a data access is made, the
actual access type is no t permitted by the access right specified by the PR bit. The data TLB
protection violation exception processing carried out by hardware and software is shown below.
Hardware Processing: In the ev ent of a data TLB protection violation exception, hardware
carries out the fo llowing processing:
1. Sets the VPN of the virtual address at which the exception occurred in PTEH.
2. Sets the virtual address at which the exception occurred in TEA.
3. Sets exception code H'0A0 in the case of a read, or H'0C0 in the case of a write, in EXPEVT
(OCBP, OCBWB: read; OCBI, MOVCA.L: write).
4. Sets the PC value indicating th e address of the instru ction at which the exception occurred in
SPC. If the exception occurred at a delay slot, sets the PC value indicating the address of the
delayed branch instruction in SPC.
5. Sets the SR contents at the time of the exception in SSR. The R15 contents at this time are
saved in SGR.
6. Sets the MD bit in SR to 1, and switches to privileged mode.
7. Sets the BL bit in SR to 1, and masks subsequent exception requests.
8. Sets the RB b it in SR to 1.
9. Branches to the address obtained by adding offset H'0000 0100 to the contents of VBR, and
starts the data TLB protection violation exception handling routine.
Rev. 6.0, 07/02, page 86 of 986
Software Processing (D ata TLB Pro tection V i olatio n Exceptio n Handling Routine): Resolve
the data TLB protection violation, execute the exception handling return instruction (RTE),
terminate the exception handling routine, and retur n con trol to the normal flow. The RTE
instruction should be issued at least one instruction after the LDTLB instruction.
3.6.7 Initial Page Write Exception
An initial page wr ite exception occurs when the D bit is 0 even though a UTLB entry contains
address translation information matching the virtual address to which a data access (write) is
made, and the access is permitted. Th e initial pag e write exception processing carried out by
hardware and software is shown below.
Hardware Processing: In the event of an initial page write exception, hardwar e carries ou t th e
following processing:
1. Sets the VPN of the virtual address at which the exception occurred in PTEH.
2. Sets the virtual address at which the exception occurred in TEA.
3. Sets exception code H'080 in EXPEVT.
4. Sets the PC value indicating th e address of the instru ction at which the exception occurred in
SPC. If the exception occurred at a delay slot, sets the PC value indicating the address of the
delayed branch instruction in SPC.
5. Sets the SR contents at the time of the exception in SSR. The R15 contents at this time are
saved in SGR.
6. Sets the MD bit in SR to 1, and switches to privileged mode.
7. Sets the BL bit in SR to 1, and masks subsequent exception requests.
8. Sets the RB b it in SR to 1.
9. Branches to the address obtained by adding offset H'0000 0100 to the contents of VBR, and
starts the initial page write exception handling routin e.
Rev. 6.0, 07/02, page 87 of 986
Software Processing (Initial Page Write Exception Handling Routine): The following
processing should be carried out as the responsibility of software:
1. Retrieve the necessary page table entry from external memory.
2. Write 1 to the D bit in the external m e mor y pag e table entry.
3. Write to PTEL the values of the PPN, PR, SZ, C, D, WT, SH, and V bits in the page table
entry recorded in external memory. If necessary, the values of the SA and TC bits should be
written to PTEA.
4. When the entry to be replaced in entry replacement is specified by software, write that value to
URC in the MMUCR register. If URC is greater than URB at this time, the value should be
changed to an appropriate value after issuing an LDTLB instruction.
5. Execute the LDTLB instruction and write the contents of PTEH, PTEL, and PTEA to the
UTLB.
6. Finally, ex ecute the exception handling retu rn in str uction (RTE), terminate the exception
handling routine, and return control to the normal flow. The RTE instruction should be issued
at least one instruction after the LDTLB instru ctio n.
3.7 Memory-Mapped TLB Configuration
To enable the ITLB and UTLB to be man aged by software, their contents can be read and written
by a P2 area program with a MOV instruction in privileged mode. Operation is not guaranteed if
access is made from a program in another area. A branch to an area other than the P2 area should
be made at least 8 instructions after this MOV instruction. The ITLB and UTLB ar e allocated to
the P4 area in physical memory space. VPN, V, and ASID in the ITLB can be accessed as an
address array, PPN, V, SZ, PR, C, and SH as data array 1, and SA and TC as data array 2. VPN,
D, V, and ASID in the UTLB can be accessed as an address array, PPN, V, SZ, PR, C, D, WT, and
SH as data array 1, and SA and TC as data array 2. V and D can be accessed from both the address
array side and the data array side. Only longword access is possible. Instruction fetches cannot be
performed in these areas. For reserved bits, a write value of 0 should be specified; their read value
is undefined.
Rev. 6.0, 07/02, page 88 of 986
3.7.1 ITLB Address Array
The ITLB address array is allocated to addresses H'F200 0000 to H'F2FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specificatio n (when writing). Information for selecting the entry to be accessed is
specified in the addr ess field, and VPN, V, and ASID to be written to the address array are
specified in the data field.
In the address field, bits [31:24] have the value H'F2 indicating the ITLB address array, and the
entry is selected by bits [9:8]. As longword access is used, 0 should be specified for address field
bits [1:0].
In the data field, VPN is indicated by bits [31:10], V by bit [8], and ASID by bits [7:0].
The following two kinds of op eration can be used on the ITLB address array:
1. ITLB address array read
VPN, V, and ASID are read into the data field from the ITLB entry corresponding to the entry
set in the add r ess field.
2. ITLB address array write
VPN, V, and ASID specified in the data field are written to the ITLB entry corresponding to
the entry set in the address field.
Address field 31 23 0
11110010 E
Data field 31 10 9 0
V
VPN
VPN:
V:
E:
24
Virtual page number
Validity bit
Entry
10987
987 ASID
ASID:
:Address space identifier
Reserved bits (0 write value, undefined
read value)
Figure 3.13 Memory-Mapped ITLB Address Array
Rev. 6.0, 07/02, page 89 of 986
3.7.2 ITLB Data Array 1
ITLB data array 1 is allocated to addresses H'F300 0000 to H'F37F FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification (when writing). Information for selecting the entry to be accessed is
specified in the addr ess f ield , and PPN, V, SZ, PR, C, and SH to be written to the data array are
specified in the data field.
In the address field, bits [31:23] have the value H'F30 indicating ITLB data array 1, and the entry
is selected by bits [ 9:8].
In the data field, PPN is indicated by bits [28:10], V by bit [8], SZ by bits [7] and [4], PR by bit
[6], C by bit [3], and SH by bit [1].
The following two kinds of operation can be used on ITLB data array 1:
1. ITLB data array 1 read
PPN, V, SZ, PR, C, and SH are read into the data field from the ITLB entry corresponding to
the entry set in the address field.
2. ITLB data array 1 write
PPN, V, SZ, PR, C, and SH specified in the data field are written to the ITLB entry
corresponding to the entry set in the address field.
Address field
31 23 0
111100 011 E
Data field
PPN:
V:
E:
SZ:
24
Physical page number
Validity bit
Entry
Page size bits
10 9 8 7
PR:
C:
SH:
:
Protection key data
Cacheability bit
Share status bit
Reserved bits (0 write value, undefined
read value)
31 210
V
10 9 8 7302928 4365
SZ SHPR
CPPN
Figure 3.14 Memory-Mapped ITLB Data Array 1
Rev. 6.0, 07/02, page 90 of 986
3.7.3 ITLB Data Array 2
ITLB data array 2 is allocated to addresses H'F380 0000 to H'F3FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification (when writing). Information for selecting the entry to be accessed is
specified in the addr ess f ield, and SA and TC to be written to data array 2 are specified in the data
field.
In the address field, bits [31:23] have the value H'F38 indicating ITLB data array 2, and the entry
is selected by bits [ 9:8].
In the data field, SA is indicated by bits [2:0] , and TC by bit [3].
The following two kinds of operation can be used on ITLB data array 2:
1. ITLB data array 2 read
SA and TC are read into the data field from the ITLB entry corresponding to the entry set in
the address field.
2. ITLB data array 2 write
SA and TC specified in the data field are written to the ITLB en try co rresponding to the entry
set in the add r ess field.
Address field 31 23 0
111100111E
Data field 31 40
TC:
E:
24
Timing control bit
Entry
897
32
SA:
:Space attribute bits
Reserved bits (0 write value, undefined read
value)
10
SA
TC
Figure 3.15 Memory-Mapped ITLB Data Array 2
3.7.4 UTLB Address Array
The UTLB address array is allocated to addresses H'F600 0000 to H'F6FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specificatio n (when writing). Information for selecting the entry to be accessed is
specified in the addr ess f ield , and VPN, D, V, and ASID to be written to the address array are
specified in the data field.
Rev. 6.0, 07/02, page 91 of 986
In the address field, bits [31:24] have the value H'F6 indicating the UTLB address array, and the
entry is selected by bits [13:8]. The address array bit [7] association bit (A bit) specifies whether
or not addr ess comparison is per formed when writing to the UTLB address array.
In the data field, VPN is indicated by bits [31:10], D by bit [9], V by bit [8], and ASID by bits
[7:0].
The following three kinds of operation can be used on the UTLB address array:
1. UTLB address array read
VPN, D, V, and ASID are read into the data field from the UTLB entry corresponding to the
entry set in the address field. In a read, associative operation is not performed regardless of
whether the association bit specified in the address field is 1 or 0.
2. UTLB address array write (non-associative)
VPN, D, V, and ASID specified in the data field are written to the UTLB entry correspo ndin g
to the entry set in the address field. The A bit in the address field should be cleared to 0.
3. UTLB address array write (associative)
When a write is per formed with the A bit in the address field set to 1, comp arison of all the
UTLB entries is carried out using the VPN specified in the data field and PTEH.ASID. The
usual addr ess comparison rules are followed, but if a UTLB miss occurs, the result is no
operation, and an exception is not generated. If the comparison identifies a UTLB entry
corresponding to the VPN specified in the data field, D and V specified in the data field are
written to that en tr y. If there is more than one matching entry, a data TLB multiple hit
exception r e sults. This associative op e r a tion is sim ultaneously carried out on the ITLB, and if
a matching entry is found in the ITLB, V is written to that entry. Even if the UTLB
comparison resu lts in no operation, a write to the ITLB side only is performed as long as there
is an ITLB match. If there is a match in both the UTLB and ITLB, the UTLB info r m ation is
also written to th e ITLB.
Address field
Data field
VPN:
V:
E:
D:
Virtual page number
Validity bit
Entry
Dirty bit
ASID:
A:
:
Address space identifier
Association bit
Reserved bits (0 write value, undefined
read value)
31 0
V
D
10 9 8 7302928
A
87
ASID
VPN
31 23 210
11110110 E
24 14 13
Figure 3.16 Memory-Mapped UTLB Address Array
Rev. 6.0, 07/02, page 92 of 986
3.7.5 UTLB Data Array 1
UTLB data array 1 is allocated to addresses H'F700 0000 to H'F77F FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification (when writing). Information for selecting the entry to be accessed is
specified in the addr ess f ield , and PPN, V, SZ, PR, C, D, SH, and WT to be written to the data
array are specified in the data field.
In the address field, bits [31:23] have the value H'F70 indicating UTLB data array 1, and the entry
is selected by bits [13:8].
In the data field, PPN is indicated by bits [28:10], V by bit [8], SZ by bits [7] and [4], PR by bits
[6:5], C by bit [3], D by bit [2], SH by bit [1], and WT by bit [0].
The following two kinds of operation can be used on UTLB data array 1:
1. UTLB data array 1 read
PPN, V, SZ, PR, C, D, SH, and WT are read into the data field from the UTLB entry
corresponding to the entry set in the address field.
2. UTLB data array 1 write
PPN, V, SZ, PR, C, D, SH, and WT specified in the data field are written to the UTLB entry
corresponding to the entry set in the address field.
Address field
Data field
PPN:
V:
E:
SZ:
D:
Physical page number
Validity bit
Entry
Page size bits
Dirty bit
PR:
C:
SH:
WT:
:
Protection key data
Cacheability bit
Share status bit
Write-through bit
Reserved bits (0 write value, undefined
read value)
31 210
V
10 9 8 7302928 4365
PR CPPN
31 23 0
11110111
0
E
24 8714 13
D
SZ SH WT
Figure 3.17 Memory-Mapped UTLB Data Array 1
Rev. 6.0, 07/02, page 93 of 986
3.7.6 UTLB Data Array 2
UTLB data array 2 is allocated to addresses H'F780 0000 to H'F7FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification (when writing). Information for selecting the entry to be accessed is
specified in the addr ess f ield, and SA and TC to be written to data array 2 are specified in the data
field.
In the address field, bits [31:23] have the value H'F78 indicating UTLB data array 2, and the entry
is selected by bits [13:8].
In the data field, TC is indicated by bit [3], and SA by bits [2:0].
The following two kinds of operation can be used on UTLB data array 2:
1. UTLB data array 2 read
SA and TC are read into the data field from the UTLB entry corresponding to the entry set in
the address field.
2. UTLB data array 2 write
SA and TC specified in the data field are written to the UTLB entry corresponding to the entry
set in the add r ess field.
Address field
31 23 0
11110111
1E
Data field
31
4
0
TC
24 8
13
7
32
14
SA
TC:
E: Timing control bit
Entry SA:
:Space attribute bits
Reserved bits (0 write value, undefined read
value)
Figure 3.18 Memory-Mapped UTLB Data Array 2
Rev. 6.0, 07/02, page 94 of 986
Rev. 6.0, 07/02, page 95 of 986
Section 4 Caches
4.1 Overview
4.1.1 Features
An SH7750 or SH7750S has an on-chip 8-kbyte instruction cache (IC) for instructions and 16-
kbyte operand cache (OC) fo r data. Half of the memory of the operand cache (8 kbytes) may
alternatively be used as on-chip RAM. The features of this cache are summarized in table 4.1
The SH7750R has an on-chip 16-kbyte instruction cache (IC) for instructions and 32-kbyte
operand cache (OC) for data. Half of the memory of the operand cache (16 kbytes) may
alternatively b e u sed as on- chip RAM. When the EMODE bit of the CCR register is 0, the
SH7750R’s cache is set to operate in the SH7750/SH7750S-compatible mode and behaves as
shown in table 4.1. The features of the cache when the EMODE bit in the CCR register is 1 are
given in tab le 4.2. The EMODE bit is initialized to 0 after a power-on reset or man ual reset.
For high-speed writing to external memories, the SH7750 series supports 32 bytes × 2 of store
queues (SQ). Table 4.3 lists the features of these SQs.
Table 4.1 Cache Features (SH7750, SH7750S)
Item Instruction Cache Operand Cache
Capacity 8-kby te cache 16-kbyte cache or 8-kbyte cache +
8-kbyte RAM
Type Direct mapping Direct mapping
Line size 32 bytes 32 bytes
Entries 256 512
Write method Copy-back/write-through selectable
Table 4.2 Cache Features (SH7750R)
Item Instruction Cache Operand Cache
Capacity 16-kbyte cache 32-kbyte cache or 16-kbyte cache +
16-kbyte RAM
Type 2-way set-associati ve 2-way set-a ssoc iati ve
Line size 32 bytes 32 bytes
Entries 256 entries/way 512 entries/way
Write method Copy-back/write-through selectable
Replacement method LRU (least-recently-used) algorithm LRU algorithm
Rev. 6.0, 07/02, page 96 of 986
Table 4.3 Features of Store Queues
Item Store Queues
Capacity 2 × 32 by tes
Addresses H'E000 0000 to H'E3FF FFFF
Write Store instruction (1-cycle write)
Write-back Prefetch instruction (PREF instruction)
Access right MMU off: according to MMUCR.SQMD
MMU on: acco rding to individual page PR
4.1.2 Register Configuration
Table 4.4 shows the cache control registers.
Table 4.4 Cache Control Registers
Name Abbreviation R/W Initial
Value*1P4
Address*2Area 7
Address*2Access
Size
Cache control
register CCR R/W H'0000 0000 H'FF00 001C H'1F00 001C 32
Queue address
control register 0 QACR0 R/W Undefined H'FF00 0038 H'1F00 0038 32
Queue address
control register 1 QACR1 R/W Undefined H'FF00 003C H'1F00 003C 32
Notes: *1 The initial value is the value after a power-on or manual reset.
*2 This is the address when using the virtual/physical address space P4 area. The area 7
address is the address used when making an access from physical address space area
7 using the TLB.
Rev. 6.0, 07/02, page 97 of 986
4.2 Register Desc riptions
There are three cache and store queue related control registers, as shown in figure 4.1.
CCR
31 30 141615 12111098765432
CB
10
ICI ICE ORAOIX OCI
AREA
indicates reserved bits: 0 must be specified in a write; the read value is 0.
WT OCEIIX
EMODE*
QACR0
31 54 210
AREA
QACR1
31 54 210
*: SH7750R only
Figure 4.1 Cache and Store Queue Control Registers
(1) Cache Control Register (CCR): CCR contains the following bits:
EMODE: Double-sized cache mode (Only for SH7750R; reserved bit for SH7750 and SH7750S)
IIX: IC index enable
ICI: I C in validation
ICE: IC enable
OIX: OC index enable
ORA: OC RAM enable
OCI: OC invalidatio n
CB: Copy-back enable
WT: Write-through enable
OCE: OC enable
Longword access to CCR can be pe rformed from H'FF00 001C in the P4 area and H'1F00 001C in
area 7. The CCR bits are u sed for the cache settin gs described below. Consequently , CCR
modifications must only be made by a program in the non-cached P2 area. After CCR is updated,
an instruction that performs data access to the P0, P1, P3, or U0 area should be located at least
four instructions after the CCR update instruction. Also, a branch instruction to the P0, P1, P3, or
U0 area should be located at least eight instructions after the CCR update instruction.
Rev. 6.0, 07/02, page 98 of 986
EMODE: Double-sized cache mode bit
In the SH7750R, this bit indicates whether the double-sized cache mode is used or not.
This bit is reserved in the SH7750 and SH7 750 S. Th e EMODE bit mu st not be written to while
the cache is being used.
0: SH7750/SH7750S-compatible mode*1 (initial valu e)
1: Double-sized cache mode
IIX: IC index enable bit
0: Effective address bits [12:5] used for IC entry selection
1: Effective address bits [25] and [11:5] used for IC entry selection
ICI: IC invalidation bit
When 1 is written to this bit, the V bits o f all IC entr ies ar e clear ed to 0. This bit always return s
0 when read.
ICE: IC enable bit
Indicates whether or not the IC is to be used. When address translation is performed , the IC
cannot be used unless the C bit in the page management information is also 1.
0: IC not used
1: IC used
OIX: OC index enable bit*2
0: Effective address bits [13:5] used for OC entry selection
1: Effective address bits [25] and [12:5] used for OC entry selection
ORA: OC RAM enable bit*3
When the OC is enabled (OCE = 1), the ORA bit specifies whether the half of the OC are to be
used as RAM. When the OC is not enabled (OCE = 0), the ORA bit should be cleared to 0.
0: Normal mode (the entire OC is used as a cache)
1: RAM mode (half of the OC is used as a cache and the other half is used as RAM)
OCI: OC invalidation bit
When 1 is written to this bit, the V and U bits o f all OC entries are cleared to 0. This bit always
returns 0 when read.
CB: Copy-back bit
Indicates the P1 area cache write mode.
0: Write-through mode
1: Copy-back mode
Rev. 6.0, 07/02, page 99 of 986
WT: Write-through bit
Indicates the P0, U0, and P3 area cache write mode. When address translation is performed,
the value of the WT bit in the page management information has priority.
0: Copy-back mode
1: Write-through mode
OCE: OC enable bit
Indicates whether or not the OC is to be used. When address translation is performed, the OC
cannot be used unless the C bit in the page management information is also 1.
0: OC not used
1: OC used
Note: *1 No compatib ility for RAM mode in OC ind ex mode and address assignm ent in RAM
mode.
*2 When the ORA bit is 1 in the SH7750R, the OIX bit should be cleared to 0.
*3 When the OIX bit in the SH7750R is 1, the ORA bit should be cleared to 0.
(2) Queue Address Control Register 0 (QACR0 ): Longword access to QACR0 can be
performed from H'FF00 0038 in the P4 area and H'1F00 0038 in area 7. QACR0 specifies the area
onto which store queue 0 (SQ0) is mapped when the MMU is off.
(3) Queue Address Control Register 1 (QACR1 ): Longword access to QACR1 can be
performed from H'FF00 003C in the P4 area and H'1F00 003C in area 7. QACR1 specifies the
area onto which store queue 1 (SQ1) is mapped when the MMU is off.
4.3 Operand Cache (OC)
4.3.1 Configuration
The operand cache of the SH7750 or SH7750S is of the direct-mapping type and consists of 512
cache lines, each composed of a 19-bit tag, V bit, U bit, and 32-byte data. The SH7750R’s
operand cache is 2-way set-associative. Each way consists of 512 cache lines.
Figure 4.2 shows the configuration of the operand cache for the SH7750 and SH7750S.
Figure 4.3 shows the configuration of the operand cache for the SH7750R.
Rev. 6.0, 07/02, page 100 of 986
31 26 25 5 4 3 2 1
LW0
32 bits
LW1
32 bits
LW2
32 bits
LW3
32 bits
LW4
32 bits
LW5
32 bits
LW6
32 bits
LW7
32 bits
MMU
RAM area
determination
ORA
OIX [13] [12]
[11:5]
511 19 bits 1 bit 1 bit
Tag U V
Address array Data array
Entry selection
Longword (LW) selection
Effective address
3
9
22
19
0
Write data
Read data
Hit signal
Compare
13 1211 10 9 0
Figure 4.2 Configuration of Operand Cache(SH7750, SH7750S)
Rev. 6.0, 07/02, page 101 of 986
31 26 25 5 4 2
LW0
32 bits
LW1
32 bits
LW2
32 bits
LW3
32 bits
LW4
32 bits
LW5
32 bits
LW6
32 bits
LW7
32 bits
1 bit
MMU
RAM area
judgment
OIX
ORA [13]
[12:5]
511 19 bits 1 bit 1 bit
Tag address U V
Address array
(way 0, way 1) Data array (way 0, way 1) LRU
Entry
selection
Longword (LW)
selection
Effective address
3
9
22
19
0
Write data
Read data
Hit signal
Compare
way 0 Compare
way 1
1312 10 0
Figure 4.3 Configuration of Operand Cache (SH7750R)
Rev. 6.0, 07/02, page 102 of 986
Tag
Stores the upper 19 bits of the 29-bit external memory address of the data line to be cached.
The tag is not in itialized by a power - on o r manual reset.
V bit (validity bit)
Indicates that valid data is stored in the cache line. When this bit is 1, the cache line data is
valid. The V bit is initialized to 0 by a power-on reset, but retains its value in a man ual reset.
U bit (dirty bit)
The U bit is set to 1 if data is written to the cache line while the cache is being used in copy-
back mode. That is, the U bit indicates a mismatch between the data in the cache line and the
data in external memory. The U bit is never set to 1 while the cache is being used in write-
through mode, unless it is modified by accessing the memory-mapped cache (see section 4.5,
Memory-M apped Cache Configuration). The U bit is in itialized to 0 by a power-on reset, b ut
retains its value in a manua l reset.
Data field
The data field holds 32 bytes (256 bits) of data per cache line. The data array is not initialized
by a power-on or manual reset.
LRU (SH7750R only)
In a 2-way set-associative cache, up to 2 items of data can be registered in the cache at each
entry address (address: 13–5). When an entry is registered, the LRU bit indicates which of the
2 ways it is to be registered in. The LRU bit is a single bit of each entry, and its value is
controlled by ha rdware.
The LRU (least-recently-used) algorithm is used for way selection, and selects the less recently
accessed way. The LRU bits are in itialized to 0 by a power-on reset but not by a manual reset.
The LRU bits cannot be read or written by software.
Rev. 6.0, 07/02, page 103 of 986
4.3.2 Read Operation
When the OC is enabled (CCR.OCE = 1) and data is read by means of an effective address from a
cacheable area, the cache operates as follows:
1. The tag, V bit, and U bit are read from the cache line indexed by effective address bits [13:5].
2. The tag is compared with bits [28:10] of the address resulting from effective address
translation by the MMU:
If the tag m atches and the V bit is 1 (3a)
If the tag m atches and the V bit is 0 (3b)
If the tag does not match and the V bit is 0 (3b)
If the tag does n ot match, the V bit is 1, and the U bit is 0 (3b)
If the tag does n ot match, the V bit is 1, and the U bit is 1 (3c)
3a. Cache hit
The data indexed by effective address bits [4:0] is read from the data field of the cache line
indexed by effective address bits [13:5] in accordance with the access size
(quadword/longword/word/byte).
3b.Cache miss (no write-back)
Data is read into the cache line from the external memory space co rresponding to the effective
address. Data reading is performed, using the wraparound method, in order from the longword
data corresponding to the effective address, and when the corresponding data arrives in the
cache, the read data is returned to the CPU. While the remaining one cache line of data is being
read, the CPU can execute the next processing. When reading of one line of data is completed,
the tag corresponding to the effective address is recorded in the cache, and 1 is written to the V
bit.
3c. Cache miss (with write-back)
The tag and data field of the cache line indexed by effective address bits [13:5] are saved in the
write-back buffer. Then data is read into the cache line from the external memory space
corresponding to the effective address. Data reading is performed, using the wraparound
method, in order from the longword data corresponding to the effective address, and when the
corresponding data arrives in the cache, th e read data is returned to the CPU. While the
remaining one cache line of data is being read, the CPU can execute the next processing. When
reading of one line of da ta is completed, the tag correspond ing to the effective address is
recorded in the cache, 1 is written to the V bit, and 0 to the U bit. The data in the write-back
buffer is then written back to external memory.
Rev. 6.0, 07/02, page 104 of 986
4.3.3 Write Operation
When the OC is enabled (CCR.OCE = 1 ) and data is written by m eans o f an eff ective address to a
cacheable area, the cache operates as follows:
1. The tag, V bit, and U bit are read from the cache line indexed by effective address bits [13:5].
2. The tag is co mpared with bits [28:10] of the address resulting from effective address
translation by the MMU: Copy-back Write-through
If the tag m atches and the V bit is 1 (3a) (3b)
If the tag m atches and the V bit is 0 (3c) (3d)
If the tag does not match and the V bit is 0 (3c) (3d)
If the tag does n ot match, the V bit is 1, and the U bit is 0 (3c) (3d)
If the tag does n ot match, the V bit is 1, and the U bit is 1 (3e) (3d)
3a. Cache hit (copy-back)
A data write in accordance with the access size (quadword/longword/word/byte) is performed
for the data indexed by bits [4:0] of the effective address of the data field of the cache line
indexed by effective address bits [13:5]. Then 1 is set in the U bit.
3b.Cache hit (write-through)
A data write in accordance with the access size (quadword/longword/word/byte) is performed
for the data indexed by bits [4:0] of the effective address of the data field of the cache line
indexed by effective address bits [13:5]. A write is also performed to the corresponding
external memory using the specified access size.
3c. Cache miss (no copy-back/write-back)
A data write in accordance with the access size (quadword/longword/word/byte) is performed
for the data indexed by bits [4:0] of the effective address of the data field of the cache line
indexed by effective address bits [13:5]. Th en, data is read into the cache line from the external
memory space corresponding to the effective address. Data reading is performed, using the
wraparound method, in order from the longword data corresponding to the effective address,
and one cache line of data is read excluding the written data. During this time, the CPU can
execute the next processing. When reading of one line of data is completed, the tag
corresponding to the effective address is recorded in the cache, and 1 is written to the V bit and
U bit.
3d.Cache miss (write-through)
A write of the specified access size is performed to the ex ternal memory corresponding to the
effective address. In this case, a write to cache is not performed.
Rev. 6.0, 07/02, page 105 of 986
3e. Cache miss (with copy-back/write-back)
The tag and data field of the cache line indexed by effective address bits [13:5] are first saved
in the write-back buffer, and then a data write in accordance with the access size
(quadword/longword/word/byte) is performed for the data indexed by bits [4:0] of the effective
address of the data field of the cache line indexed by effective address bits [13:5]. Then, data is
read into the cache line from the external memory space corresponding to the effective
address. Data reading is performed, using the wraparound method, in order from the longword
data corresponding to the effective address, and one cache line of data is read excluding the
written data. Durin g this tim e, the CPU can execute the next processin g. When reading of one
line of data is completed, the tag corresponding to the effective address is recorded in the
cache, and 1 is written to the V bit and U bit. The d a ta in the write-back buffer is then written
back to external memory.
4.3.4 Write-Back Buffer
In order to give pr iority to data reads to the cache and improve performance, the SH7750 Series
has a write-back buffer which ho lds the relevant cache entry when it becomes necessary to purge a
dirty cache entry into external memory as the result of a cache miss. The write-back buffer
contains one cache line of data and the physical address of the purge destination.
LW7Physical address bits [28:5] LW6LW5LW4LW3LW2LW1LW0
Figure 4.4 Configuration of Write-Back Buffer
4.3.5 Write-Through Buffer
The SH7750 Series has a 64-bit buffer for holding write data when writing data in write-through
mode or writing to a non-cacheable area. This allows the CPU to proceed to the next operation as
soon as the write to the write-through buffer is completed, without waiting fo r completion of the
write to external m emory.
Physical address bits [28:0] LW1LW0
Figure 4.5 Configuration of Write-Through Buffer
Rev. 6.0, 07/02, page 106 of 986
4.3.6 RAM Mode
Setting CCR.ORA to 1 enables half of the operand cache to be used as RAM. In the SH7750 or
SH7750S, the 8 kbytes of operand cache entries 128 to 255 and 384 to 511 are used as RAM. In
the SH7750/SH7750S-compatible mode of the SH7750R, the 8-kbyte area otherwise used for OC
entries 256 to 511 is designated as a RAM area. In the double-sized cache mode of the SH7750R,
a total of 16 kbytes, comprising entries 256 to 511 in both of the ways of the operand cache, is
designated as a RAM area. Oth e r en tries can still be used as cache. RAM can be accessed u sin g
addresses H'7C0 0 0000 to H'7 FFF FFFF. Byte-, word-, longwor d-, and quadword-size data reads
and writes can be performed in the operand cache RAM area. Instruction fetches cannot be
performed in this area. With the SH7750R, the OC index mode is not available in RAM mode.
An example of RAM use in the SH7750 or SH7750S is shown below. Here, the 4 kbytes
comprising OC entries 128 to 255 are designated as RAM area 1, and the 4 kbytes comprising OC
entries 384 to 511 as RAM area 2.
When O C index mode is off (CCR.O IX = 0)
H'7C00 0000 to H'7C00 0FFF (4 kB): Corr esponds to RAM area 1
H'7C00 1000 to H'7C00 1FFF (4 kB): Corr esponds to RAM area 1
H'7C00 2000 to H'7C00 2FFF (4 kB): Corr esponds to RAM area 2
H'7C00 3000 to H'7C00 3FFF (4 kB): Corr esponds to RAM area 2
H'7C00 4000 to H'7C00 4FFF (4 kB): Corr esponds to RAM area 1
:: :
RAM areas 1 and 2 in the SH7750 or SH7750S then repeat every 8 kbytes up to H'7FFF FFFF.
Thus, to secure a continuous 8-kbyte RAM area, the area from H'7C00 1000 to H'7C00 2FFF
can be used, for example.
When OC index mode is on (CCR.OIX = 1)
H'7C00 0000 to H'7C00 0FFF (4 kB): Corr esponds to RAM area 1
H'7C00 1000 to H'7C00 1FFF (4 kB): Corr esponds to RAM area 1
H'7C00 2000 to H'7C00 2FFF (4 kB): Corr esponds to RAM area 1
:: :
H'7DFF F000 to H'7DFF FFFF (4 kB): Corresponds to RAM area 1
H'7E00 0000 to H'7E00 0FFF (4 kB): Corresponds to RAM area 2
H'7E00 1000 to H'7E00 1FFF (4 kB): Corresponds to RAM area 2
:: :
H'7FFF F000 to H'7FFF FFFF (4 kB): Corresponds to RAM area 2
As the distinction between RAM areas 1 and 2 is indicated by address bit [25], the area from
H'7DFF F000 to H'7E00 0FFF should be used to secure a continuous 8-kbyte RAM area.
Rev. 6.0, 07/02, page 107 of 986
Examples of RAM usage with the SH7750R is shown below.
In SH7750/SH7750S-compatible mode (CCR.EMODE = 0)
H'7C00 0000 to H'7 C00 1FFF ( 8 kB): RAM area (entries 25 6 to 511)
H'7C00 2000 to H'7 C00 3FFF ( 8 kB): RAM area (entries 25 6 to 511)
:: :
In the same p attern, sh adows of the RAM area are created in 8-kbyte blo cks until H'7FFF
FFFF is reached.
In double-sized cache mod e (CCR.EMODE = 1)
In this mode, the 8 kbytes comprising entries 256 to 511 of OC way 0 are designated as RAM
area 1 and the 8-kbytes comprising entries 256 to 511 of OC way 1 are designated as RAM
area 2.
H'7C00 0000 to H'7C00 1FFF (8 kB): Corr esponds to RAM area 1
H'7C00 2000 to H'7C00 3FFF (8 kB): Corr esponds to RAM area 2
H'7C00 4000 to H'7C00 5FFF (8 kB): Corr esponds to RAM area 1
H'7C00 6000 to H'7C00 7FFF (8 kB): Corr esponds to RAM area 2
:: :
In the same p attern, sh adows of the RAM area are created in 16-k byte b locks until H'7FFF
FFFF is reached.
4.3.7 OC Index Mode
Setting CCR.OIX to 1 enables OC indexing to be performed using bit [25] of the effective
address. This is called OC index mode. In normal mode, with CCR.OIX cleared to 0, OC indexing
is performed using bits [13:5] of the effective address. Using index mode allows the OC to be
handled as two areas by means of effective address bit [25], providing efficient use of the cache.
The SH7750R cannot be used in RAM mode when OC index mode is selected.
4.3.8 Coherency between Cache and External Memory
Coherency between cache and external memory should be assured by software. In the SH7750
Series, the following four new instructions are supported for cache operations. Details of these
instructions are given in the Programming Manual.
Invalid ate instruction: OCBI @Rn Cache invalid ation (no write-b ack)
Purge instr uction: OCBP @Rn Cache invalidation (with write-back)
Write-back instruction: OCBWB @Rn Cache write-back
Allocate instruction: MOVCA.L R0,@Rn Cache allocation
Rev. 6.0, 07/02, page 108 of 986
4.3.9 Prefetch Operation
The SH7750 Series supports a prefetch instruction to reduce the cache fill penalty incurred as the
result of a cache miss. If it is known that a cache miss will result from a read or write operation, it
is possible to fill the cache with data beforehand by means of the p refetch instruction to prevent a
cache miss due to the read or write operation, and so improve software performance. If a prefetch
instruction is executed for data already held in the cache, or if the prefetch address results in a
UTLB miss or a protection violation, the result is no operation, and an exception is not generated.
Details of the pref etch instruction are given in the Progr a m ming Manual.
Prefetch instruction: PREF @Rn
4.4 Instruction Cache (IC)
4.4.1 Configuration
The instruction cache of the SH7750 or SH7750S is of the direct-mapping type and consists of
256 cache lines, each composed of a 19 -bit tag, V bit, and 32-byte data (16 instructions). The
SH7750R’s instruction cache is 2-way set associative. Each way consists of 256 cache lines.
Figure 4.6 shows the configuration of the instruction cache for the SH7750 and SH7750S.
Figure 4.7 shows the configuration of the instruction cache for the SH7750R.
Rev. 6.0, 07/02, page 109 of 986
LW0
32 bits
LW1
32 bits
LW2
32 bits
LW3
32 bits
LW4
32 bits
LW5
32 bits
LW6
32 bits
LW7
32 bits
255 19 bits 1 bit
Tag V
Address array
Longword (LW) selection
Data array
0
Read data
Hit signal
Compare
31 26 25 5 4 3 2 1
MMU
IIX [12]
[11:5]
Entry selection
Effective address
83
22
19
13 1211 10 9 0
Figure 4.6 Configuration of Instruction Cache (SH7750, SH7750S)
Rev. 6.0, 07/02, page 110 of 986
31 25 5 4 2
LW0
32 bits
LW1
32 bits
LW2
32 bits
LW3
32 bits
LW4
32 bits
LW5
32 bits
LW6
32 bits
LW7
32 bits
1 bit
MMU
IIX [12]
[11:5]
255 19 bits 1 bit
Tag address V
Address array
(way 0, way1)
Longword (LW)
selection
LRU
Entry
selection
Data array (way 0, way 1)
Effective address
3
8
22
19
0
Read data
Hit signal
Compare
way 0 Compare
way 1
13121110 0
Figure 4.7 Configuration of Instruction Cache (SH7750R)
Tag
Stores the upper 19 bits of the 29-bit external address of the data line to be cached. The tag is
not initialized b y a power-on or manual reset.
V bit (validity bit)
Indicates that valid data is stored in the cache line. When this bit is 1, the cache line data is
valid. The V bit is initialized to 0 by a power-on reset, but retains its value in a man ual reset.
Data array
The data field holds 32 bytes (256 bits) of data per cache line. The data array is not initialized
by a power-on or manual reset.
Rev. 6.0, 07/02, page 111 of 986
LRU (SH7750R only)
In a 2-way set-associative cache, up to 2 items of data can be registered in the cache at each
entry address (address: 125). When an entry is registered, the LRU bit indicates which of the
2 ways it is to be registered in. The LRU bit is a single bit of each entry, and its usage is
controlled by ha rdware.
The LRU (least-recently-used) algorithm is used for way selection, and selects the less recently
accessed way. The LRU bits are in itialized to 0 by a power-on reset but not by a manual reset.
The LRU bits cannot be read or written by software.
4.4.2 Read Operation
When the IC is ena bled (CCR. ICE = 1) a nd instr uction fe tc hes are performed by means o f an
effective address from a cacheable area, the instruction cache operates as follows:
1. The tag and V bit are read from the cache line indexed by effective address bits [12:5].
2. The tag is compared with bits [28:10] of the address resulting from effective address
translation by the MMU:
If the tag matches and the V bit is 1 (3a)
If the tag matches and the V bit is 0 (3b)
If the tag does not match and the V bit is 0 (3b)
If the tag does not match and the V bit is 1 (3b)
3a. Cache hit
The data indexed by effective address bits [4:2] is read as an instruction from the data field of
the cache line indexed by effective address bits [12:5].
3b.Cache miss
Data is read into the cache line from the external memory space co rresponding to the effective
address. Data reading is performed, using the wraparound method, in order from the longword
data corresponding to the effective address, and when the corresponding data arrives in the
cache, the read data is returned to the CPU as an instruction. When reading of one line of data
is completed, the tag corresponding to the effective address is recorded in the cache, and 1 is
written to the V b it.
4.4.3 IC Index Mode
Setting CC R.IIX to 1 enables IC indexing to be performed using bit [25] of the effective address.
This is called IC index mode. In normal mode, with CCR.IIX cleared to 0, IC indexing is
performed using bits [12:5] of the effective address. Using index mode allows the IC to be handled
as two areas by means of effective address bit [25], providing efficient use of the cache.
Rev. 6.0, 07/02, page 112 of 986
4.5 Memory-Mapped Cache Configuration (SH7750, SH7750S)
To enable the IC and OC to b e manag ed by softwar e, their contents can be read and written by a
P2 area program with a MOV instruction in privileged mode. Operation is not guaranteed if access
is made from a program in another area. In this case, a branch to the P0, U0, P1, or P3 area should
be made at least 8 instructions after this MOV instr uction. The IC and OC are allo cated to the P4
area in physical memory space. Only data accesses can be used on both the IC address array and
data array and the OC address array and data array, and accesses are always longword-size.
Instruction fetches cannot be performed in these areas. For reserved bits, a write value of 0 should
be specified; their read value is undefined.
4.5.1 IC Address Array
The IC address array is allocated to addresses H'F000 0000 to H'F0FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specification. The entry to be accessed is specified in the address field, and the
write tag and V b it ar e specified in the data field.
In the address field, bits [31:24] have the value H'F0 indicating the IC address array, and the entry
is specified by bits [12:5]. CCR.IIX has no effect on this entry specification. The address array bit
[3] association bit (A bit) specifies whether or not association is performed when writing to the IC
address array. As only longword access is used, 0 should be specified for address field bits [1:0].
In the data field, th e tag is ind icated by bits [31:10], and the V bit by bit [ 0]. As the IC address
array tag is 19 bits in length, data field bits [31:29] are not used in the case of a write in which
association is not performed. Data field bits [31:29] are used for the virtual address specification
only in the case of a write in which association is performed.
The following three kinds of operation can be used on the IC address array:
1. IC address array read
The tag and V bit are read into the data field from the IC entry corresponding to the entry set in
the address field. In a read, associative operation is not performed regardless of whether the
association bit specified in the address field is 1 or 0.
2. IC address array write (non-associative)
The tag and V bit specified in the data field are written to the IC entry co rresponding to the
entry set in the address field. The A bit in the address field should be cleared to 0.
3. IC address array write (associative)
When a write is per formed with the A bit in th e addr ess field set to 1, the tag sto r ed in the
entry specified in the address field is compared with the tag specified in the data field. If the
MMU is enabled at this time, comparison is performed after the virtual address specified by
data field bits [31:10] has been translated to a physical address using the ITLB. If the addresses
match and the V bit is 1, the V bit specified in th e data field is wr itten into the IC entry. In
Rev. 6.0, 07/02, page 113 of 986
other cases, no operation is performed. This operation is used to invalidate a specific IC entry.
If an ITLB miss occurs during addr ess translation, or the comparison shows a mismatch, an
interrupt is not generated, no operation is performed, and the write is not executed. If an
instruction TLB multiple hit exception occurs during address translation, processing switch es
to the instru ction TLB multiple hit exception handling routin e.
Address field 31 23 12 543210
1 1 1 1 0 0 0 0 Entry A
Data field 31 10 9 1 0
V
Tag
V
A
24 13
: Validity bit
: Association bit
: Reserved bits (0 write value, undefined read value)
Figure 4.8 Memo ry-Mapped IC Address Arra y
4.5.2 IC Data Arra y
The IC data array is allocated to addresses H'F100 0000 to H'F1FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification. The entry to be accessed is specified in the address field, and the longword
data to be written is specified in the data field.
In the address field, bits [31:24] have the value H'F1 indicating the IC data array, and the entry is
specified by bits [12:5]. CCR.IIX has no effect on this entry specification. Address field bits [4:2]
are used for the longword data specification in the entry. As only longword access is used, 0
should be specified for address field bits [1:0].
The data field is used for the longword data specification.
The following two kinds of operation can be used on the IC data array:
1. IC data array read
Longword data is read into the data field from the data specified by the longword specification
bits in the address field in the IC entry corresponding to th e entry set in the address field.
2. IC data array write
The longword data specified in the data field is written fo r the data specified by the longword
specification bits in the address field in the IC entry corresponding to th e entry set in the
address field.
Rev. 6.0, 07/02, page 114 of 986
Address field 31 23 12 54 210
11110001 Entry L
Data field 31 0
Longword data
L
24 13
: Longword specification bits
: Reserved bits (0 write value, undefined read value)
Figure 4.9 Memory-Mapped IC Data Array
4.5.3 OC Address Array
The OC address array is allocated to addresses H'F400 0000 to H'F4FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specification. The entry to be accessed is specified in the address field, and the
write tag, U bit, and V bit are specified in the data field.
In the address field, bits [31:24] have the value H'F4 indicating the OC addr ess array, and the
entry is specif i e d by bits [13:5]. CCR.OIX and CCR.ORA have no effect on this entry
specification. The address array bit [3] association bit (A bit) specifies whether or not association
is performed when writing to the OC ad dress array . As o nly longword access is used, 0 should be
specified for address field bits [1:0].
In the data field, th e tag is ind icated by bits [31:10], the U bit by bit [1], and th e V bit by bit [0].
As the OC address array tag is 19 bits in length, data field bits [31:29] are not used in the case of a
write in which association is not performed. Data field bits [31:29] are used for the virtual address
specification only in the case of a write in which association is performed.
The following three kinds of operation can be used on the OC address array:
1. OC address array read
The tag, U bit, and V bit are read into the data field from the OC entry corresponding to the
entry set in the address field. In a read, associative operation is not performed regardless of
whether the association bit specified in the address field is 1 or 0.
2. OC address array write (non-associative)
The tag, U bit, and V bit specified in the data field are written to the OC entry corresponding to
the entry set in the address field. The A bit in the address field should be cleared to 0.
When a write is perf ormed to a cache line for which the U bit and V bit are both 1, after write-
back of that cache line, the tag, U bit, and V bit specified in the data field are written.
Rev. 6.0, 07/02, page 115 of 986
3. OC address array write (associative)
When a write is per formed with the A bit in the address field set to 1, th e tag sto r ed in the
entry specified in the address field is compared with the tag specified in the data field. If the
MMU is enabled at this time, comparison is performed after the virtual address specified by
data field bits [31:10] has been translated to a physical address using the UTLB. If the
addresses match and the V bit is 1, the U b it and V bit specified in the data field are wr itten
into the OC entr y. This operation is used to invalidate a specific OC entr y . In o ther cases, no
operation is performed. If the OC entry U bit is 1, and 0 is written to the V bit or to the U b it,
write-back is performed. If an UTLB miss occurs during address translation, or the comparison
shows a mism atch, an exception is not generated, no operation is performed, and the write is
not executed. If a data TLB multiple hit exception occurs during address translation,
processing switches to the da ta TLB m ultiple hit exception handling routine.
Address field 31 23 543210
11110100 Entry A
Data field 31 10 9 1 0
V
Tag
24 13
14
2U
V
U
A
: Validity bit
: Dirty bit
: Association bit
: Reserved bits (0 write value, undefined read value)
Figure 4.10 Memory-Mapped OC Address Array
4.5.4 OC Da ta Array
The OC data array is allocated to addresses H'F500 0000 to H'F5FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification. The entry to be accessed is specified in the address field, and the longword
data to be written is specified in the data field.
In the address field, bits [31:24] have the value H'F5 indicating the OC data array, and the entry is
specified by bits [13:5]. CCR.OIX and CCR.ORA have no effect on this entry specification.
Address field bits [4:2] are used for the longword data specification in the entry. As only longword
access is used, 0 should be specified for address field bits [1:0].
The data field is used for the longword data specification.
Rev. 6.0, 07/02, page 116 of 986
The following two kinds of operation can be used on the OC data array:
1. OC data array read
Longword data is read into the data field from the data specified by the longword specification
bits in the address field in the OC entry corresponding to the entry set in the address field.
2. OC data array write
The longword data specified in the data field is written fo r the data specified by the longword
specification bits in the address field in the OC entry corresponding the entry set in the address
field. This write does not set the U bit to 1 on the address array side .
Address field 31 23 5 4 2 1 0
11110101 Entry L
Data field 31 0
Longword data
24 13
14
L : Longword specification bits
: Reserved bits (0 write value, undefined read value)
Figure 4.11 Memory-Mapped OC Data Array
4.6 Memory-Mapped Cache Configuration (SH7750R)
To enable the management of the IC and OC by software, a program running in the privileged
mode is allowed to access their contents.
The contents of IC can be read and written by u sing MOV instr u ctions in a P2-area program
running in the privileged mode. Operation is not guaranteed for access from a program in some
other area. Any branching to other areas must take place at least 8 instructions after this MOV
instruction.
The contents of IC can be read and written by using MOV instructions in a P1- or P2-area
program running in the privileged mode. Operation is not guaranteed if access is attempted from a
program running in some other area. A branch to the P0, U0, or P3 area must be made at least 8
instructions after this MOV instru ction.
The IC and OC are allocated to the P4 area of the physical memory space. The address and data
arrays of both the IC and OC are only accessible by their data fields. Longword operations must
be used. Instruction fetches from these areas are not possible. For reserved bits, a write value of 0
should be specified; values read from such bits are undefined. Note that, in the SH7750/SH7750S-
compatible mode, the configuration of the SH7750R’s memory-mapped cache is the same as that
of the SH7750 or SH7750S.
Rev. 6.0, 07/02, page 117 of 986
4.6.1 IC Address Array
The IC address array is allocated to addresses H'F000 0000 to H'F0FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specification. The way and entry to be accessed is specified in the address field,
and the write tag and V bit are specified in the data field.
In the address field, bits [31:24] have the value H'F0 indicating the IC address array, the way is
specified by bit [13], and the entry by bits [12:5]. CCR.IIX has no effect on this entry
specification. The address array bit [3] association bit (A bit) specifies whether or not association
is performed when writing to the IC address array. As only longword access is used, 0 should be
specified for address field bits [1:0].
In the data field, th e tag is ind icated by bits [31:10], and the V bit by bit [ 0]. As the IC address
array tag is 19 bits in length, data field bits [31:29] are not used in the case of a write in which
association is not performed. Data field bits [31:29] are used for the virtual address specification
only in the case of a write in which association is performed.
The following three kinds of operation can be used on the IC address array:
1. IC address array read
The tag and V bit are read into the data field from the IC entry corresponding to the way and
the entry set in the address field. In a read, associative operation is not performed regardless of
whether the association bit specified in the address field is 1 or 0.
2. IC address array write (non-associative)
The tag and V bit specified in the data field are written to the IC entry co rresponding to the
way and the entry set in the address field. The A bit in the address field should be cleared to 0.
3. IC address array write (associative)
When a write is performed with the A bit in the address field set to 1, the tag for each of the
ways stored in the entry specified in the address field is compared with the tag specified in the
data field. The way number set by b it [13] is not used. If the MMU is enabled at this time,
comparison is performed after the virtual address specified by data field bits [31:10 ] has been
translated to a physical address using the ITLB. If the addresses match and the V bit for that
way is 1, the V bit specified in the data field is written into the IC entry. In other cases, no
operation is performed. This operation is used to invalidate a specific IC entry. If an ITLB
miss occurs during address translation, or the comparison shows a mismatch, an interrupt is
not generated, no operation is performed, and the write is not executed. If an instruction TLB
multiple hit exception occurs during addr ess translation, p r ocessing switches to the instruction
TLB multiple hit ex ception handling routin e.
Rev. 6.0, 07/02, page 118 of 986
Address field 31 23 12 543210
1 1 1 1 0 0 0 0 Entry
Way
A
Data field 31 10 9 1 0
V
Tag
V
A
24 13
: Validity bit
: Association bit
: Reserved bits (0 write value, undefined read value)
Figure 4.12 Memory-Mapped IC Address Array
4.6.2 IC Data Arra y
The IC data array is allocated to addresses H'F100 0000 to H'F1FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification. The way and entry to be accessed is specified in the address field, and the
longword data to be written is specified in the data field.
In the address field, bits [31:24] have the value H'F1 indicating the IC data array, the way is
specified by bit [13], and the entry by bits [12:5]. CCR.IIX has no effect on this entry
specification. Address field bits [4:2] are used for the longword data specification in the entry. As
only longword access is used, 0 should be specified for address field bits [1:0].
The data field is used for the longword data specification.
The following two kinds of operation can be used on the IC data array:
1. IC data array read
Longword data is read into the data field from the data specified by the longword specification
bits in the address field in the IC entry corresponding to the way and entry set in the address
field.
2. IC data array write
The longword data specified in the data field is written fo r the data specified by the longword
specification bits in the address field in the IC entry corresponding to the way and entry set in
the address field.
Rev. 6.0, 07/02, page 119 of 986
Address field 31 23 12 54 210
11110001 Entry L
Data field 31 0
Longword data
L
24 13
: Longword specification bits
: Reserved bits (0 write value, undefined read value)
Way
Figure 4.13 Memory-Mapped IC Data Array
4.6.3 OC Address Array
The OC address array is allocated to addresses H'F400 0000 to H'F4FF FFFF in the P4 area. An
address array access req uires a 32-bit address field specification (when reading or writing) and a
32-bit data field specification. The way and entry to be accessed is specified in the address field,
and the write tag, U bit, and V bit ar e specified in the data field.
In the address field, bits [31:24] have the value H'F4 indicating the OC addr ess array, the way is
specified by bit [14], and the entry by bits [13:5]. CCR.OIX has no effect on this entry
specification. In RAM mode (CCR.ORA = 1), the OC’s address arrays are only accessible in the
memory-mapped cache area, and bit [13] is used to specify the way. For details about addr ess
mapping, see section 4.6.5. The address array bit [3] association bit (A bit) specifies whether or
not association is performed when writing to the OC address array. As only longword access is
used, 0 should be specified for address field bits [1:0].
In the data field, th e tag is ind icated by bits [31:10], the U bit by bit [1], and the V bit by bit [0].
As the OC address array tag is 19 bits in length, data field bits [31:29] are not used in the case of a
write in which association is not performed. Data field bits [31:29] are used for the virtual address
specification only in the case of a write in which association is performed.
The following three kinds of operation can be used on the OC address array:
1. OC address array read
The tag, U bit, and V bit are read into th e data field from the OC entry corresponding to the
way and the entry set in the address field. In a read, associative operation is not performed
regardless of whether the association bit specified in the address field is 1 or 0.
2. OC address array write (non-associative)
The tag, U bit, and V bit specified in the data field are written to the OC entry corresponding to
the way and the entry set in the address field. The A bit in the address field should be cleared
to 0.
Rev. 6.0, 07/02, page 120 of 986
When a write is perf ormed to a cache line for which the U bit and V bit are both 1, after write-
back of that cache line, the tag, U bit, and V bit specified in the data field are written.
3. OC address array write (associative)
When a write is performed with the A bit in the address field set to 1, the tag for each of the
ways stored in the entry specified in the address field is compared with the tag specified in the
data field. The way number set by b it [14] is not used. If the MMU is enabled at this time,
comparison is performed after the virtual address specified by data field bits [31:10 ] has been
translated to a physical address using the UTLB. If the addresses match and the V bit for that
way is 1, the U bit and V bit specified in the data field are written into the OC entry. This
operation is used to invalidate a specific OC entry. In other cases, no operation is performed. If
the OC entry U b it is 1, and 0 is written to th e V bit or to th e U bit, write-back is performed. If
an UTLB miss occurs during address translation, or the comparison shows a mismatch, an
exception is not generated, no operation is performed, and the write is not executed. If a data
TLB multiple hit exception occurs durin g address translation , pro cessing switches to th e data
TLB multiple hit ex ception handling routin e.
Address field 31 23 543210
11110100 Entry A
Data field 31 10 9 1 0
V
Tag
24 13
1415
2U
V
U
A
: Validity bit
: Dirty bit
: Association bit
: Reserved bits (0 write value, undefined read value)
Way
Figure 4.14 Memory-Mapped OC Address Array
4.6.4 OC Da ta Array
The OC data array is allocated to addresses H'F500 0000 to H'F5FF FFFF in the P4 area. A data
array access requires a 32-bit address field specification (when reading or writing) and a 32-bit
data field specification. The way and entry to be accessed is specified in the address field, and the
longword data to be written is specified in the data field.
In the address field, bits [31:24] have the value H'F5 indicating the OC data array, the way is
specified by bit [14], and the entry by bits [13:5]. CCR.OIX has no effect on this entry
specification. In RAM mode (CCR.ORA = 1), the OC’s data arrays are only accessible in the
memory-mapped cache area, and bit [13] is used to specify the way. For details about addr ess
mapping, see section 4.6.5. Address field bits [4:2] are used for the longword data specification in
the entry. As only longword access is used, 0 should be specified for address field bits [1:0].
Rev. 6.0, 07/02, page 121 of 986
The data field is used for the longword data specification.
The following two kinds of operation can be used on the OC data array:
1. OC data array read
Longword data is read into the data field from the data specified by the longword specification
bits in the address field in the OC entry corresponding to the way and entry set in the address
field.
2. OC data array write
The longword data specified in the data field is written fo r the data specified by the longword
specification bits in the address field in the OC entry corresponding the way and entry set in
the address field. This write does not set the U bit to 1 on the address array side.
Address field 31 23 5 4 2 1 0
11110101 Entry L
Data field 31 0
Longword data
24 13
1415
L : Longword specification bits
: Reserved bits (0 write value, undefined read value)
Way
Figure 4.15 Memory-Mapped OC Data Array
4.6.5 Summary of the Memory-Mapping of the OC
The address ranges to which the OC is memory-mapped in the double-sized cache mode of the
SH7750R are summarized below, using examples of data-array access.
In n ormal mode (CCR.ORA = 0 )
H'F500 0000 to H'F500 3FFF (16 kB ): Way 0 (entries 0 to 511)
H'F500 4000 to H'F500 7FFF (16 kB ): Way 1 (entries 0 to 511)
:: :
In the same pattern, shado ws of th e cach e area are created in 32-kbyte blocks until H'F5FF
FFFF.
In RAM mode (CCR. ORA = 1)
H'F500 0000 to H'F500 1FFF (8 kB ): Way 0 (entries 0 to 255)
H'F500 2000 to H'F500 3FFF (8 kB ): Way 1 (entries 0 to 255)
:: :
In the same pattern, shado ws of th e cach e area are created in 16-kbyte blocks until H'F5FF
FFFF.
Rev. 6.0, 07/02, page 122 of 986
4.7 Store Queues
The SH7750 Series supports two 32 -byte store queues (SQs) to perform high-speed writes to
external memory.
In the SH7750S or SH7750R, if the SQs are not used the low power dissipation power-down
modes, in which SQ functions are stopped, can be used. The queue address control registers
(QACR0 and QACR1) cannot be accessed while SQ functions are stopped. See section 9, Power-
Down Modes, for the procedure for stopping SQ functions.
4.7.1 SQ Configuration
There are two 32-byte store queues, SQ0 and SQ1, as shown in figure 4.16. These two store
queues can be set independently.
SQ0 SQ0[0] SQ0[1] SQ0[2] SQ0[3] SQ0[4] SQ0[5] SQ0[6] SQ0[7]
SQ1 SQ1[0] SQ1[1] SQ1[2] SQ1[3] SQ1[4] SQ1[5] SQ1[6] SQ1[7]
4B 4B 4B 4B 4B 4B 4B 4B
Figure 4.16 Store Queue Configuration
4.7.2 SQ Writes
A write to the SQs can be performed using a store instruction (MOV) on P4 area H'E000 0000 to
H'E3FF FFFC. A longword or quadword access size can be used. Th e meaning of the address bits
is as follows:
[31:26]: 111000 Store queue specification
[25:6]: Don’t care Used for external memory transfer/access right
[5]: 0/1 0: SQ0 specification 1: SQ1 specification
[4:2]: LW specification Specifies longword position in SQ0/SQ1
[1:0] 00 Fixed at 0
4.7.3 Transfer to External Memory
Transfer from the SQs to external memory can be performed with a prefetch instruction (PREF).
Issuing a PREF instruction for P4 area H'E000 0000 to H'E3FF FFFC starts a burst transfer from
the SQs to extern al memory. The burst transfer length is fixed at 32 bytes, and the start address is
always at a 32-byte boundary. While the contents of on e SQ are being transferred to external
Rev. 6.0, 07/02, page 123 of 986
memory, the other SQ can be written to without a penalty cycle, but writing to the SQ involved in
the transfer to external memory is d eferr ed until the transfer is completed.
The SQ transfer destination ex ternal memory address bit [28:0] specification is as shown below,
according to whether the MMU is on or off.
When MMU is on
The SQ area (H'E000 0000 to H'E3FF FFFF) is set in VPN of the UTLB, and the transfer
destination external memory address in PPN. The ASID, V, SZ, SH, PR, and D bits have the
same meaning as for normal address translation, but the C and WT bits have no meaning with
regard to this page. Since burst transfer is prohibited for PCMCIA areas, the SA and TC bits
also have no meaning.
When a prefetch instruction is issued for the SQ area, address translation is performed and
external memory address bits [28:10] are generated in accordance with the SZ bit specification.
For extern al memory address bits [ 9:5], the address prior to address translation is generated in
the same way as when the MMU is off. External memory addr ess bits [4:0] are fixed at 0.
Transfer from the SQs to external memory is performed to this ad dress.
When MMU is off
The SQ area (H'E000 0000 to H'E3FF FFFF) is specified as the address to issue a PREF
instruction . The meaning of address bits [31:0] is as follows:
[31:26]: 111000 Store queue specification
[25:6]: Address External memory address bits [25:6]
[5]: 0/1 0: SQ0 specification
1: SQ1 specification and external memory address bit [5]
[4:2]: Don’t care No meaning in a prefetch
[1:0] 00 Fixed at 0
External memory address bits [28:26], which cannot be generated from the above address, are
generated from the QACR0/1 registers.
QACR0 [4:2]: External memory address bits [28:26] corresponding to SQ0
QACR1 [4:2]: External memory address bits [28:26] corresponding to SQ1
External memory address bits [4:0] are always fixed at 0 since burst transfer starts at a 32-byte
boundary. In the SH7750, data transfer to a PCMCIA interface area cannot be performed using
an SQ. In the SH7750S or SH7750R, data transfer to a PCMCIA interface area is always
performed u sing the SA and TC bits in the PTEA register.
Rev. 6.0, 07/02, page 124 of 986
4.7.4 SQ Protection
Determination of an exception in a write to an SQ or transfer to external memory (PREF
instruction) is performed as follows according to whether the MMU is on or off. In the SH7750 or
SH7750S, if an exception occurs in an SQ write, the SQ contents may be corrupted. In the
SH7750R, original SQ contents are guaranteed. If an exception occurs in transfer from an SQ to
external memory , the transfer to external memory will be aborted.
When MMU is on
Operation is in accordance with the address translation info rmation recorded in the UTLB, and
MMUCR.SQMD. Write type exception judgment is performed for writes to the SQs, and read
type for transfer from the SQs to external memory (PREF instruction), and a TLB miss
exception, pro tection violation exception, or initial pag e write exception is gener ated.
However, if SQ access is enabled, in privileged mode only, by MMUCR.SQMD, an address
error will be flagged in user mode even if address translation is successfu l.
When MMU is off
Operation is in accordance with MMUCR.SQMD.
0: Privileged/user access possible
1: Privileged access possible
If the SQ area is accessed in user mode when MMUCR.SQMD is set to 1, an address error will
be flagged.
4.7.5 Reading the SQs (SH7750R Only)
In the SH7750R, a load instruction may be executed in the privileged mode to read the contents of
the SQs from the address range of H'FF001000 to H'FF00103C in the P4 area. Only longword
access is possible.
[31:6] : H'FF001000 : Store queue specification
[5] : 0/1 : 0: SQ0 specification, 1: SQ1 specification
[4:2] : LW specification : Specification of longword position in SQ0 or SQ1
[1:0] : 00 : Fixed at 0
Rev. 6.0, 07/02, page 125 of 986
4.7.6 SQ Usage Notes
If an exception occurs within the three instructions preceding an instruction that writes to an SQ in
the SH7750 and SH7750S, a branch may be made to the exception handling routine after
execution of the SQ write that should be suppressed when an exception occurs.
This may be due to the bug described in (1) or (2) below.
(1) When SQ data is transferred to external memory within a normal program
If a PREF instruction for transfer from an SQ to external memory is included in the three
instructions preceding an SQ store instruction, the SQ is updated because the SQ write that
should be suppressed when a branch is made to the exception handling routine is executed, and
after returning from the exception handling routine the execution order of the PREF instruction
and SQ store instruction is reversed, so that erroneous data may be transferred to external
memory.
(2) When SQ data is transferred to extern al memory in an exception handling r outine
If store queue contents are transferred to external memory within an exception handling
routine, erroneous data may be transferred to external memory.
Example 1: When an SQ store instructi o n is executed after a PREF inst ruct i on for transfer from that same SQ
to external memory
PREF instruction
; PREF instruction for transfer from SQ to external memory
; Address of this inst ruction is saved to SPC when exception occurs.
; Instruction 1, inst ruction 2, or instruction 3 may be executed on return from exception handling routine.
Instruc tion 1 ; May be executed if an SQ store instruction.
Instruc tion 2 ; May be executed if an SQ store instruction.
Instruc tion 3 ; May be executed if an SQ store instruction.
Instruc tion 4 ; Not executed even if an SQ store instruction.
Example 2: When an instruction that generates an exception branches using a branch instruct ion
Instruc tion 1 (branch instruction) ; Address of this instruction is saved to SPC when exception occurs.
Instruc tion 2 ; May be executed if instruction 1 is a delay slot instruction and an ins truct i on to st ore data to SQ.
Instruc ti on 3
Instruc ti on 4
Instruc ti on 5
Instruc ti on 6
Instruc tion 7 (branch destination of instruct i on 1)
; May be executed if an SQ access instruction.
Instruc tion 8 ; May be executed if an SQ store instruction.
Rev. 6.0, 07/02, page 126 of 986
Example 3: When an instruction that generates an exception does not branch using a branch instruct i on
Instruc tion 1 (branch instruction) ; Address of t his instruction is saved to SPC when exception occurs.
Instruc tion 2 ; May be executed if an SQ store instruction.
Instruc tion 3 ; May be executed if an SQ store instruction.
Instruc tion 4 ; May be executed if an SQ store instruction.
Instruc ti on 5
To recover from this problem it is necessary that conditions A and B be satisfied.
A: After the PREF instruction to transfer data from th e sto re queue (SQ0, SQ1) to external
memory, a store instruction for the same store queue must be executed, and conditions (1) and
(2) below must be satisfied.
(1) Three NOP instructions*1 must be inserted between the above two instructions.
(2) There must not b e a PREF instruction to transfer data from the store queue to external
memory in the delay slot of the branch instr uction.
B: There must be no PREF instruction to transfer data from the store queue to external memory
executed in th e exception handling routine.
If such an instruction is executed, and if there is a store to the store queue instruction among
the four instr uctions*2 at the address referred to by SPC, the data transferred to external
memory by the PREF instruction may indicate that execution of the store instruction has
completed.
Notes: *1 If there are other instructions between the above two instructions, the problem can be
avoided if the other instructions and NOP instructions together total three or more
instructions.
*2 If the instruction at the addr ess referred to by SPC is a br anch instructio n the two
instructions at the branch destination may be affected.
Rev. 6.0, 07/02, page 127 of 986
Section 5 Exceptions
5.1 Overview
5.1.1 Features
Exception handling is processing handled by a special routine, separate from normal program
processing, that is executed by the CPU in case of abnormal events. For example, if the executing
instruction ends abnormally, appropriate action must be taken in order to return to the original
program sequence, or report the abnorm ality before terminating the processin g. The process of
generating an exception handling request in response to abnormal termination, and passing control
to a user-written exception handling routine, in order to support such functions, is given the
generic name of exception handling.
SH7750 Series exception handling is of three kinds: for resets, general exceptions, and interrupts.
5.1.2 Register Configuration
The registers used in exception handling are shown in table 5.1.
Table 5.1 Exception-Related Registers
Name Abbrevia-
tion R/W Initial Value*1P4
Address*2Area 7
Address*2Access
Size
TRAPA exception
register TRA R/W Undefined H'FF00 0020 H'1F00 0020 32
Exception event
register EXPEVT R/W H'0000 0000/
H'0000 0020*1H'FF00 0024 H'1F00 0024 32
Interrupt event
register INTEVT R/W Undefined H'FF00 0028 H'1F00 0028 32
Notes: *1 H'0000 0000 is set in a power-on reset, and H'0000 0020 in a manual reset.
*2 This is the address when using the virtual/physical address space P4 area. The area 7
address is the address used when making an access from physical address space area
7 using the TLB.
Rev. 6.0, 07/02, page 128 of 986
5.2 Register Desc riptions
There are three registers related to exception handling. Addresses are allocated to these registers,
and they can be accessed by specifying the P4 address or area 7 address.
1. The exception event register (EXPEVT) resides at P4 address H'FF00 0024, and contains a 12-
bit exception code. The exception code set in EXPEVT is that for a reset or general exception
event. The exception code is set automatically by hardware when an exception occurs.
EXPEVT can also be modified by software.
2. The interrupt event register (INTEVT) resides at P4 address H'FF00 0028, and contains a 12-
bit exception code. The exception code set in INTEVT is that for an interrupt request. The
exception code is set automatically by hardware when an exception occurs. INTEVT can also
be modified by software.
3. The TRAPA exception register (TRA) resides at P4 address H'FF00 0020, and contains 8-bit
immediate data ( im m) for the TRAPA instruction. TRA is set automatically by hardware when
a TRAPA instruction is executed. TRA can also be modified by software.
The bit configurations of EXPEVT, INTEVT, and TRA are shown in figure 5.1.
31 0
0
0 0 0 0
0
31 10 9 1 0
0:
imm:
Reserved bits. These bits are always read as 0, and should only be written
with 0.
8-bit immediate data of the TRAPA instruction
12 11
2
EXPEVT and INTEVT
TRA
imm
Exception code
Figure 5.1 Register Bit Configurations
Rev. 6.0, 07/02, page 129 of 986
5.3 Exception Handling Functions
5.3.1 Exception Handling Flow
In exception handling, the contents of the prog ram counter (PC), status register (SR), and R15 are
saved in the saved program counter (SPC), saved status register (SSR), and saved general
register15(SGR), and the CPU starts execution of the appropriate exception handling routine
according to the vector address. An ex ception handling routine is a program written by the user to
handle a specific exception. The exception handling routine is terminated and control returned to
the origin al pro gram by ex ecuting a return-from-exception instr uction (RTE). This instructio n
restores the PC and SR contents and returns control to the normal processing routine at the point at
which the exception occurred.
The SGR contents are not written back to R15 by an RTE instruction.
The basic processing flow is as follows. See section 2, Data Formats and Registers, for the
meaning of the individual SR bits.
1. The PC, SR, and R15 contents are saved in SPC, SSR, and SGR.
2. The bloc k bit ( BL) in SR is set to 1.
3. The mo d e bit (M D) in SR is set to 1.
4. The register bank bit (RB) in SR is set to 1.
5. In a reset, the FPU disable bit (FD) in SR is cleared to 0.
6. The exception code is written to bits 11–0 of th e exception event register (EXPEVT) or
interrupt event reg ister (INTEVT).
7. The CPU branches to the determined exception handling vector address, and the exception
handling routi ne begins .
5.3.2 Exception Handling Vector Addresses
The reset vector address is fixed at H'A000 0000. Exception and interrupt vector addresses are
determined by adding the offset for the specific event to the vector base address, which is set by
software in the vector base register (VBR). In the case of the TLB miss exception, for example,
the offset is H'0000 0400, so if H'9C08 0000 is set in VBR, the exception handling vector address
will be H'9C08 04 00. If a further exceptio n occurs at the exception handling vector address, a
duplicate excep tion will r e su lt, and recovery will be difficult; therefore, fixed physical addresses
(P1, P2) should be specified for vector addresses.
Rev. 6.0, 07/02, page 130 of 986
5.4 Exception Types and Priorities
Table 5.2 shows the types of exception s, with their re lativ e priorities, vector addresses, an d
exception/interrupt codes.
Table 5.2 Exceptions
Exception
Category Execution
Mode Exception Priority
Level Priority
Order Vector
Address Offset Exception
Code
Power-on reset 1 1 H'A000 0000 H’000
Manual reset 1 2 H'A000 0000 H’ 020
H-UDI reset 1 1 H'A000 0000 H’000
Instruction TLB multiple-hit
exception 1 3 H'A000 0000 H’140
Reset Abort type
Data TLB multiple-hit exception 1 4 H'A000 0000 H’140
User break before inst ruct i on
execution*12 0 (VBR/DBR) H'100/— H'1E0
Instruc tion address error 2 1 (VBR) H' 100 H'0E0
Instruc tion TLB miss exc eption 2 2 (VBR) H'400 H'040
Instruction TLB prot ect i on
violation exception 2 3 (VBR) H'100 H'0A0
General illegal instruction
exception 2 4 (VBR) H'100 H'180
Slot illegal instruction exceptio n 2 4 (VBR) H'100 H'1A0
General FPU disable excepti on 2 4 (VB R) H'100 H'800
Slot FPU disable exception 2 4 (VBR) H'100 H'820
Data address error (read) 2 5 (VB R) H' 100 H'0E0
Data address error (write) 2 5 (V B R) H' 100 H'100
Data TLB miss exception (read) 2 6 (VBR) H'400 H'040
Data TLB miss exception (write) 2 6 (VBR) H'400 H'060
Data TLB protection
violation exc eption (read) 2 7 (VBR) H'100 H'0A0
Data TLB protection
violation exc eption (wri te) 2 7 (VBR) H'100 H'0C0
FPU exception 2 8 (VBR) H'100 H'120
Re-
execution
type
Initi al page writ e exception 2 9 (VBR) H'100 H'080
Unconditi onal trap (TRAPA) 2 4 (V B R) H'100 H'160
General
exception
Completion
type User break after instruction
execution*12 10 (VBR/DBR) H'100/ H'1E0
Rev. 6.0, 07/02, page 131 of 986
Table 5.2 Exceptions (cont)
Exception
Category Execution
Mode Exception Priority
Level Priority
Order Vector
Address Offset Exception
Code
Nonmaska ble interrupt 3 (VBR) H'600 H'1C0
0 H'200
1 H'220
2 H'240
3 H'260
4 H'280
5H'2A0
6H'2C0
7H'2E0
8 H'300
9 H'320
A H'340
B H'360
C H'380
DH'3A0
External
interrupts IRL3–IRL0
E
4*2 (VBR) H'600
H'3C0
TMU0 TUNI0 H'400
TMU1 TUNI1 H'420
TUNI2 H'440TMU2
TICPI2 H'460
TMU3 TUNI3 H'B00
TMU4 TUNI4 H'B80
ATI H'480
PRI H'4A0
RTC
CUI H'4C0
SCI ERI H'4E0
RXI H'500
TXI H'520
TEI H'540
WDT ITI H'560
RCMI H'580REF
ROVI
4*2 (VBR) H'600
H'5A0
Interrupt Completion
type
Peripheral
module
interrupt
(module/
source)
H-UDI H-UDI H'600
GPIO GPIOI H'620
Rev. 6.0, 07/02, page 132 of 986
Table 5.2 Exceptions (cont)
Exception
Category Execution
Mode Exception Priority
Level Priority
Order Vector
Address Offset Exception
Code
DMTE0 H'640
DMTE1 H'660
DMTE2 H'680
DMTE3 H'6A0
DMTE4
*3H'780
DMTE5
*3H'7A0
DMTE6
*3H'7C0
DMTE7
*3H'7E0
DMAC
DMAE H'6C0
ERI H'700
RXI H'720
BRI H'740
Interrupt Completion
type Peripheral
module
interrupt
(module/
source)
SCIF
TXI
4*2 (VBR) H'600
H'760
Priority: Priority is first assigned by priority level, then by priority order within each level (the lowest
number represents the highest priority).
Exception transition destination: Control passes to H'A000 0000 in a reset, and to [VBR + offset] in
other cases.
Exception code: Stored in EXPEVT for a reset or general exception, and in INTEVT fo r an interrupt.
IRL: Interrupt request level (pins IRL3–IRL0).
Module/source: See the sections on the relevant peripheral modules.
Notes: *1 When BRCR.UBDE = 1, PC = DBR. In other cases, PC = VBR + H'100.
*2 The priority order of external interrupts and peripheral module interrupts can be set by
software.
*3 SH7750R only.
5.5 Exception Flow
5.5.1 Exception Flow
Figure 5.2 shows an outline flowchart of the basic operations in instruction executio n and
exception handling. For the sake o f clarity, the following descr iption assumes that in str uctions are
executed sequentially, one by one. Figure 5.2 shows the relative priority order of the different
kinds of exceptions (reset/general exception/interrupt). Register settings in the event of an
Rev. 6.0, 07/02, page 133 of 986
exception are shown only for SSR, SPC, SGR, EXPEVT/INTEVT, SR, and PC, but other registers
may be set automatically by hardware, depending on the exception. For details, see section 5.6,
Description of Exceptions. Also, see section 5.6.4 , Pr iority Or der with Multiple Exceptions, for
exception handling during execution of a delayed branch instruction and a delay slot instruction,
and in the case of instructions in which two data accesses are performed.
Execute next instruction
Is highest-
priority exception
re-exception
type? Cancel instruction execution
result
Yes
Yes
Yes
No
No
No
No
Yes
SSR SR
SPC PC
SGR R15
EXPEVT/INTEVT exception code
SR.{MD,RB,BL} 111
PC (BRCR.UBDE=1 && User_Break?
DBR: (VBR + Offset))
EXPEVT exception code
SR. {MD, RB, BL, FD, IMASK} 11101111
PC H'A000 0000
Interrupt
requested?
General
exception requested?
Reset
requested?
Figure 5.2 Instruction Execution and Exception Handling
5.5.2 Exception Source Acceptance
A priority r anking is provided for all exceptions for u se in determining which of two or more
simultaneously generated exceptions should be accepted. Five of the general exceptions—the
general illegal instruction exception, slot illegal in struction exceptio n, general FPU disab le
exception, slot FPU disable exception, and unconditional trap exception—are detected in the
process of instruction decoding, and do not occur simultaneously in the instruction pipeline. These
exceptions therefore all have the same priority. General exceptions are detected in the order of
instruction execution. However, exception handling is performed in the order of instruction flow
(program order). Thus, an exception for an earlier instruction is accepted before that for a later
instruction. An example of the order of acceptance for general exceptions is shown in figure 5.3.
Rev. 6.0, 07/02, page 134 of 986
IF
IF ID
ID EX
EX MA
MA WB
WB
TLB miss (data access)Pipeline flow:
Order of detection:
Instruction n
Instruction n+1
General illegal instruction exception (instruction n+1) and
TLB miss (instruction n+2) are detected simultaneously
Order of exception handling:
TLB miss (instruction n) Program order
1
Instruction n+2
General illegal instruction exception
IF ID EX MA WB
IF ID EX MA WB
TLB miss (instruction access)
2
3
4
IF: Instruction fetch
ID: Instruction decode
EX: Instruction execution
MA: Memory access
WB: Write-back
Instruction n+3
TLB miss (instruction n)
Re-execution of instruction n
General illegal instruction exception
(instruction n+1)
Re-execution of instruction n+1
TLB miss (instruction n+2)
Re-execution of instruction n+2
Execution of instruction n+3
Figure 5.3 Example of General Exception Acceptance Order
Rev. 6.0, 07/02, page 135 of 986
5.5.3 Exception Requests and BL Bit
When the BL bit in SR is 0, exceptions and interrupts are accepted.
When the BL bit in SR is 1 and an exception other than a user break is generated, the CPU's
internal registers and the registers of the other modules are set to their states following a manual
reset, and the CPU branches to the same address as in a reset (H'A000 0000). For the operation in
the event of a user break, see section 20, User Break Controller. If an ordinary interrupt occurs, the
interrupt request is held pending and is accepted after the BL bit has been cleared to 0 by software.
If a nonmaskable interrupt (NMI) occurs, it can be held pending or accepted according to the
setting made by software.
Thus, normally, SPC an d SSR are saved and th en the BL bit in SR is cleared to 0 , to enable
multiple exception state acceptance.
5.5.4 Return from Exception Handling
The RTE instructio n is used to return from excep tion h a ndlin g. When the RTE instructio n is
executed, the SPC contents are restored to PC and the SSR contents to SR, and the CPU returns
from the exception handling routine by branching to the SPC address. If SPC and SSR were saved
to external m e mor y, set the BL bit in SR to 1 be for e restoring the SPC and SSR contents and
issuing th e RTE instruction.
5.6 Description of Exceptions
The various exception handling operations are described here, covering exception sources,
transition addresses, and processor operation when a transition is made.
Rev. 6.0, 07/02, page 136 of 986
5.6.1 Resets
(1) Power-On Reset
Sources:
SCK2 pin high level and RESET pin low level
When the watchdog timer overflows while the WT/IT bit is set to 1 and th e RSTS bit is
cleared to 0 in WTCSR. For details, see section 10, Clock Oscillatio n Circuits.
Transition address: H'A000 0000
Transition operations:
Exception code H'000 is set in EXPEVT, initialization of VBR and SR is performed, and a
branch is made to PC = H'A000 0000.
In the initialization processing, the VBR register is set to H'0000 0000, and in SR, the MD,
RB, and BL bits are set to 1, the FD bit is cleared to 0, and the interrupt mask bits (I3–I0) are
set to B'1111.
CPU and on- chip peripheral module initialization is performed. For details, see the register
descriptions in the relevant sections. For some CPU functions, the TRST pin and RESET pin
must be dr iv en low. It is therefore essential to execute a power-on reset and drive the TRST
pin low when powering on.
If the SCK2 pin is changed to the low level wh ile the RESET pin is low, a manual reset may
occur after the po wer-on reset operation. Do not drive the SCK2 pin low during this interval
(see figure 22.3).
Power_on_reset()
{
EXPEVT = H'00000000;
VBR = H'00000000;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
SR.(I0-I3) = B'1111;
SR.FD=0;
Initialize_CPU();
Initialize_Module(PowerOn);
PC = H'A0000000;
}
Rev. 6.0, 07/02, page 137 of 986
(2) Manual Reset
Sources:
SCK2 pin low level and RESET pin low level
When a gene r al exception other than a user break occurs while the BL bit is set to 1 in SR
When the watchdog timer overflows while the WT/IT bit and RSTS bit are both set to 1 in
WTCSR. For de tails, see section 10, Clock Oscillation Circuits.
Transition address: H'A000 0000
Transition operations:
Exception code H'020 is set in EXPEVT, initialization of VBR and SR is performed, and a
branch is made to PC = H'A000 0000.
In the initialization processing, the VBR register is set to H'0000 0000, and in SR, the MD,
RB, and BL bits are set to 1, the FD bit is cleared to 0, and the interrupt mask bits (I3–I0) are
set to B'1111.
CPU and on- chip peripheral module initialization is performed. For details, see the register
descriptions in the relevant sections.
Manual_reset()
{
EXPEVT = H'00000020;
VBR = H'00000000;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
SR.(I0-I3) = B'1111;
SR.FD = 0;
Initialize_CPU();
Initialize_Module(Manual);
PC = H'A0000000;
}
Table 5.3 Types of Reset
Reset State Transition
Conditions Internal States
Type SCK2 RESET
RESETRESET
RESET CPU On-Chip Peripheral
Modules
Power-on reset High Low Initialized
Manual reset Low Low Initialized
See Register
Configuration in
each section
Rev. 6.0, 07/02, page 138 of 986
(3) H-UDI Reset
Source: SDIR.TI3–TI0 = B'0110 (negation) or B'0111 (assertion)
Transition address: H'A000 0000
Transition operations:
Exception code H'000 is set in EXPEVT, initialization of VBR and SR is performed, and a
branch is made to PC = H'A000 0000.
In the initialization processing, the VBR register is set to H'0000 0000, and in SR, the MD,
RB, and BL bits are set to 1, the FD bit is cleared to 0, and the interrupt mask bits (I3–I0) are
set to B'1111.
CPU and on- chip peripheral module initialization is performed. For details, see the register
descriptions in the relevant sections.
H-UDI_reset()
{
EXPEVT = H'00000000;
VBR = H'00000000;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
SR.(I0-I3) = B'1111;
SR.FD = 0;
Initialize_CPU();
Initialize_Module(PowerOn);
PC = H'A0000000;
}
Rev. 6.0, 07/02, page 139 of 986
(4) Instruction TLB Mu ltiple-Hit Ex ception
Source: Multiple I TLB address matches
Transition address: H'A000 0000
Transition operations:
The virtual address ( 3 2 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
Exception code H'140 is set in EXPEVT, initialization of VBR and SR is performed, and a
branch is made to PC = H'A000 0000.
In the initialization processing, the VBR register is set to H'0000 0000, and in SR, the MD,
RB, and BL bits are set to 1, the FD bit is cleared to 0, and the interrupt mask bits (I3–I0) are
set to B'1111.
CPU and on- chip peripheral module initialization is performed in the sam e way as in a manual
reset. For details, see th e register descriptions in the relevant sections.
TLB_multi_hit()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
EXPEVT = H'00000140;
VBR = H'00000000;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
SR.(I0-I3) = B'1111;
SR.FD = 0;
Initialize_CPU();
Initialize_Module(Manual);
PC = H'A0000000;
}
Rev. 6.0, 07/02, page 140 of 986
(5) Operand TLB M ultiple-Hit Exceptio n
Source: Multiple UTLB address matches
Transition address: H'A000 0000
Transition operations:
The virtual address ( 32 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
Exception code H'140 is set in EXPEVT, initialization of VBR and SR is performed, and a
branch is made to PC = H'A000 0000.
In the initialization processing, the VBR register is set to H'0000 0000, and in SR, the MD,
RB, and BL bits are set to 1, the FD bit is cleared to 0, and the interrupt mask bits (I3–I0) are
set to B'1111.
CPU and on- chip peripheral module initialization is performed in the sam e way as in a manual
reset. For details, see th e register descriptions in the relevant sections.
TLB_multi_hit()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
EXPEVT = H'00000140;
VBR = H'00000000;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
SR.(I0-I3) = B'1111;
SR.FD = 0;
Initialize_CPU();
Initialize_Module(Manual);
PC = H'A0000000;
}
Rev. 6.0, 07/02, page 141 of 986
5.6.2 General Exceptions
(1) Data TLB Miss Exception
Source: Address mismatch in UTLB address comparison
Transition address: VBR + H'0000 0400
Transition operations:
The virtual address ( 3 2 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'040 (f or a read access) or H'060 (for a write access) is set in EXPEVT. The
BL, MD, and RB bits are set to 1 in SR, and a branch is made to PC = VBR + H'0400.
To speed up TLB miss processing, the offset is separate from that of other exceptions.
Data_TLB_miss_exception()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = read_access ? H'00000040 : H'00000060;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000400;
}
Rev. 6.0, 07/02, page 142 of 986
(2) Instruction TLB Mi ss Exception
Source: Address mismatch in ITLB address comparison
Transition address: VBR + H'0000 0400
Transition operations:
The virtual address ( 32 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'040 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0400.
To speed up TLB miss processing, the offset is separate from that of other exceptions.
ITLB_miss_exception()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'00000040;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000400;
}
Rev. 6.0, 07/02, page 143 of 986
(3) Initia l Page Write Exception
Source: TLB is hit in a store access, but dirty bit D = 0
Transition address: VBR + H'0000 0100
Transition operations:
The virtual address ( 3 2 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'080 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100.
Initial_write_exception()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'00000080;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 144 of 986
(4) D at a TLB Prot ection Violatio n Exception
Source: The access does not accord with the UTLB protection information (PR bits) shown
below.
PR Privileged Mode User Mode
00 Only read access possible Access not possible
01 Read/write access possible Access not pos sible
10 Only read access possible Only read access possible
11 Read/write access possible Read/write access possible
Transition address: VBR + H'0000 0100
Transition operations:
The virtual address ( 32 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'0A0 (for a read access) or H'0C0 (for a write access) is set in EXPEVT. The
BL, MD, and RB bits are set to 1 in SR, and a branch is made to PC = VBR + H'0100.
Data_TLB_protection_violation_exception()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = read_access ? H'000000A0 : H'000000C0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 145 of 986
(5) Instruction TLB Protection Violation Exception
Source: The access does not accord with the ITLB protection information (PR bits) shown
below.
PR Privileged Mode User Mode
0 Access possi ble Access not pos si ble
1 Access possi ble Access pos si ble
Transition address: VBR + H'0000 0100
Transition operations:
The virtual address ( 3 2 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'0 A0 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100.
ITLB_protection_violation_exception()
{
TEA = EXCEPTION_ADDRESS;
PTEH.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'000000A0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 146 of 986
(6) Data Address Error
Sources:
Word data access from other than a word boundary (2n +1)
Longword data access from other than a longword data boundary (4n +1, 4n + 2, or 4n +3)
Quadword data access from other than a quadword data boundary (8n +1, 8n + 2, 8n +3, 8n
+ 4, 8n + 5, 8n + 6, or 8n + 7)
Access to area H'8000 0000–H'FFFF FFFF in user mode
Transition address: VBR + H'0000 0100
Transition operations:
The virtual address ( 32 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'0E0 (for a read access) or H'100 (for a write access) is set in EXPEVT. The
BL, MD, and RB bits are set to 1 in SR, and a branch is made to PC = VBR + H'0100. For
details, see section 3, Memory Management Unit (MMU).
Data_address_error()
{
TEA = EXCEPTION_ADDRESS;
PTEN.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = read_access? H'000000E0: H'00000100;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 147 of 986
(7) Instruction Address Error
Sources:
Instruction fetch from other than a word boundary (2n +1)
Instruction fetch from area H'8000 0000–H'FFFF FFFF in user mode
Transition address: VBR + H'0000 0100
Transition operations:
The virtual address ( 3 2 bits) at which this exception occurred is set in TEA, and the
corresponding virtual page number (22 bits) is set in PTEH [31:10]. ASID in PTEH indicates
the ASID when this exception occurred.
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'0 E0 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100. For details, see section 3, Memory Management Unit
(MMU).
Instruction_address_error()
{
TEA = EXCEPTION_ADDRESS;
PTEN.VPN = PAGE_NUMBER;
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'000000E0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 148 of 986
(8) Unconditional Trap
Source: Execution of TRAPA instruction
Transition address: VBR + H'0000 0100
Transition operations:
As this is a processin g-completio n-type exception, th e PC contents for the instructio n
following the TRAPA instruction are saved in SPC. The values of SR and R15 when the
TRAPA instruction is executed are saved in SSR and SGR. The 8-bit immediate value in the
TRAPA instruction is multiplied b y 4, and the result is set in TRA [ 9:0]. Exception code H'160
is set in EXPEVT. The BL, MD, and RB b its ar e set to 1 in SR, and a branch is made to PC =
VBR + H'0100.
TRAPA_exception()
{
SPC = PC + 2;
SSR = SR;
SGR = R15;
TRA = imm << 2;
EXPEVT = H'00000160;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 149 of 986
(9) General Illegal Instruction Exception
Sources:
Decoding of an undefined instruction not in a delay slot
Delayed branch instructions: JMP, JSR, BRA, BRAF, BSR, BSRF, RTS, RTE, BT/S, BF/S
Undefin e d instruction: H'FFFD
Decoding in user mode of a privileged instruction not in a delay slot
Privileged instructions: LDC, STC, RTE, LDTLB, SLEEP, but excluding LDC/STC
instructions that access GBR
Transition address: VBR + H'0000 0100
Transition operations:
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'180 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100. Operation is not guaranteed if an undefined code other
than H'FFFD is decod e d.
General_illegal_instruction_exception()
{
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'00000180;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 150 of 986
(10) Slot Illegal Instruction Exception
Sources:
Decoding of an undefined instruction in a delay slot
Delayed branch instructions: JMP, JSR, BRA, BRAF, BSR, BSRF, RTS, RTE, BT/S, BF/S
Undefin e d instruction: H'FFFD
Decoding of an instruction that modifies PC in a delay slot
Instructions that modify PC: JMP, JSR, BRA, BRAF, BSR, BSRF, RTS, RTE, BT, BF,
BT/S, BF/S, TRAPA, LDC Rm, SR, LDC.L @Rm+, SR
Decoding in user mode of a privileged instruction in a delay slot
Privileged instructions: LDC, STC, RTE, LDTLB, SLEEP, but excluding LDC/STC
instructions that access GBR
Decoding of a PC-relative MOV instru ction or MOVA instruction in a delay slot
Transition address: VBR + H'0000 0100
Transition operations:
The PC contents for the preceding delayed branch instruction are saved in SPC. The SR and
R15 contents when this exception occurred are saved in SSR and SGR.
Exception code H'1 A0 is set in EXPEVT. Th e BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100. Operation is not guaranteed if an undefined code other
than H'FFFD is decod e d.
Slot_illegal_instruction_exception()
{
SPC = PC - 2;
SSR = SR;
SGR = R15;
EXPEVT = H'000001A0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 151 of 986
(11) General FPU Disable Exception
Source: Decoding of an FPU instruction* not in a delay slot with SR.FD =1
Transition address: VBR + H'0000 0100
Transition operations:
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR.
Exception code H'800 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100.
Note: * FPU instructions are instructions in which the first 4 bits of the instruction code are F (bu t
excluding undefined instruction H'FFFD), and the LDS, STS, LDS.L, and STS.L
instructions corresponding to FPUL and FPSCR.
General_fpu_disable_exception()
{
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'00000800;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 152 of 986
(12) Slot FPU Disable Exception
Source: Decoding of an FPU instruction in a delay slot with SR.FD =1
Transition address: VBR + H'0000 0100
Transition operations:
The PC contents for the preceding delayed branch instruction are saved in SPC. The SR and
R15 contents when this exception occurred are saved in SSR and SGR.
Exception code H'820 is set in EXPEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0100.
Slot_fpu_disable_exception()
{
SPC = PC - 2;
SSR = SR;
SGR = R15;
EXPEVT = H'00000820;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 153 of 986
(13) User Breakpoint Trap
Source: Fulfillin g of a break condition set in the user break controller
Transition address: VBR + H'0000 0100, or DBR
Transition operations:
In the case of a post-execution break, the PC contents for the instruction following the
instruction at which the breakpoint is set are set in SPC. In the case of a pre-execution break,
the PC contents for the instruction at which the breakpoint is set are set in SPC.
The SR and R15 contents when the break occurred are saved in SSR and SGR. Exception code
H'1E0 is set in EXPEVT.
The BL, MD, and RB bits are set to 1 in SR, and a branch is made to PC = VBR + H'0100. It is
also possible to branch to PC = DBR.
For details of PC, etc., when a data break is set, see section 20, User Break Controller (UBC).
User_break_exception()
{
SPC = (pre_execution break? PC : PC + 2);
SSR = SR;
SGR = R15;
EXPEVT = H'000001E0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = (BRCR.UBDE==1 ? DBR : VBR + H’00000100);
}
Rev. 6.0, 07/02, page 154 of 986
(14) FPU Exception
Source: Exception due to execution of a floating-point operation
Transition address: VBR + H'0000 0100
Transition operations:
The PC and SR contents for the instruction at which this exception occurred are saved in SPC
and SSR, and the contents of R15 are saved in SGR. Exception code H'120 is set in EXPEVT.
The BL, MD, and RB bits are set to 1 in SR, and a branch is made to PC = VBR + H'0100.
FPU_exception()
{
SPC = PC;
SSR = SR;
SGR = R15;
EXPEVT = H'00000120;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000100;
}
Rev. 6.0, 07/02, page 155 of 986
5.6.3 Interrupts
(1) NMI
Source: NMI pin edge detection
Transition address: VBR + H'0000 0600
Transition operations:
The contents of PC and SR immediately after the instruction at which this interrupt was
accepted are saved in SPC and SSR, and the contents of R15 are saved in SGR.
Exception code H'1C0 is set in INTEVT. The BL, MD, and RB bits are set to 1 in SR, and a
branch is made to PC = VBR + H'0600. When the BL bit in SR is 0, this interrupt is not
masked by the interrupt mask bits in SR, and is accepted at the highest priority level. When the
BL bit in SR is 1, a so f twar e setting can specify whether this interrupt is to be masked or
accepted. For details, see section 19, Interrupt Controller (INTC).
NMI()
{
SPC = PC;
SSR = SR;
SGR = R15;
INTEVT = H'000001C0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000600;
}
Rev. 6.0, 07/02, page 156 of 986
(2) IRL Interrupts
Source: The interrupt mask bit setting in SR is smaller than the IRL (3–0) level, and the BL bit
in SR is 0 (accepted at instruction boundary).
Transition address: VBR + H'0000 0600
Transition operations:
The PC contents immediately after the instruction at which the interrupt is accepted are set in
SPC. The SR and R15 contents at the time of acceptance are set in SSR and SGR.
The code corresponding to th e IRL (3–0) lev el is set in INTEVT. See table 19.5, Interrupt
Exception Handling Sources and Priority Order, for the corresponding codes. The BL, MD,
and RB bits are set to 1 in SR, and a branch is made to VBR + H'0600. The acceptance level is
not set in the interrupt mask bits in SR. Wh en the BL bit in SR is 1, the interrup t is masked.
For details, see section 19, Interrupt Controller (INTC).
IRL()
{
SPC = PC;
SSR = SR;
SGR = R15;
INTEVT = H'00000200 ~ H'000003C0;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000600;
}
Rev. 6.0, 07/02, page 157 of 986
(3) Peripheral Module Interrupts
Source: The interru pt mask bit setting in SR is smaller than the per ipher al m odule (H-UDI,
GPIO, DMAC, TMU, RTC, SCI, SCIF, WDT, or REF) interrupt level, and the BL bit in SR is
0 (accepted at instruction boundary).
Transition address: VBR + H'0000 0600
Transition operations:
The PC contents immediately after the instruction at which the interrupt is accepted are set in
SPC. The SR and R15 contents at the time of acceptance are set in SSR and SGR.
The code corresponding to the interrupt source is set in INTEVT. The BL, MD, and RB bits
are set to 1 in SR, and a branch is made to VBR + H'0600. The module interrupt levels should
be set as values between B'0000 and B'1111 in the interrupt priority registers (IPRA–IPRC) in
the interru pt controller. For details, see section 1 9, Interrupt Co ntroller (INTC).
Module_interruption()
{
SPC = PC;
SSR = SR;
SGR = R15;
INTEVT = H'00000400 ~ H'B80;
SR.MD = 1;
SR.RB = 1;
SR.BL = 1;
PC = VBR + H'00000600;
}
Rev. 6.0, 07/02, page 158 of 986
5.6.4 Priority Order with Multiple Exceptions
With some instructions, such as instructions that make two accesses to memory, and the
indivisible p a ir comprising a delayed bran ch instruction and delay slot in struction, multiple
exceptions occur. Care is required in these cases, as the exception priority order differs from the
normal order.
1. Instructions that make two accesses to memory
With MAC instructions, memo r y-to -memory arithmetic/lo gic instruction s, and TAS
instructions, two data transfer s are performed by a single instr uction, and an exception will be
detected for each of these data transfers. In these cases, therefore, the following order is used
to determine priority.
a. Data address error in fir st da ta tr ansfer
b. TLB miss in f ir st d ata transfer
c. TLB protection violation in first data transfer
d. Initial pa ge write exception in first data tran sf er
e. Data address error in second data transfer
f. TLB miss in second data transfer
g. TLB protection violation in second data transfer
h. Initial pa ge write exception in second data transfer
2. Indivisible delayed branch instruction and delay slot instruction
As a delayed bran ch instruction and its associated delay slot instruction are indivisible, they
are treated as a single instruction. Consequently, the priority order for ex ceptions that occur in
these instructions differs from the usual priority order. The priority order shown below is for
the case where the delay slot instruction has only one data transfer.
a. A check is performed for the interrupt type and reexecution type exceptions of priority
levels 1 and 2 in the delayed branch instruction.
b. A check is performed for th e interrupt type and reexecution type exceptions of priority
levels 1 and 2 in the delay slot instruction.
c. A check is performed for the completion type exception of priority level 2 in the delayed
branch instruction.
d. A check is performed for th e completion type exception of priority level 2 in the delay slot
instruction.
e. A check is performed for priority level 3 in the delayed branch instruction and priority
level 3 in the delay slot instruction. (There is no priority ranking between these two.)
f. A check is performed for priority level 4 in the delayed branch instruction and priority
level 4 in the delay slot instruction. (There is no priority ranking between these two.)
If the delay slot instruction has a second data transfer, two checks are performed in step b, as in
1 above.
Rev. 6.0, 07/02, page 159 of 986
If the accepted exception (the highest-priority exception) is a delay slot instruction re-
execution type exception, the branch instruction PR register write operation (PC PR
operation performed in BSR, BSRF, JSR) is inhibited.
5.7 Usage Notes
1. Return from exception handling
a. Check the BL bit in SR with software. If SPC and SSR have been saved to external
memory, set the BL bit in SR to 1 b efor e restoring them.
b. Issue an RTE instruction. When RTE is executed, the SPC contents are set in PC, the SSR
contents are set in SR, and branch is made to the SPC address to return from the exception
handling routi ne .
2. If an exception or interrupt occurs when SR.BL = 1
a. Exception
When an exception other than a user break occurs, a manual reset is executed. The value in
EXPEVT at this time is H'0000 0020; the value of the SPC and SSR registers is undefined.
b. Interrupt
If an ordinary interrupt occurs, the interrupt request is held pending and is accepted after
the BL bit in SR has been cleared to 0 by software. If a nonmaskable interrupt (NMI)
occurs, it can be held pending or accepted according to the setting made by software. In the
sleep or standby state, however, an interrupt is accepted even if the BL bit in SR is set to 1.
3. SPC when an exception occurs
a. Re-execution type exception
The PC value fo r the instruction in which th e exception occurred is set in SPC, and th e
instruction is re-executed after returning from exception handling. If an exception occurs in
a delay slot instruction, however, the PC value for the delay slot instruction is saved in SPC
regardless of wh ether or not the preceding delay slot instruction condition is satisfied.
b. Completion type exception or interrupt
The PC value fo r the instruction followin g that in which the exception occurred is set in
SPC. If an exception occurs in a branch instructio n with delay slot, however, the PC valu e
for the branch destination is saved in SPC.
4. An exception must n ot be generated in an RTE instruction delay slot, as the op eratio n will be
undefined in this case.
Rev. 6.0, 07/02, page 160 of 986
5.8 Restrictions
1. Restrictions on first instruction of exception handling routine
Do not locate a BT, BF, BT/S, BF/S, BRA, or BSR instruction at address VBR + H'100, VBR
+ H'400, or VBR + H'600.
When the UBDE bit in the BRCR register is set to 1 and the user break debug support
function* is used, do not locate a BT, BF, BT/S, BF/S, BRA, or BSR instruction at the address
indicated by the DBR register.
Note: * See section 20.4, User Break Debug Support Function.
Rev. 6.0, 07/02, page 161 of 986
Section 6 Floating-Point Unit
6.1 Overview
The floating-point unit (FPU) has the following features:
Conforms to IEEE754 standard
32 single-precision floating-point registers (can also be referenced as 16 double-precision
registers)
Two rounding modes: Round to Nearest and Round to Zero
Two denormalization modes: Flush to Zero and Treat Denormalized Number
Six exception sources: FPU Error, Invalid Operation, Divide By Zero, Overflow, Underflow,
and Inexact
Comprehensive instructions: Single-precision, double-precision, graphics support, system
control
When the FD bit in SR is set to 1, the FPU cannot be used, and an attempt to execute an FPU
instruction will cause an FPU disable exception.
6.2 Data Formats
6.2.1 Floating-Point Format
A floating- point number consists of th e f ollowing three fields:
Sign (s)
Exponent (e)
Fraction (f)
The SH7750 Series can handle single-precision and double-precision floating-point nu mbers,
using the formats shown in figures 6.1 and 6.2.
31
se f
30 23 22 0
Figure 6.1 Format of Single-Precision Floating-Point Number
Rev. 6.0, 07/02, page 162 of 986
63
se f
62 52 51 0
Figure 6.2 Format of Double-Precision Floating-Point Number
The exponent is expressed in biased form, as follows:
e = E + bias
The range of unbiased exponent E is E min – 1 to Emax + 1. The t wo val ues Emin – 1 and Emax + 1 are
distinguished as follows. Emin – 1 indicates zero (both positiv e and negative sign) and a
denormalized number, and Emax + 1 indicates positive or negative infinity or a non-number (NaN).
Table 6.1 shows bias, Emin, and Emax values.
Table 6.1 Floating-Point Number Formats and Parameters
Parameter Single-Precision Double-Precision
Total bit width 32 bits 64 bits
Sign bit 1 bit 1 bit
Exponent field 8 bits 11 bits
Fraction field 23 bits 52 bits
Precision 24 bits 53 bits
Bias +127 +1023
Emax +127 +1023
Emin –126 –1022
Floating-point number value v is determined as follows:
If E = Emax + 1 and f 0, v is a non-number (NaN) irrespective of sign s
If E = Emax + 1 and f = 0, v = (–1)s (infinity) [positiv e or negative infinity]
If Emin E Emax , v = (–1)s2E (1.f) [normalized number]
If E = Emin – 1 and f 0, v = (–1)s2Emin (0.f) [denormalized number]
If E = Emin – 1 and f = 0, v = (–1)s0 [positive or negative zero]
Table 6.2 shows the ranges of the various numbers in hexadecimal notation.
Rev. 6.0, 07/02, page 163 of 986
Table 6.2 Floating-Point Ranges
Type Single-Precision Double-Precision
Signaling non-number H'7FFFFFFF to H'7FC00000 H'7FFFFFFF FFFFFFFF to
H'7FF80000 00000000
Quiet non-number H'7FBFFFFF to H'7F800001 H'7FF7FFFF FFFFFFFF to
H'7FF00000 00000001
Positive infinity H'7F800000 H'7FF00000 00000
Positive normalized
number H'7F7FFFFF to H'00800000 H'7FEFFFFF FFFFFFFF to
H'00100000 00000000
Positive denormalized
number H'007FFFFF to H'00000001 H'000FFFFF FFFFFFFF to
H'00000000 00000001
Positive zero H'00000000 H'00000000 00000000
Negative zero H'80000000 H'80000000 00000000
Negative denormalized
number H'80000001 to H'807FFFFF H'80000000 00000001 to
H'800FFFFF FFFFFFFF
Negative normalized
number H'80800000 to H'FF7FFFFF H'80100000 00000000 to
H'FFEFFFFF FFFFFFFF
Negative infinity H'FF800000 H'FFF00000 00000000
Quiet non-number H'FF800001 to H'FFBFFFFF H'FFF00000 00000001 to
H'FFF7FFFF FFFFFFFF
Signaling non-number H'FFC00000 to H'FFFFFFFF H'FFF80000 00000000 to
H'FFFFFFFF FFFFFFFF
6.2.2 Non-Numbers (NaN)
Figure 6.3 shows the bit pattern of a non-number (NaN). A value is NaN in the following case:
Sign bit: Don’t care
Exponent field: All bits are 1
Fraction field: At least o ne bit is 1
The NaN is a signaling NaN (sNaN) if th e MSB of the fraction field is 1, and a quiet NaN (qNaN)
if the MSB is 0.
Rev. 6.0, 07/02, page 164 of 986
31
x 11111111 Nxxxxxxxxxxxxxxxxxxxxxx
30 23 22 0
N = 1: sNaN
N = 0: qNaN
Figure 6.3 Single-Precision NaN Bit Pattern
An sNaN is input in an operation, except copy, FABS, and FNEG, that generates a floating-point
value.
When the EN.V bit in the FPSCR register is 0, the op e r a tion result (output) is a qNaN.
When the EN.V bit in the FPSCR register is 1, an invalid operation exception will be
generated. In this case, the contents of the operation destination register are unchanged.
If a qNaN is input in an operation that generates a floating-point value, and an sNaN has not been
input in that operation, the output will always be a qNaN irrespective of the setting of the EN.V bit
in the FPSCR re gister. An exceptio n will not be generated in this case.
The qNAN values g e n erated by the SH7750 Series as operation results are as follows:
Single-pr ecision qNaN: H'7FBFFFFF
Double-precision qNaN: H'7FF7FFFF FFFFFFFF
See the individual instruction descriptions for details of floating-point operations when a non-
number (NaN) is input.
6.2.3 Denormalized Numbers
For a denormalized nu mber floating-point value, the exponent field is expressed as 0, and the
fraction field as a non-zero value.
When the DN bit in the FPU’s status register FPSCR is 1, a denormalized number (source operand
or operation resu lt) is always flushed to 0 in a floating-point operation that generates a value (an
operation other than copy, FNEG, or FABS).
When the DN bit in FPSCR is 0, a denormalized number (source operand or operation result) is
processed as it is. See the individual instruction descriptions for details of floating-point
operations when a denormalized number is input.
Rev. 6.0, 07/02, page 165 of 986
6.3 Registers
6.3.1 Floating-Point Registers
Figure 6.4 shows the floating-point register configuration. There are thirty-two 32 -bit floating-
point registers, referenced by specifying FR0–FR15, DR0/2/4/6/8/10/12/14, FV0/4/8/12, XF0–
XF15, XD0/2/4/6/8/10/12/14, or XMTRX.
1. Floating -point registers, FPRi_BANKj (32 reg isters)
FPR0_BANK0–FPR15_BANK0
FPR0_BANK1–FPR15_BANK1
2. Single-precision floating-point registers, FRi (16 registers)
When FPSCR.FR = 0, FR0–FR15 indicate FPR0_BANK0–FPR15_BANK0;
when FPSCR.FR = 1, FR0–FR15 indicate FPR0_BANK1–FPR15_BANK1.
3. Double-precision floating-point registers, DRi (8 registers): A DR register comprises two FR
registers
DR0 = {FR0, FR1}, DR2 = {FR2, FR3}, DR4 = {FR4, FR5}, DR6 = {FR6, FR7},
DR8 = {FR8, FR9}, DR10 = {FR10, FR11}, DR12 = {FR12, FR13}, DR14 = {FR14, FR15}
4. Single-precision floating-point vector registers, FVi (4 registers): An FV register comprises
four FR registers
FV0 = {FR0, FR1, FR2, FR3}, FV4 = {FR4, FR5, FR6, FR7},
FV8 = {FR8, FR9, FR10, FR11}, FV12 = {FR12, FR13, FR14, FR15}
5. Single-precision floating-point extended registers, XFi (16 registers)
When FPSCR.FR = 0, XF0–XF15 indicate FPR0_BANK1–FPR15_BANK1;
when FPSCR.FR = 1, XF0–XF15 indicate FPR0_BANK0–FPR15_BANK0.
6. Double-precision floating-point extended registers, XDi (8 registers): An XD register
comp rises two XF registers
XD0 = {XF0, XF1}, XD2 = {XF2, XF3}, XD4 = {XF4, XF5}, XD6 = {XF6, XF7},
XD8 = {XF8, XF9}, XD10 = {XF10, XF11}, XD12 = {XF12, XF13}, XD14 = {XF14, XF15}
7. Single-precision floating-point extended register matrix: XMTRX
XMTRX comprises all 16 XF registers
XMTRX = X F0 XF4 XF8 XF12
XF1 XF5 XF9 XF13
XF2 XF6 XF10 XF14
XF3 XF7 XF11 XF15
Rev. 6.0, 07/02, page 166 of 986
FPR0_BANK0
FPR1_BANK0
FPR2_BANK0
FPR3_BANK0
FPR4_BANK0
FPR5_BANK0
FPR6_BANK0
FPR7_BANK0
FPR8_BANK0
FPR9_BANK0
FPR10_BANK0
FPR11_BANK0
FPR12_BANK0
FPR13_BANK0
FPR14_BANK0
FPR15_BANK0
XF0
XF1
XF2
XF3
XF4
XF5
XF6
XF7
XF8
XF9
XF10
XF11
XF12
XF13
XF14
XF15
FR0
FR1
FR2
FR3
FR4
FR5
FR6
FR7
FR8
FR9
FR10
FR11
FR12
FR13
FR14
FR15
DR0
DR2
DR4
DR6
DR8
DR10
DR12
DR14
FV0
FV4
FV8
FV12
XD0 XMTRX
XD2
XD4
XD6
XD8
XD10
XD12
XD14
FPR0_BANK1
FPR1_BANK1
FPR2_BANK1
FPR3_BANK1
FPR4_BANK1
FPR5_BANK1
FPR6_BANK1
FPR7_BANK1
FPR8_BANK1
FPR9_BANK1
FPR10_BANK1
FPR11_BANK1
FPR12_BANK1
FPR13_BANK1
FPR14_BANK1
FPR15_BANK1
XF0
XF1
XF2
XF3
XF4
XF5
XF6
XF7
XF8
XF9
XF10
XF11
XF12
XF13
XF14
XF15
FR0
FR1
FR2
FR3
FR4
FR5
FR6
FR7
FR8
FR9
FR10
FR11
FR12
FR13
FR14
FR15
DR0
DR2
DR4
DR6
DR8
DR10
DR12
DR14
FV0
FV4
FV8
FV12
XD0XMTRX
XD2
XD4
XD6
XD8
XD10
XD12
XD14
FPSCR.FR = 0 FPSCR.FR = 1
Figure 6.4 Floating-Point Registers
Rev. 6.0, 07/02, page 167 of 986
6.3.2 Floating-Point Status/Control Register (FPSCR)
Floating-point status/control register, FPSCR (32 bits, initial value = H'0004 0001)
31 22 21 20 19 18 17 12 11 7 6 2 1 0
FR SZ PR DN Cause Enable Flag RM
Note: : Reserved. These bits are always read as 0, and should only be written with 0.
FR: Floating-point register bank
FR = 0: FPR0_BANK0–FPR15_BANK0 are assigned to FR0–FR15; FPR0_BANK1–
FPR15_BANK1 are assigned to XF0–XF15.
FR = 1: FPR0_BANK0–FPR15_BANK0 are assigned to XF0–XF15; FPR0_BANK1–
FPR15_BANK1 are assigned to FR0–FR15.
SZ: Transfer size mode
SZ = 0: The data size of the FMOV instruction is 32 bits.
SZ = 1: The data size of the FMOV instruction is a 32-bit register pair (64 bits).
PR: Precision mode
PR = 0: Floating-point instructions are executed as single-precision operations.
PR = 1: Floating-point instructions are executed as double-precision operations (graphics
support instructions are undefined).
Do not set SZ and PR to 1 simultaneously; this setting is r eserved.
[SZ, PR = 11]: Reserved (FPU operation instruction is undefined.)
DN: Denormalization mode
DN = 0: A denormalized number is treated as such.
DN = 1: A denormalized number is treated as zero.
Cause: FPU exception cause field
Enable: FPU exception enable field
Flag: FPU exception flag field
FPU
Error (E) Invalid
Operation (V) Division
by Zero (Z) Overflow
(O) Underflow
(U) Inexact
(I)
Cause FPU exception
cause field Bit 17 Bit 16 Bit 15 Bit 14 Bit 13 Bit 12
Enable F PU exception
enable field None Bit 11 Bit 10 B i t 9 Bit 8 B i t 7
Flag FPU exception
flag field None Bit 6 Bit 5 Bit 4 B it 3 Bit 2
Rev. 6.0, 07/02, page 168 of 986
When an FPU operation instruction is executed, the FPU exception cause field is cleared to
zero first. When the next FPU exception is occured, the corresponding bits in the FPU
exception cause field and FPU exception flag field are set to 1. The FPU exception flag field
holds the status of the exception generated after the field was last cleared.
RM: Rounding mode
RM = 00: Round to Nearest
RM = 01: Round to Zero
RM = 10: Reser ved
RM = 11: Reser ved
Bits 22 to 31: Reserved
These bits are always read as 0, and should only be written with 0.
6.3.3 Floating-Point Communication Register (FPUL)
Information is transferred between the FPU and CPU via the FPUL register. The 32-bit FPUL
register is a system register, and is accessed from the CPU side by means of LDS and STS
instructions. For example, to convert the integer stored in general register R1 to a single-precision
floating-point number, the processing flow is as follows:
R1 (LDS instruction) FPUL (single-precision FLOAT instruction) FR1
6.4 Rounding
In a floating-point instruction, rounding is performed when generating the final operation result
from the interm ediate result. Therefore, the result of comb ination instruction s such as FMAC,
FTRV, and FIPR will differ from th e result when using a basic instruction such as FADD, FSUB,
or FMUL. Rounding is performed once in FMAC, but twice in FADD, FSUB, and FMUL.
There are two rounding methods, the method to be used being determined by the RM field in
FPSCR.
RM = 00: Round to Nearest
RM = 01: Round to Zero
Round to Nearest : The value is rounded to the nearest expressible value. If there are two nearest
expressible values, the one with an LSB of 0 is selected.
If the unrounded value is 2Emax (2 – 2–P) or more, the result will be infinity with th e same sign as the
unrounded value. The values of Emax and P, respectively, are 127 and 24 for single-precision, and
1023 and 53 for double-precision.
Rev. 6.0, 07/02, page 169 of 986
Round to Zero: The digits below the round bit of the unrounded value are discarded.
If the unrounded value is larger than the maximum expressible absolute value, the value will be
the maximum expressible absolute value.
6.5 Floating-Point Exceptions
FPU-related exceptions are as follows:
General illegal in struction/slot illegal instruction exception
The exception occurs if an FPU instruction is executed when SR.FD = 1.
FPU exceptions
The exception sources are as follows:
FPU error (E): When FPSCR.DN = 0 and a denormalized number is input
Invalid operation (V): In case of an invalid operation, such as NaN input
Division by zero (Z): Division with a zero divisor
Overflow (O): When the operation result overflows
Underflow (U): When the operation result underflows
Inexact exception (I): Wh en overflow, underflow, or rounding occurs
The FPSCR cause field contains bits corresponding to all of above sources E, V, Z, O, U, and
I, and the FPSCR flag an d enable fields contain bits corresp onding to sources V, Z, O, U, and
I, but not E. Thus, FPU errors cannot be disabled.
When an exception source occurs, the corresponding bit in the cause field is set to 1, and 1 is
added to the corresponding bit in the flag field. When an exception source does not occur, the
corresponding bit in the cause field is cleared to 0, but the corresponding bit in the flag field
remains unchanged.
Enable/disable exception handling
The SH7750 Series supports enable exception handling and disable exception handling.
Enable exception h and ling is initiated in th e following cases:
FPU error (E): FPSCR.DN = 0 and a denormalized number is input
Invalid operation (V): FPSCR.EN.V = 1 and (instruction = FTRV or invalid operation)
Division by zero (Z): FPSCR.EN.Z = 1 and division with a zero divisor
Overflow ( O ) : FPSCR.EN.O = 1 and instruction with possibility of operation result
overflow
Underf low (U): FPSCR.EN.U = 1 an d instruction with possibility of operation result
underflow
Inexact exception (I): FPSCR.EN.I = 1 and instru ction with possibility o f inexact operation
result
Rev. 6.0, 07/02, page 170 of 986
These possibilities are shown in the individual instr uction descriptions. All exception events
that originate in the FPU are assigned as the same exception event. The meaning of an
exception is determined by so ftware by reading system register FPSCR and interpreting the
information it contains. If no bits are set in the cause field of FPSCR when one or more of bits
O, U, I, and V (in case of FTRV only) are set in the enable field, this indicates that an actual
exception source is not generated. Also, the destination register is not changed by any enable
exception handling operation.
Except for the above, th e FPU disables exception handling. In all processing, the bit
corresponding to source V, Z, O, U, or I is set to 1, and disable exception handling is provided
for each exception.
Invalid operation (V): qNAN is gen erated as the result.
Division by zero (Z): Infinity with the same sign as the unrounded value is generated.
Overflow (O):
When rounding mode = RZ, the maximum normalized number, with the same sign as the
unrounded value, is generated.
When rounding mode = RN, infinity with the same sign as the unrounded value is
generated.
Underflow (U):
When FPSCR.DN = 0, a denormalized number with the same sig n as the unrounded value,
or zero with the same sign as the unrounded value, is generated.
When FPSCR.DN = 1, zero with the same sign as the unrounded value, is generated.
Inexact exception (I): An inex act result is generated.
6.6 Graphics Support Functions
The SH7750 Series supports two kinds of graphics functions: new instructions for geometric
operations, and pair single-precision transfer instructions that enable high-speed data transfer.
6.6.1 Geometric Operation Instructions
Geometric operation instructions perform approximate-value computations. To enable high-speed
computation with a minimum of hardware, the SH7750 Series ignores comparatively small values
in the partial computation results of f our multiplications. Conseq uently, the error sho w n below is
produced in the result of the computation:
Maximum error =MAX (individual multiplication result ×
2MIN (number of multiplier significant digits1, num ber of multiplicand signif icant digits1)) + MAX (result v a lue × 223, 2149)
The number of significant digits is 24 for a normalized number and 23 for a denormalized number
(number of leading zeros in the fractional part).
Rev. 6.0, 07/02, page 171 of 986
In future version of SH series, the above error is guaranteed, but the same result as SH7750 is no t
guaranteed.
FIPR FVm, FVn (m, n: 0, 4, 8, 12): This instruction is basically used for the follo wing p urposes:
Inner product (m n):
This operation is generally used for surface/rear surface determination for polygon surfaces.
Sum of square of elements (m = n):
This operation is generally used to find the length of a vector.
Since approximate-value computations are performed to enable high-speed computation, the
inexact exception (I) bit in the cause field and flag field is always set to 1 when an FIPR
instruction is executed. Therefore, if th e corresponding bit is set in the enable field, enable
exception h andling will be executed.
FTRV XMTRX, FVn (n: 0, 4, 8, 12): This instruction is basically used for th e follo wing
purposes:
Matrix (4 × 4) vector (4):
This operation is generally used for viewpoint changes, angle changes, or movements called
vector transformations (4-dimensional). Since affine transformation processing fo r angle +
parallel movement basically requires a 4 × 4 matrix, the SH7750 Series supports 4-dimensional
operations.
Matrix (4 × 4) × matrix (4 × 4):
This operation requires the execution of four FTRV instructions.
Since approximate-value computations are performed to enable high-speed computation, the
inexact exception (I) bit in the cause field and flag field is always set to 1 when an FTRV
instruction is executed. Therefore, if th e corresponding bit is set in the enable field, enable
exception h and lin g will be executed. For the same reason, it is not possible to check all data types
in the register s b e f orehand when executing an FTRV instr uction. If the V bit is set in the enable
field, enable exception handling will be executed.
FRCHG: This instruction modifies banked registers. For example, when the FTRV instruction is
executed, matrix elements must be set in an array in the background bank. However, to create the
actual elements of a translation matr ix, it is easier to use registers in the foreground bank. When
the LDC instruction is used on FPSCR, this instruction expends 4 to 5 cycles in order to maintain
the FPU state. With th e FRCHG instruction, an FPSCR.FR bit modification can be performed in
one cycle.
Rev. 6.0, 07/02, page 172 of 986
6.6.2 Pair Single-Precision Data Transfer
In addition to the powerful new geometric operation instructions, the SH7750 Series also supports
high-speed data transfer instructions.
When FPSCR.SZ = 1, the SH7750 Series can perform data transfer by means of pair single-
precision data transfer instructions.
FMOV DRm/XDm, DRn/XDRn (m, n: 0, 2, 4, 6, 8, 10, 12, 14)
FMOV DRm/XDm, @Rn (m: 0, 2, 4, 6, 8, 10, 12, 14; n: 0 to 15)
These instructions enable two single-precision (2 × 32-bit) data items to be transferred ; that is, the
transfer performance of these instructions is doubled.
FSCHG
This instru ction changes th e value of the SZ bit in FPSCR, enabling fast switching between
use and non-use of pair single-precision data transfer.
Programming Note:
When FPSCR.SZ = 1 an d big-endian mode is used, FMOV can be used for a double-precision
floating-point load or store. In little-endian mode, a double-precision floating-point load or store
requires execution of two 32-bit data size operations with FPSCR.SZ = 0.
Rev. 6.0, 07/02, page 173 of 986
Section 7 Instruction Set
7.1 Execution Environment
PC: At the start of in str uction execution, PC ind icates the address of the instruction itself.
Data sizes and data types: The SH7750 Series’ instruction set is implemented with 16-bit fixed-
length instructions. The SH7750 Series can use byte (8-bit), word (16-bit), longword (32-bit), and
quadword (64-bit) data sizes for memory access. Single-precision floating-point data (32 bits) can
be moved to and from memory using longword or quadword size. Double-precision floating-point
data (64 bits) can be moved to and from memory using long word size. When a double-precision
floating-point operation is specified (FPSCR.PR = 1), the result of an operation using quadword
access will be undefined. When the SH7750 Series moves byte-size or word-size data from
memory to a reg ister, the data is sign-extended.
Load-Store Architecture: The SH7750 Series features a load-store architecture in which
operations are basically executed using registers. Except for bit-manipulation operations such as
logical AND that are executed directly in memory, operands in an operation that requires memory
access are loaded into registers and the operation is executed between the registers.
Delayed Branches: Except for the two branch instructions BF and BT, the SH7750 Series’ branch
instructions and RTE are delayed branches. In a delayed branch, the instruction following the
branch is executed before the branch destination instruction. This execution slot following a
delayed branch is called a delay slot. For example, the BRA execution sequence is as follows:
Static Sequence Dynamic Sequence
BRA TARGET BRA TARGET
ADD R1, R0
next_2 ADD R1, R0
target_instr ADD in delay slot is executed before
branching to TARGET
Delay Slot: An illegal instru ction ex ception may occur when a specific instruction is executed in a
delay slot. See section 5, Exceptions. The instruction following BF/S or BT/S for which the
branch is not taken is also a delay slot instruction.
T Bit: The T bit in the status register (SR) is used to show the result of a compare operation, and
is referenced by a conditional branch instruction. An example of the use of a conditional bran ch
instruction is shown below.
ADD #1, R0 ; T bit is n ot changed by ADD operation
CMP/EQ R1, R0 ; If R0 = R1, T bit is set to 1
BT TARGET ; Branches to TARGET if T bit = 1 (R0 = R1)
Rev. 6.0, 07/02, page 174 of 986
In an RTE delay slot, status register (SR) bits are referenced as follows. In instruction access, the
MD bit is used before modification, and in data access, the MD bit is accessed after modification.
The other bits—S, T, M, Q, FD, BL, and RB—after modification are used for delay slot
instruction execution. The STC and STC.L SR instructions access all SR bits after modification.
Constant Values: An 8-bit constant value can be specified by the instruction code and an
immediate valu e. 16- bit and 32-bit constant values can be de f ined as literal constant values in
memory, and can be referenced by a PC-relative load instruction.
MOV.W @(disp, PC), Rn
MOV.L @(disp, PC), Rn
There are no PC-relative load instructions for floating-point oper ations. However, it is possible to
set 0.0 or 1.0 by using the FLDI0 or FLDI1 instruction on a single-precision floating-point
register.
Rev. 6.0, 07/02, page 175 of 986
7.2 Addressing Modes
Addressing modes and effective address calculation methods are shown in table 7.1. When a
location in virtual memory space is accessed (MMUCR.AT = 1), the effective address is translated
into a physical memory address. If multiple virtu al memory space systems are selected
(MMUCR.SV = 0), the least significant bit of PTEH is also referenced as the access ASID. See
section 3, Memory Management Unit (MMU).
Table 7.1 Addressing Modes and Effective Addresses
Addressing
Mode Instruction
Format Effective Address Calculation Method Calculation
Formula
Register
direct Rn Effective address is register Rn.
(Operand is register Rn contents.)
Register
indirect @Rn Effective address is register Rn contents.
Rn Rn
Rn EA
(EA: effective
address)
Register
indirect
with post-
increment
@Rn+ Effective address is register Rn contents.
A constant is added to Rn after instruction
execution: 1 for a byte operand, 2 for a word
operand, 4 for a longword operand, 8 for a
quadword operand.
Rn Rn
1/2/4/8
+
Rn + 1/2/4/8
Rn EA
After
instruction
execution
Byte:
Rn + 1 Rn
Word:
Rn + 2 Rn
Longword:
Rn + 4 Rn
Quadword:
Rn + 8 Rn
Register
indirect
with pre-
decrement
@–Rn Effective address is register Rn contents,
decremented by a cons tant befo reha nd:
1 for a byte operand, 2 for a word operand,
4 for a longword operand, 8 for a quadword
operand.
Rn
1/2/4/8
Rn – 1/2/4/8
Rn – 1/2/4/8
Byte:
Rn – 1 Rn
Word:
Rn – 2 Rn
Longword:
Rn – 4 Rn
Quadword:
Rn – 8 Rn
Rn EA
(Instruction
executed
with Rn after
calculation)
Rev. 6.0, 07/02, page 176 of 986
Table 7.1 Addres sing Modes and Effective Addresses (cont )
Addressing
Mode Instruction
Format Effective Address Calculation Method Calculation
Formula
Register
indirect wi th
displacement
@(disp:4, Rn) Effective address is register Rn contents with
4-bit displacement disp added. After disp is
zero-extended, it is multiplied by 1 (byte), 2 (word),
or 4 (longword), according to the operand size.
Rn
Rn + disp × 1/2/4
+
×
1/2/4
disp
(zero-extended)
Byte: Rn +
disp EA
Word: Rn +
disp × 2 EA
Longword:
Rn + disp × 4
EA
Indexed
register
indirect
@(R0, Rn) Effective address is sum of register Rn and R0
contents.
Rn
R0
Rn + R0
+
Rn + R0 EA
GBR indirect
with
displacement
@(disp:8,
GBR) Effective address is register GBR contents with
8-bit displacement disp added. After disp is
zero-extended, it is multiplied by 1 (byte), 2 (word),
or 4 (longword), according to the operand size.
GBR
1/2/4
GBR
+ disp × 1/2/4
+
×
disp
(zero-extended)
Byte: GBR +
disp EA
Word: GBR +
disp × 2 EA
Longword:
GBR + disp ×
4 EA
Indexed
GBR indirect @(R0, GBR) Effective address is sum of register GBR and R0
contents.
GBR
R0
GBR + R0
+
GBR + R0
EA
Rev. 6.0, 07/02, page 177 of 986
Table 7.1 Addres sing Modes and Effective Addresses (cont )
Addressing
Mode Instruction
Format Effective Address Calculation Method Calculation
Formula
PC-relative
with
displacement
@(disp:8, PC) Effective address is PC+4 with 8-bit displacement
disp added. After disp is zero-extended, it is
multiplied by 2 (word), or 4 (longword), according
to the operand size. With a longword operand,
the lower 2 bits of PC are masked.
PC
H'FFFFFFFC
PC + 4 + disp
× 2
or PC &
H'FFFFFFFC
+ 4 + disp × 4
+
4
2/4
×
+
&*
disp
(zero-extended)
* With longword operand
Word: PC + 4
+ disp × 2
EA
Longword:
PC &
H'FFFFFFFC
+ 4 + disp × 4
EA
PC-relative disp:8 Effective address is PC+4 with 8-bit displacement
disp added after being sign-extended and
multiplied by 2.
2
+
×
disp
(sign-extended)
4
+
PC
PC + 4 + disp × 2
PC + 4 + disp
× 2 Branch-
Target
Rev. 6.0, 07/02, page 178 of 986
Table 7.1 Addres sing Modes and Effective Addresses (cont )
Addressing
Mode Instruction
Format Effective Address Calculation Method Calculation
Formula
PC-relative disp:12 Effective address is PC+4 with 12-bit displacement
disp added after being sign-extended and
multiplied by 2.
2
+
×
disp
(sign-extended)
4
+
PC
PC + 4 + disp × 2
PC + 4 + disp
× 2 Branch-
Target
Rn Effective address is sum of PC+4 and Rn.
PC
4
Rn
+
+PC + 4 + Rn
PC + 4 + Rn
Branch-
Target
Immediate #imm:8 8-bit immediate data imm of TST, AND, OR, or
XOR instruction is zero-extended.
#imm:8 8-bit immediate data imm of MOV, ADD, or
CMP/EQ instruction is sign-extended.
#imm:8 8-bit immediate data imm of TRAPA instruction is
zero-extended and multiplied by 4.
Note: For the addressing modes below that use a displacement (disp), the assembler descriptions
in this manual show the value before scaling (×1, ×2, or ×4) is performed according to the
operand size. This is done to clarify the operation of the chip. Refer to the relevant
assembler notation rules for the actual assembler descriptions.
@ (disp:4, Rn) ; Register indirect with displacement
@ (disp:8, GBR) ; GBR indirect with displacement
@ (disp:8, PC) ; PC-relative with displacement
disp:8, disp:12 ; PC-relative
Rev. 6.0, 07/02, page 179 of 986
7.3 Instruction Set
Table 7.2 shows the notation used in the following SH instruction list.
Table 7.2 Notation Used in Instruction List
Item Format Description
Instruction
mnemonic OP.Sz SRC, DEST OP: Operation code
Sz: Size
SRC: Source
DEST: Source and/or destination operand
Summary of
operation , : Transfer direction
(xx): Memory operand
M/Q/T: SR flag bits
&: Logical AND of individual bits
|: Logical OR of individual bits
: Logical exclusive-OR of individual bits
~: Logical NOT of individual bits
<<n, >>n:n-bit shift
Instructi on cod e MSB LSB mmmm: Register number (Rm, FRm)
nnnn: Register number (Rn, FRn)
0000: R0, FR0
0001: R1, FR1
:
1111: R15, FR15
mmm: Register number (DRm, XDm, Rm_B ANK)
nnn: Register number (DRm, XDm, Rn_BANK)
000: DR0, XD0, R0_BANK
001: DR2, XD2, R1_BANK
:
111: DR14, XD14, R7_BANK
mm: Register number (FVm)
nn: Register number (FVn)
00: FV0
01: FV4
10: FV8
11: FV12
iiii: Immediate data
dddd: Displacement
Privileged mode “Privileged” means the instruction can only be executed
in privileged mode.
T bit Value of T bit after
instruct ion exe cution —: No change
Note: Scaling (×1, ×2, ×4, or ×8) is executed according to the size of the instruction operand(s).
Rev. 6.0, 07/02, page 180 of 986
Table 7.3 Fixed-Point Transfer Instructions
Instruction Operation Instruction Code P ri vileged T Bit
MOV #imm,Rn imm sign extension Rn 1110nnnniiiiiiii ——
MOV.W @(disp,PC),Rn (disp × 2 + PC + 4) sign
extension Rn 1001nnnndddddddd ——
MOV.L @(disp,PC),Rn (disp × 4 + PC & H'FFFFFFFC
+ 4) Rn 1101nnnndddddddd ——
MOV Rm,Rn Rm Rn 0110nnnnmmmm0011 ——
MOV.B Rm,@Rn Rm (Rn) 0010nnnnmmmm0000 ——
MOV.W Rm,@Rn Rm (Rn) 0010nnnnmmmm0001 ——
MOV.L Rm,@Rn Rm (Rn) 0010nnnnmmmm0010 ——
MOV.B @Rm,Rn (Rm) sign extension Rn 0110nnnnmmmm0000 ——
MOV.W @Rm,Rn (Rm) sign extension Rn 0110nnnnmmmm0001 ——
MOV.L @Rm,Rn (Rm) Rn 0110nnnnmmmm0010 ——
MOV.B Rm,@-Rn Rn-1 Rn, Rm (Rn) 0010nnnnmmmm0100 ——
MOV.W Rm,@-Rn Rn-2 Rn, Rm (Rn) 0010nnnnmmmm0101 ——
MOV.L Rm,@-Rn Rn-4 Rn, Rm (Rn) 0010nnnnmmmm0110 ——
MOV.B @Rm+,Rn (Rm) si gn extension Rn,
Rm + 1 Rm 0110nnnnmmmm0100 ——
MOV.W @Rm+,Rn (Rm) sign extension Rn,
Rm + 2 Rm 0110nnnnmmmm0101 ——
MOV.L @Rm+,Rn (Rm) Rn, Rm + 4 Rm 0110nnnnmmmm0110 ——
MOV.B R0,@(disp,Rn) R0 (disp + Rn) 10000000nnnndddd ——
MOV.W R0,@(disp,Rn) R0 (disp × 2 + Rn) 10000001nnnndddd ——
MOV.L Rm,@(disp,Rn) Rm (disp × 4 + Rn) 0001nnnnmmmmdddd ——
MOV.B @(dis p,Rm),R0 (disp + Rm) si gn ext ens ion
R0 10000100mmmmdddd ——
MOV.W @(disp,Rm),R0 (disp × 2 + Rm) sign
extension R0 10000101mmmmdddd ——
MOV.L @(disp,Rm),Rn (disp × 4 + Rm) Rn 0101nnnnmmmmdddd ——
MOV.B Rm,@(R0,Rn) Rm (R0 + Rn) 0000nnnnmmmm0100 ——
MOV.W Rm,@(R0,Rn) Rm (R0 + Rn) 0000nnnnmmmm0101 ——
MOV.L Rm,@(R0,Rn) Rm (R0 + Rn) 0000nnnnmmmm0110 ——
MOV.B @(R0,Rm ),Rn (R0 + Rm) sign extension
Rn 0000nnnnmmmm1100 ——
MOV.W @(R0,Rm), Rn (R0 + Rm) sign extension
Rn 0000nnnnmmmm1101 ——
MOV.L @(R0,Rm), Rn (R0 + Rm) Rn 0000nnnnmmmm1110 ——
Rev. 6.0, 07/02, page 181 of 986
Table 7.3 Fixed-Point Transfer Instructions (cont)
Instruction Operation Instruction Code P ri vileged T Bit
MOV.B R0,@(disp,GBR) R0 (disp + GBR) 11000000dddddddd ——
MOV.W R0,@(disp,GBR) R0 (disp × 2 + GBR) 11000001dddddddd ——
MOV.L R0,@(disp,GBR) R0 (disp × 4 + GBR) 11000010dddddddd ——
MOV.B @(disp,GBR),R0 (disp + GBR)
sign extension R0 11000100dddddddd ——
MOV.W @(disp,GBR),R0 (disp × 2 + GBR)
sign extension R0 11000101dddddddd ——
MOV.L @(disp,GBR),R0 (disp × 4 + GBR) R0 11000110dddddddd ——
MOVA @(disp,PC),R0 disp × 4 + PC & H'FFFFFFFC
+ 4 R0 11000111dddddddd ——
MOVT Rn T Rn 0000nnnn00101001 ——
SWAP.B Rm,Rn Rm swap lower 2 bytes
Rn 0110nnnnmmmm1000 ——
SWAP.W Rm,Rn Rm swap upper/lower
words Rn 0110nnnnmmmm1001 ——
XTRCT Rm,Rn Rm: Rn middl e 32 bits Rn 0010nnnnmmmm1101 ——
Rev. 6.0, 07/02, page 182 of 986
Table 7.4 Arithmetic Operation Instructions
Instruction Operation Instruction Code Pri vileged T Bit
ADD Rm,Rn Rn + Rm Rn 0011nnnnmmmm1100 ——
ADD #imm,Rn Rn + imm Rn 0111nnnniiiiiiii ——
ADDC Rm,Rn Rn + Rm + T Rn, carry T 0011nnnnmmmm1110 Carry
ADDV Rm,Rn Rn + Rm Rn, overflow T 0011nnnnmmmm1111 —Overflow
CMP/EQ #i m m, R0 When R0 = imm, 1 T
Otherwise, 0 T 10001000iiiiiiii Comparison
result
CMP/EQ Rm,Rn When Rn = Rm, 1 T
Otherwise, 0 T 0011nnnnmmmm0000 Comparison
result
CMP/HS Rm,Rn When Rn Rm (unsigned),
1 T
Otherwise, 0 T
0011nnnnmmmm0010 Comparison
result
CMP/GE Rm,Rn When Rn Rm (signed), 1 T
Otherwise, 0 T 0011nnnnmmmm0011 Comparison
result
CMP/HI Rm, Rn When Rn > Rm (unsigned),
1 T
Otherwise, 0 T
0011nnnnmmmm0110 Comparison
result
CMP/GT Rm,Rn When Rn > Rm (signed), 1 T
Otherwise, 0 T 0011nnnnmmmm0111 Comparison
result
CMP/PZ Rn When Rn 0, 1 T
Otherwise, 0 T 0100nnnn00010001 Comparison
result
CMP/PL Rn When Rn > 0, 1 T
Otherwise, 0 T 0100nnnn00010101 Comparison
result
CMP/STR Rm,Rn When any byt es are equal,
1 T
Otherwise, 0 T
0010nnnnmmmm1100 Comparison
result
DIV1 Rm,Rn 1-s tep division (Rn ÷ Rm) 0011nnnnmmmm0100 Calculation
result
DIV0S Rm ,Rn MSB of Rn Q,
MSB of Rm M, M^Q T 0010nnnnmmmm0111 Calculation
result
DIV0U 0 M/Q /T 0000000000011001 —0
DMULS.L Rm,Rn Signed, Rn × Rm MAC,
32 × 32 64 bits 0011nnnnmmmm1101 ——
DMULU.L Rm, Rn Unsigned, Rn × Rm MAC,
32 × 32 64 bits 0011nnnnmmmm0101 ——
DT Rn Rn – 1 Rn; when Rn = 0,
1 T
When Rn 0, 0 T
0100nnnn00010000 Comparison
result
EXTS.B Rm ,Rn Rm sign-extended from
byte Rn 0110nnnnmmmm1110 ——
Rev. 6.0, 07/02, page 183 of 986
Table 7.4 Arithmetic Operation Instructions (cont)
Instruction Operation Instruction Code Pri vileged T Bit
EXTS.W Rm, Rn Rm sign-ex tended from
word Rn 0110nnnnmmmm1111 ——
EXTU.B Rm,Rn Rm zero-ext ended from
byte Rn 0110nnnnmmmm1100 ——
EXTU.W Rm,Rn Rm zero-extended from
word Rn 0110nnnnmmmm1101 ——
MAC.L @Rm+,@Rn+ Signed, (Rn) × (Rm) + MAC
MAC
Rn + 4 Rn, Rm + 4 Rm
32 × 32 + 64 64 bits
0000nnnnmmmm1111 ——
MAC.W @ Rm +,@Rn+ Signed, (Rn) × (Rm) + MAC
MAC
Rn + 2 Rn, Rm + 2 Rm
16 × 16 + 64 64 bits
0100nnnnmmmm1111 ——
MUL.L Rm,Rn Rn × Rm MACL
32 × 32 32 bits 0000nnnnmmmm0111 ——
MULS.W Rm,Rn Signed, Rn × Rm MACL
16 × 16 32 bits 0010nnnnmmmm1111 ——
MULU.W Rm, Rn Unsigned, Rn × Rm MACL
16 × 16 32 bits 0010nnnnmmmm1110 ——
NEG Rm,Rn 0 – Rm Rn 0110nnnnmmmm1011 ——
NEGC Rm,Rn 0 – Rm – T Rn, borrow T 0110nnnnmmmm1010 Borrow
SUB Rm, Rn Rn – Rm Rn 0011nnnnmmmm1000 ——
SUBC Rm,Rn Rn – Rm – T Rn, borrow T 0011nnnnmmmm1010 Borrow
SUBV Rm,Rn Rn – Rm Rn, underflow T 0011nnnnmmmm1011 Underflow
Rev. 6.0, 07/02, page 184 of 986
Table 7.5 Logic Operation Instructions
Instruction Operation Instruction Code Privileged T Bit
AND Rm,Rn Rn & Rm Rn 0010nnnnmmmm1001 ——
AND #imm,R0 R0 & imm R0 11001001iiiiiiii ——
AND.B #imm, @ (R0, GBR) (R0 + GBR) & imm (R0 +
GBR) 11001101iiiiiiii ——
NOT Rm,Rn ~Rm Rn 0110nnnnmmmm0111 ——
OR Rm,Rn Rn | Rm Rn 0010nnnnmmmm1011 ——
OR #imm,R0 R0 | imm R0 11001011iiiiiiii ——
OR.B #imm,@(R0, GBR) (R0 + GBR) | imm (R0 +
GBR) 11001111iiiiiiii
TAS.B @ Rn When (Rn) = 0, 1 T
Otherwise, 0 T
In both cases, 1 MSB of (Rn)
0100nnnn00011011 —Test result
TST Rm,Rn Rn & Rm; when result = 0,
1 T
Otherwise, 0 T
0010nnnnmmmm1000 —Test result
TST #imm,R0 R0 & imm; when result = 0,
1 T
Otherwise, 0 T
11001000iiiiiiii —Test result
TST.B #imm,@(R0,GBR) (R0 + GBR) & imm; when result
= 0, 1 T
Otherwise, 0 T
11001100iiiiiiii —Test result
XOR Rm,Rn Rn Rm Rn 0010nnnnmmmm1010 ——
XOR #imm,R0 R0 imm R0 11001010iiiiiiii ——
XOR.B #imm,@(R0,GBR) (R0 + GBR) imm (R0 +
GBR) 11001110iiiiiiii ——
Rev. 6.0, 07/02, page 185 of 986
Table 7.6 Shift Instructions
Instruction Operation Instruction Code Pri vileged T Bit
ROTL Rn T Rn MS B 0100nnnn00000100 —MSB
ROTR Rn LSB Rn T 0100nnnn00000101 —LSB
ROTCL Rn T Rn T 0100nnnn00100100 —MSB
ROTCR Rn T Rn T 0100nnnn00100101 —LSB
SHAD Rm,Rn When Rn 0, Rn << Rm Rn
When Rn < 0, Rn >> Rm
[MSB Rn]
0100nnnnmmmm1100 ——
SHAL Rn T Rn 0 0100nnnn00100000 —MSB
SHAR Rn MSB Rn T 0100nnnn00100001 —LSB
SHLD Rm, Rn When Rn 0, Rn << Rm Rn
When Rn < 0, Rn >> Rm
[0 Rn]
0100nnnnmmmm1101 ——
SHLL Rn T Rn 0 0100nnnn00000000 —MSB
SHLR Rn 0 Rn T 0100nnnn00000001 —LSB
SHLL2 Rn Rn << 2 Rn 0100nnnn00001000 ——
SHLR2 R n Rn >> 2 Rn 0100nnnn00001001 ——
SHLL8 Rn Rn << 8 Rn 0100nnnn00011000 ——
SHLR8 R n Rn >> 8 Rn 0100nnnn00011001 ——
SHLL16 Rn Rn << 16 Rn 0100nnnn00101000 ——
SHLR16 Rn Rn >> 16 Rn 0100nnnn00101001 ——
Rev. 6.0, 07/02, page 186 of 986
Table 7.7 Branch Instructions
Instruction Operation Instruction Code Pri vileged T Bit
BF label When T = 0, disp × 2 + PC +
4 PC
When T = 1, nop
10001011dddddddd ——
BF/S label Delayed branch; when T = 0,
disp × 2 + PC + 4 PC
When T = 1, nop
10001111dddddddd ——
BT label When T = 1, disp × 2 + PC +
4 PC
When T = 0, nop
10001001dddddddd ——
BT/S label Delayed branch; when T = 1,
disp × 2 + PC + 4 PC
When T = 0, nop
10001101dddddddd ——
BRA label Delayed branch, disp × 2 +
PC + 4 PC 1010dddddddddddd ——
BRAF Rn Delayed branch, Rn + PC +
4 PC 0000nnnn00100011 ——
BSR label Delayed branch, PC + 4 PR ,
disp × 2 + PC + 4 PC 1011dddddddddddd ——
BSRF Rn Delay ed branch, PC + 4 PR,
Rn + PC + 4 PC 0000nnnn00000011 ——
JMP @Rn Delayed branch, Rn PC 0100nnnn00101011 ——
JSR @Rn Delayed branch, PC + 4 PR ,
Rn PC 0100nnnn00001011 ——
RTS Delayed branc h, PR PC 0000000000001011 ——
Rev. 6.0, 07/02, page 187 of 986
Table 7.8 System Control Instructions
Instruction Operation Instruction Code P ri vileged T Bit
CLRMAC 0 MACH, MACL 0000000000101000 ——
CLRS 0 S 0000000001001000 ——
CLRT 0 T 0000000000001000 —0
LDC Rm,SR Rm SR 0100mmmm00001110 Privileged LSB
LDC Rm,GBR Rm GBR 0100mmmm00011110 ——
LDC Rm,VBR Rm VBR 0100mmmm00101110 Privileged
LDC Rm,SSR Rm SSR 0100mmmm00111110 Privileged
LDC Rm,SPC Rm SPC 0100mmmm01001110 Privileged
LDC Rm,DBR Rm DBR 0100mmmm11111010 Privileged
LDC Rm,Rn_BANK Rm Rn_BANK (n = 0 to 7) 0100mmmm1nnn1110 Privileged
LDC.L @Rm+,SR (Rm) SR, Rm + 4 Rm 0100mmmm00000111 Privileged LSB
LDC.L @Rm+,GBR (Rm) GBR, Rm + 4 Rm 0100mmmm00010111 ——
LDC.L @Rm+,VBR (Rm) VBR, Rm + 4 Rm 0100mmmm00100111 Privileged
LDC.L @Rm+,SSR (Rm) SSR, Rm + 4 Rm 0100mmmm00110111 Privileged
LDC.L @Rm+,SPC (Rm) SPC, Rm + 4 Rm 0100mmmm01000111 Privileged
LDC.L @Rm+,DBR (Rm) DBR, Rm + 4 Rm 0100mmmm11110110 Privileged
LDC.L @Rm+,Rn_BANK (Rm) Rn_BANK,
Rm + 4 Rm 0100mmmm1nnn0111 Privileged
LDS Rm,MACH Rm MACH 0100mmmm00001010 ——
LDS Rm,MACL Rm MACL 0100mmmm00011010 ——
LDS Rm,PR Rm PR 0100mmmm00101010 ——
LDS.L @Rm+,MACH (Rm) MACH, Rm + 4 Rm 0100mmmm00000110 ——
LDS.L @Rm+,MACL (Rm) MACL, Rm + 4 Rm 0100mmmm00010110 ——
LDS.L @Rm+,PR (Rm) PR, Rm + 4 Rm 0100mmmm00100110 ——
LDTLB PTEH/PTEL TLB 0000000000111000 Privileged
MOVCA.L R0,@Rn R0 (Rn) (without fetching
cache block) 0000nnnn11000011 ——
NOP No operation 0000000000001001 ——
OCBI @Rn Invalidates operand cache block 0000nnnn10010011 ——
OCBP @Rn Writes back and invalidates
operand cache block 0000nnnn10100011 ——
OCBWB @Rn Writ es back operand cache
block 0000nnnn10110011 ——
PREF @Rn (Rn) operand cache 0000nnnn10000011 ——
RTE Delayed branch, SSR/SP C
SR/PC 0000000000101011 Privileged
Rev. 6.0, 07/02, page 188 of 986
Table 7.8 System Control Instructions (cont)
Instruction Operation Instruction Code P ri vileged T Bit
SETS 1 S 0000000001011000 ——
SETT 1 T 0000000000011000 —1
SLEEP Sleep or standby 0000000000011011 Privileged
STC SR,Rn SR Rn 0000nnnn00000010 Privileged
STC GBR,Rn GBR Rn 0000nnnn00010010 ——
STC VBR,Rn VBR Rn 0000nnnn00100010 Privileged
STC SSR,Rn SSR Rn 0000nnnn00110010 Privileged
STC SPC,Rn SPC Rn 0000nnnn01000010 Privileged
STC SGR,Rn SGR Rn 0000nnnn00111010 Privileged
STC DBR,Rn DBR Rn 0000nnnn11111010 Privileged
STC Rm_BANK,Rn Rm_BANK Rn (m = 0 to 7) 0000nnnn1mmm0010 Privileged
STC.L S R,@-Rn Rn – 4 Rn, SR (Rn) 0100nnnn00000011 Privileged
STC.L GB R,@-Rn Rn – 4 Rn, GBR (Rn) 0100nnnn00010011 ——
STC.L V B R,@-Rn Rn – 4 Rn, VBR (Rn) 0100nnnn00100011 Privileged
STC.L S S R,@-Rn Rn – 4 Rn, SSR (Rn) 0100nnnn00110011 Privileged
STC.L S P C,@-Rn Rn – 4 Rn, SPC (Rn) 0100nnnn01000011 Privileged
STC.L S GR,@-Rn Rn – 4 Rn, SGR (Rn) 0100nnnn00110010 Privileged
STC.L DB R, @ -Rn Rn – 4 Rn, DBR (Rn) 0100nnnn11110010 Privileged
STC.L Rm_BANK,@-Rn Rn – 4 Rn,
Rm_BANK (Rn) (m = 0 to 7) 0100nnnn1mmm0011 Privileged
STS MACH,Rn MACH Rn 0000nnnn00001010 ——
STS MACL,Rn MACL Rn 0000nnnn00011010 ——
STS PR,Rn PR Rn 0000nnnn00101010 ——
STS.L MACH,@-Rn Rn – 4 Rn, MACH (Rn) 0100nnnn00000010 ——
STS.L MACL,@-Rn Rn – 4 Rn, MACL (Rn) 0100nnnn00010010 ——
STS.L PR, @ -Rn Rn – 4 Rn, PR (Rn) 0100nnnn00100010 ——
TRAPA #imm PC + 2 SPC, SR SSR,
#imm << 2 TRA,
H'160 EXPEVT,
VBR + H'0100 PC
11000011iiiiiiii ——
Rev. 6.0, 07/02, page 189 of 986
Table 7.9 Floating-Point Single-Precision Instructions
Instruction Operation I nstructi on Code Privileged T Bit
FLDI0 FRn H'00000000 FRn 1111nnnn10001101 ——
FLDI1 FRn H'3F800000 FRn 1111nnnn10011101 ——
FMOV FRm,FRn FRm FRn 1111nnnnmmmm1100 ——
FMOV.S @Rm,FRn (Rm) FRn 1111nnnnmmmm1000 ——
FMOV.S @(R0,Rm),FRn (R0 + Rm) FRn 1111nnnnmmmm0110 ——
FMOV.S @Rm+,FRn (Rm) FRn, Rm + 4 Rm 1111nnnnmmmm1001 ——
FMOV.S FRm,@Rn FRm (Rn) 1111nnnnmmmm1010 ——
FMOV.S FRm,@-Rn Rn-4 Rn, FRm (Rn) 1111nnnnmmmm1011 ——
FMOV.S FRm,@(R0,Rn) FRm (R0 + Rn) 1111nnnnmmmm0111 ——
FMOV DRm,DRn DRm DRn 1111nnn0mmm01100 ——
FMOV @Rm,DRn (Rm) DRn 1111nnn0mmmm1000 ——
FMOV @(R0, Rm ),DRn (R0 + Rm) DRn 1111nnn0mmmm0110 ——
FMOV @Rm+,DRn (Rm) DRn, Rm + 8 Rm 1111nnn0mmmm1001 ——
FMOV DRm,@Rn DRm (Rn) 1111nnnnmmm01010 ——
FMOV DRm,@-Rn Rn-8 Rn, DRm (Rn) 1111nnnnmmm01011 ——
FMOV DRm,@(R0,Rn) DRm (R0 + Rn) 1111nnnnmmm00111 ——
FLDS FRm,FPUL FRm FPUL 1111mmmm00011101 ——
FSTS FPUL,FRn FPUL FRn 1111nnnn00001101 ——
FABS FRn FRn & H'7FFF FFFF FRn 1111nnnn01011101 ——
FADD FRm,FRn FRn + FRm FRn 1111nnnnmmmm0000 ——
FCMP/EQ FRm,FRn When FRn = FRm, 1 T
Otherwise, 0 T 1111nnnnmmmm0100 Comparison
result
FCMP/GT FRm,FRn When FRn > FRm, 1 T
Otherwise, 0 T 1111nnnnmmmm0101 Comparison
result
FDIV FRm,FRn FRn/FRm FR n 1111nnnnmmmm0011 ——
FLOAT FPUL,FRn (float) FPUL FRn 1111nnnn00101101 ——
FMAC FR0,FRm,FRn FR0 * FRm + FRn FRn 1111nnnnmmmm1110 ——
FMUL FRm,FRn FRn * FRm FRn 1111nnnnmmmm0010 ——
FNEG FRn FRn H'80000000 FRn 1111nnnn01001101 ——
FSQRT FRn
FRn FRn
1111nnnn01101101 ——
FSUB FRm,FRn FRn – FRm FRn 1111nnnnmmmm0001 ——
FTRC FRm,FPUL (long) FRm FPU L 1111mmmm00111101 ——
Rev. 6.0, 07/02, page 190 of 986
Table 7.10 Floating-Point Double-Precision Instructions
Instruction Operation Instruction Code Pri vileged T Bit
FABS DRn DRn & H'7FFF FFFF FFFF
FFFF DRn 1111nnn001011101 ——
FADD DRm,DRn DRn + DRm DRn 1111nnn0mmm00000 ——
FCMP/EQ DRm,DRn When DRn = DRm, 1 T
Otherwise, 0 T 1111nnn0mmm00100 Comparison
result
FCMP/GT DRm,DRn When DRn > DRm, 1 T
Otherwise, 0 T 1111nnn0mmm00101 Comparison
result
FDIV DRm,DRn DRn /DRm DRn 1111nnn0mmm00011 ——
FCNVDS DRm,FPUL double_to_ f l oat[ DRm] FPUL 1111mmm010111101 ——
FCNVSD FPUL,DRn float_to_ double [FPUL] DRn 1111nnn010101101 ——
FLOAT FPUL,DRn (float)FPUL DRn 1111nnn000101101 ——
FMUL DRm,DRn DRn * DRm DRn 1111nnn0mmm00010 ——
FNEG DRn DRn ^ H'8000 0000 0000 0000
DRn 1111nnn001001101 ——
FSQRT DRn
DRn DRn
1111nnn001101101 ——
FSUB DRm, DRn DRn – DRm DRn 1111nnn0mmm00001 ——
FTRC DRm,FP UL (long) DRm FPUL 1111mmm000111101 ——
Table 7.11 Floating-Point Control Instructions
Instruction Operation Instruction Code Pri vileged T Bit
LDS Rm,FPSCR Rm FPSCR 0100mmmm01101010 ——
LDS Rm,FPUL Rm FPUL 0100mmmm01011010 ——
LDS.L @Rm+,FPSCR (Rm) FPSCR, Rm+4 Rm 0100mmmm01100110 ——
LDS.L @Rm+,FPUL (Rm) FPUL, Rm+4 Rm 0100mmmm01010110 ——
STS FPSCR,Rn FPSCR Rn 0000nnnn01101010 ——
STS FPUL,Rn FPUL Rn 0000nnnn01011010 ——
STS.L FPSCR,@-Rn Rn – 4 Rn, FPSCR (Rn) 0100nnnn01100010 ——
STS.L FPUL, @-Rn Rn – 4 Rn, FPUL (Rn) 0100nnnn01010010 ——
Rev. 6.0, 07/02, page 191 of 986
Table 7.12 Floating-Point Graphics Acceleration Instructions
Instruction Operation In structi on Code Privileged T Bit
FMOV DRm,XDn DRm XDn 1111nnn1mmm01100 ——
FMOV XDm,DRn XDm DRn 1111nnn0mmm11100 ——
FMOV XDm,XDn XDm XDn 1111nnn1mmm11100 ——
FMOV @Rm,XDn (Rm) XDn 1111nnn1mmmm1000 ——
FMOV @Rm+,XDn (Rm) XDn, Rm + 8 Rm 1111nnn1mmmm1001 ——
FMOV @(R0,Rm ),XDn (R0 + Rm) XDn 1111nnn1mmmm0110 ——
FMOV XDm,@Rn XDm (Rn) 1111nnnnmmm11010 ——
FMOV XDm,@-Rn Rn – 8 Rn, XDm (Rn) 1111nnnnmmm11011 ——
FMOV XDm,@(R0,Rn) XDm (R0+Rn) 1111nnnnmmm10111 ——
FIPR FVm,FVn inner_product [FVm, FVn]
FR[n+3] 1111nnmm11101101 ——
FTRV XMTRX,F Vn transform_vector [XMTR X , FVn]
FVn 1111nn0111111101 ——
FRCHG ~FPSCR.FR SPFCR.FR 1111101111111101 ——
FSCHG ~FPSCR.SZ SPFCR.SZ 1111001111111101 ——
Rev. 6.0, 07/02, page 192 of 986
Rev. 6.0, 07/02, page 193 of 986
Section 8 Pipelining
The SH7750 Series is a 2-ILP (instruction-level-parallelism) superscalar pipelining
microprocessor. Instruction execution is pipelined, and two instructions can be executed in
parallel. The execution cycles depend on the implementation of a processor. Definitions in this
section may not be applicable to SH-4 Series models other than the SH7750 Series.
8.1 Pipelines
Figure 8.1 shows the basic pipelines. Normally, a pipeline consists of five or six stages: instruction
fetch (I), decode and register read (D), ex ecution (EX/SX/F0/F1/F2/F3), data access (NA/MA),
and write-back (S/FS). An instruction is executed as a combination of basic pipelines. Figure 8.2
shows the in struction ex ecution patterns.
Rev. 6.0, 07/02, page 194 of 986
1. General Pipeline
• Instruction fetch Instruction
decode
Issue
Register read
Destination address calculation
for PC-relative branch
• Non-memory
data access • Write-back
IDEX
• Operation NA S
2. General Load/Store Pipeline
• Instruction fetch Instruction
decode
• Issue
• Register read
• Memory
data access • Write-back
IDEX
• Address
calculation
MA S
3. Special Pipeline
• Instruction fetch Instruction
decode
• Issue
• Register read
• Non-memory
data access • Write-back
IDSX
• Operation
NA S
4. Special Load/Store Pipeline
• Instruction fetch Instruction
decode
• Issue
• Register read
• Memory
data access • Write-back
IDSX
• Address
calculation
MA S
5. Floating-Point Pipeline
• Instruction fetch Instruction
decode
• Issue
• Register read
• Computation 2 • Computation 3
• Write-back
IDF1
• Computation 1 F2 FS
6. Floating-Point Extended Pipeline
• Instruction fetch Instruction
decode
• Issue
• Register read
• Computation 1 • Computation 3
• Write-back
IDF0
• Computation 0 F1 F2 FS
• Computation 2
F3
Computation: Takes several cycles
7. FDIV/FSQRT Pipeline
Figure 8.1 Basic Pipelines
Rev. 6.0, 07/02, page 195 of 986
1. 1-step operation: 1 issue cycle
EXT[SU].[BW], MOV, MOV#, MOVA, MOVT, SWAP.[BW], XTRCT, ADD*, CMP*,
DIV*, DT, NEG*, SUB*, AND, AND#, NOT, OR, OR#, TST, TST#, XOR, XOR#,
ROT*, SHA*, SHL*, BF*, BT*, BRA, NOP, CLRS, CLRT, SETS, SETT,
LDS to FPUL, STS from FPUL/FPSCR, FLDI0, FLDI1, FMOV, FLDS, FSTS,
single-/double-precision FABS/FNEG
IDEX NA S
2. Load/store: 1 issue cycle
MOV.[BWL]. FMOV*@, LDS.L to FPUL, LDTLB, PREF, STS.L from FPUL/FPSCR
IDEX MA S
3. GBR-based load/store: 1 issue cycle
MOV.[BWL]@(d,GBR)
IDSX MA S
4. JMP, RTS, BRAF: 2 issue cycles
IDEX NA S
DEX NA S
5. TST.B: 3 issue cycles
IDSX MA S
DSX NA S
DSX NA S
6. AND.B, OR.B, XOR.B: 4 issue cycles
IDSX MA S
DSX NA S
DSX NA S
DSX MA S
7. TAS.B: 5 issue cycles
IDEX MA S
DEX MA S
DEX NA S
DEX NA S
DEX MA S
8. RTE: 5 issue cycles
IDEX NA S
DEX NA S
DEX NA S
DEX NA S
DEX NA S
9. SLEEP: 4 issue cycles
IDEX NA S
DEX NA S
DEX NA S
DEX NA S
Figure 8.2 Instruction Execution Patterns
Rev. 6.0, 07/02, page 196 of 986
10. OCBI: 1 issue cycle
IDEX MA S
MA
11. OCBP, OCBWB: 1 issue cycle
IDEX MA S
MA MA MA MA
12. MOVCA.L: 1 issue cycle
IDEX MA S
MA MA MA MA MA MA
13. TRAPA: 7 issue cycles
IDEX NA S
DEX NA S
DEX NA S
DEX NA S
DEX NA S
DEX NA S
DEX NA S
14. LDC to DBR/Rp_BANK/SSR/SPC/VBR, BSR: 1 issue cycle
IDEX NA S
SX SX
15. LDC to GBR: 3 issue cycles
IDEX NA S
DD
SX SX
16. LDC to SR: 4 issue cycles
IDEX NA S
DDD
SX SX SX
IDEX MA S
17. LDC.L to DBR/Rp_BANK/SSR/SPC/VBR: 1 issue cycle
SX SX
18. LDC.L to GBR: 3 issue cycles
IDEX MA S
DD
SX SX
Figure 8.2 Instruction Execution Patterns (cont)
Rev. 6.0, 07/02, page 197 of 986
19. LDC.L to SR: 4 issue cycles
IDEX MA S
DDD
SX SX SX
20. STC from DBR/GBR/Rp_BANK/SR/SSR/SPC/VBR: 2 issue cycles
IDSX NA S
DSX NA S
21. STC.L from SGR: 3 issue cycles
IDSX NA S
DSX NA S
DSX NA S
22. STC.L from DBR/GBR/Rp_BANK/SR/SSR/SPC/VBR: 2 issue cycles
IDSX NA S
DSX MA S
23. STC.L from SGR: 3 issue cycles
IDSX NA S
DSX NA S
DSX MA S
24. LDS to PR, JSR, BSRF: 2 issue cycles
IDEX NA S
DSX SX
25. LDS.L to PR: 2 issue cycles
IDEX MA S
DSX SX
26. STS from PR: 2 issue cycles
IDSX NA S
DSX NA S
27. STS.L from PR: 2 issue cycles
IDSX NA S
DSX MA S
28. CLRMAC, LDS to MACH/L: 1 issue cycle
IDEX NA S
F1 F1 F2 FS
29. LDS.L to MACH/L: 1 issue cycle
IDEX MA S
F1 F1 F2 FS
30. STS from MACH/L: 1 issue cycle
IDEX NA S
Figure 8.2 Instruction Execution Patterns (cont)
Rev. 6.0, 07/02, page 198 of 986
31. STS.L from MACH/L: 1 issue cycle
IDEX MA S
32. LDS to FPSCR: 1 issue cycle
IDEX NA S
F1 F1 F1
F1 F1 F1
33. LDS.L to FPSCR: 1 issue cycle
IDEX MA S
34. Fixed-point multiplication: 2 issue cycles
DMULS.L, DMULU.L, MUL.L, MULS.W, MULU.W
IDEX NA S (CPU)
DEX NA S
f1 (FPU)
f1 f1 f1 F2 FS
35. MAC.W, MAC.L: 2 issue cycles
IDEX MA S (CPU)
DEX MA S
f1 (FPU)
f1 f1 f1 F2 FS
36. Single-precision floating-point computation: 1 issue cycle
FCMP/EQ,FCMP/GT, FADD,FLOAT,FMAC,FMUL,FSUB,FTRC,FRCHG,FSCHG
IDF1 F2 FS
37. Single-precision FDIV/SQRT: 1 issue cycle
IDF1 F2 FS
F3 F1 F2 FS
38. Double-precision floating-point computation 1: 1 issue cycle
FCNVDS, FCNVSD, FLOAT, FTRC
IDF1 F2 FS
dF1 F2 FS
39. Double-precision floating-point computation 2: 1 issue cycle
FADD, FMUL, FSUB
IDF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
F1 F2 FS
Figure 8.2 Instruction Execution Patterns (cont)
Rev. 6.0, 07/02, page 199 of 986
IDF1 F2 FS
DF1 F2 FS
40. Double-precision FCMP: 2 issue cycles
FCMP/EQ,FCMP/GT
IDF1 F2 FS
F3 F1 F2 FS
41. Double-precision FDIV/SQRT: 1 issue cycle
FDIV, FSQRT
F1 F2
d
F1 F2 FS
F1 F2 FS
42. FIPR: 1 issue cycle
I D F0 F1 F2 FS
43. FTRV: 1 issue cycle F1 F2 FS
DF0
I
F1 F2 FS
dF0
F1 F2 FS
dF0
F1 F2 FS
dF0
Notes: ??
: Locks D-stage
: Register read only
: Locks, but no operation is executed.
: Can overlap another f1, but not another F1.
d
D
??
f1
: Cannot overlap a stage of the same kind, except when two instructions are
executed in parallel.
Figure 8.2 Instruction Execution Patterns (cont)
Rev. 6.0, 07/02, page 200 of 986
8.2 Parallel-Executability
Instructions are categorized into six groups according to the internal function blocks used, as
shown in table 8.1. Table 8.2 shows the parallel-executability of pairs of instructions in terms of
groups. For example, ADD in the EX group and BRA in the BR group can be executed in parallel.
Table 8.1 Instruction Groups
1. MT Group
CLRT CMP/HI Rm,Rn MOV Rm,Rn
CMP/EQ #imm,R0 CMP/HS Rm,Rn NOP
CMP/EQ Rm,Rn CMP/PL Rn SETT
CMP/GE Rm,Rn CMP/PZ Rn TST #imm,R0
CMP/GT Rm,Rn CMP/STR Rm,Rn TST Rm,Rn
2. EX Group
ADD #imm,Rn MOVT Rn SHLL2 Rn
ADD Rm,Rn NEG Rm,Rn SHLL8 Rn
ADDC Rm,Rn NEGC Rm,Rn SHLR Rn
ADDV Rm,Rn NOT Rm,Rn SHLR16 Rn
AND #imm,R0 OR #imm,R0 SHLR2 Rn
AND Rm,Rn OR Rm,Rn SHLR8 Rn
DIV0S Rm,Rn ROTCL Rn SUB Rm,Rn
DIV0U ROTCR Rn SUBC Rm,Rn
DIV1 Rm,Rn ROTL Rn SUBV Rm,Rn
DT Rn ROTR Rn SWAP.B Rm,Rn
EXTS.B Rm,Rn SHAD Rm,Rn SWAP.W Rm,Rn
EXTS.W Rm,Rn SHAL Rn XOR #imm,R0
EXTU.B Rm,Rn SHAR Rn XOR Rm,Rn
EXTU.W Rm,Rn SHLD Rm,Rn XTRCT Rm,Rn
MOV #imm,Rn SHLL Rn
MOVA @(disp,PC),R0 SHLL16 Rn
3. BR Group
BF disp BRA disp BT disp
BF/S disp BSR disp BT/S disp
Rev. 6.0, 07/02, page 201 of 986
Table 8.1 Instruction Groups (cont)
4. LS Group
FABS DRn FMOV.S @Rm+,FRn MOV.L R0,@(disp,GBR)
FABS FRn FMOV.S FRm,@(R0,Rn) MOV.L Rm,@(disp,Rn)
FLDI0 FRn FMOV.S FRm,@-Rn MOV.L Rm,@(R0,Rn)
FLDI1 FRn FMOV.S FRm,@Rn MOV.L Rm,@-Rn
FLDS FRm,FPUL FNEG DRn MOV.L Rm,@Rn
FMOV @(R0,Rm),DRn FNEG FRn MOV.W @(disp,GBR),R0
FMOV @(R0,Rm),XDn FSTS FPUL,FRn MOV.W @(disp,PC),Rn
FMOV @Rm,DRn LDS Rm,FPUL MOV.W @(disp,Rm),R0
FMOV @Rm,XDn MOV.B @(disp,GBR),R0 MOV.W @(R0,Rm),Rn
FMOV @Rm+,DRn MOV.B @(disp,Rm),R0 MOV.W @Rm,Rn
FMOV @Rm+,XDn MOV.B @(R0,Rm),Rn MOV.W @Rm+,Rn
FMOV DRm,@(R0,Rn) MOV.B @Rm,Rn MOV.W R0,@(disp,GBR)
FMOV DRm,@-Rn MOV.B @Rm+,Rn MOV.W R0,@(disp,Rn)
FMOV DRm,@Rn MOV.B R0,@(disp,GBR) MOV.W Rm,@(R0,Rn)
FMOV DRm,DRn MOV.B R0,@(disp,Rn) MOV.W Rm,@-Rn
FMOV DRm,XDn MOV.B Rm,@(R0,Rn) MOV.W Rm,@Rn
FMOV FRm,FRn MOV.B Rm,@-Rn MOVCA.L R0,@Rn
FMOV XDm,@(R0,Rn) MOV.B Rm,@Rn OCBI @Rn
FMOV XDm,@-Rn MOV.L @(disp,GBR),R0 OCBP @Rn
FMOV XDm,@Rn MOV.L @(disp,PC),Rn OCBWB @Rn
FMOV XDm,DRn MOV.L @(disp,Rm),Rn PREF @Rn
FMOV XDm,XDn MOV.L @(R0,Rm),Rn STS FPUL,Rn
FMOV.S @(R0,Rm),FRn MOV.L @Rm,Rn
FMOV.S @Rm,FRn MOV.L @Rm+,Rn
Rev. 6.0, 07/02, page 202 of 986
Table 8.1 Instruction Groups (cont)
5. FE Group
FADD DRm,DRn FIPR FVm,FVn FSQRT DRn
FADD FRm,FRn FLOAT FPUL,DRn FSQRT FRn
FCMP/EQ FRm,FRn FLOAT FPUL,FRn FSUB DRm,DRn
FCMP/GT FRm,FRn FMAC FR0,FRm,FRn FSUB FRm,FRn
FCNVDS DRm,FPUL FMUL DRm,DRn FTRC DRm,FPUL
FCNVSD FPUL,DRn FMUL FRm,FRn FTRC FRm,FPUL
FDIV DRm,DRn FRCHG FTRV XMTRX,FVn
FDIV FRm,FRn FSCHG
Rev. 6.0, 07/02, page 203 of 986
Table 8.1 Instruction Groups (cont)
6. CO Group
AND.B #imm,@(R0,GBR) LDS Rm,FPSCR STC SR,Rn
BRAF Rm LDS Rm,MACH STC SSR,Rn
BSRF Rm LDS Rm,MACL STC VBR,Rn
CLRMAC LDS Rm,PR STC.L DBR,@-Rn
CLRS LDS.L @Rm+,FPSCR STC.L GBR,@-Rn
DMULS.L Rm,Rn LDS.L @Rm+,FPUL STC.L Rp_BANK,@-Rn
DMULU.L Rm,Rn LDS.L @Rm+,MACH STC.L SGR,@-Rn
FCMP/EQ DRm,DRn LDS.L @Rm+,MACL STC.L SPC,@-Rn
FCMP/GT DRm,DRn LDS.L @Rm+,PR STC.L SR,@-Rn
JMP @Rn LDTLB STC.L SSR,@-Rn
JSR @Rn MAC.L @Rm+,@Rn+ STC.L VBR,@-Rn
LDC Rm,DBR MAC.W @Rm+,@Rn+ STS FPSCR,Rn
LDC Rm,GBR MUL.L Rm,Rn STS MACH,Rn
LDC Rm,Rp_BANK MULS.W Rm,Rn STS MACL,Rn
LDC Rm,SPC MULU.W Rm,Rn STS PR,Rn
LDC Rm,SR OR.B #imm,@(R0,GBR) STS.L FPSCR,@-Rn
LDC Rm,SSR RTE STS.L FPUL,@-Rn
LDC Rm,VBR RTS STS.L MACH,@-Rn
LDC.L @Rm+,DBR SETS STS.L MACL,@-Rn
LDC.L @Rm+,GBR SLEEP STS.L PR,@-Rn
LDC.L @Rm+,Rp_BANK STC DBR,Rn TAS.B @Rn
LDC.L @Rm+,SPC STC GBR,Rn TRAPA #imm
LDC.L @Rm+,SR STC Rp_BANK,Rn TST.B #imm,@(R0,GBR)
LDC.L @Rm+,SSR STC SGR,Rn XOR.B #imm,@(R0,GBR)
LDC.L @Rm+,VBR STC SPC,Rn
Rev. 6.0, 07/02, page 204 of 986
Table 8.2 Pa r allel-Executability
2nd Instruction
MT EX BR LS FE CO
MT OOOOOX
EX OXOOOX
BR O O X O O X
LS O O O X O X
FE OOOOXX
1st
Instruction
CO XXXXXX
O: Can be executed in parallel
X: Cannot be executed in parallel
8.3 Execution Cycles and Pipeline Stalling
There are three basic clocks in this processor: the I-clock, B-clock, and P-clock. Each hardware
unit operates on one of these clocks, as follows:
I-clock: CPU, FPU, MMU, caches
B-clock: External bus controller
P-clock: Peripheral units
The frequency ratios of the three clocks are determined with the frequency control register
(FRQCR). In this section, machine cycles are based on the I-clock unless otherwise specified. For
details of FRQC R, see section 1 0, Clock Oscillation Circuits.
Instruction execution cycles are summarized in table 8.3. Penalty cycles due to a pipeline stall or
freeze are not considered in this table.
Issue rate: Interval between the issue of an instruction and that of the next instruction
Latency: Interval between the issue of an instruction and the generation of its re sult
(completion)
Instruction execution pattern (see figure 8.2)
Locked pipeline stages (see table 8.3)
Interval between the issue of an instruction and the start of locking (see table 8.3)
Lock time: Period of locking in machine cycle units (see table 8.3)
Rev. 6.0, 07/02, page 205 of 986
The instruction execution sequence is expressed as a combination of the execution patterns shown
in figure 8.2. One instruction is separated fr om th e next by the number of machine cycles for its
issue rate. Normally, execution, data access, and write-back stages cannot be overlapped onto the
same stages of another instruction; the only exception is when two instructions are executed in
parallel under parallel-executability cond itions. Refer to (a) through (d) in figure 8.3 for some
simple examples.
Latency is the interval between issue and co mpletion of an instruction, and is also the interval
between the execution of two instructions with an inter d ependent relationship. When there is
interdependency between two instructions fetched simu ltaneously, the latter of the two is stalled
for the following number of cycles:
(Latency) cycles when there is flow dependency (read-after-write)
(Latency - 1) or (latency - 2) cycles when there is output dependency (write-after-write)
Single/double-precision FDN, FSQRT is the preceding instruction (latency – 1) cycles
The other FE group is the preceding instruction (latency – 2) cycles
5 or 2 cycles when there is anti-flow dependency (write-after-read), as in the following cases:
FTRV is the preceding instruction (5 cycle)
A double-precision FADD, FSUB, or FMUL is the preceding instruction (2 cycles)
In the case of flow dependency, latency may be exceptionally increased or decreased, depending
on the combination of sequential instructions (figure 8.3 ( e)).
When a floating-point (FP) computation is followed by an FP register store, th e latency of the
FP computation may be decreased by 1 cycle.
If there is a load of the shift amount immediately before an SHAD/SHLD instruction, th e
latency of the load is increased by 1 cycle.
If an instru ction with a latency of less than 2 cycles, including write-back to an FP register , is
followed by a double-precision FP instruction, FIPR, or FTRV, the latency of the first
instruction is increased to 2 cycles.
The number of cycles in a pipeline stall due to flow dep endency will vary depending on th e
combination of interdependent instructions or the fetch timing (see figure 8.3. (e)).
Output dependency occurs when the destination operands are the same in a preceding FE group
instruction and a following LS group instruction.
For the stall cycles of an instruction with output dependency, the longest latency to the last write-
back among all the destination operands must be applied instead of “latency” (see figure 8.3 (f)).
A stall due to o utput depende ncy with respect to FPSCR, which reflects the r e sult of an FP
operation, never occurs. For example, when FADD fo llo ws FDIV with no dependency between
FP registers, FADD is not stalled even if both instructions update the cause field of FPSCR.
Rev. 6.0, 07/02, page 206 of 986
Anti-flow dependency can occur only between a preceding double-precision FADD, FMUL,
FSUB, or FTRV and a f ollowing FMOV, FLDI0, FLDI1, FABS, FNEG, o r FST S. See f igure 8.3
(g).
If an executing instruction locks any resource—i.e. a function block that performs a basic
operation—a following instruction that happens to attempt to use the locked resource must be
stalled (figure 8.3 (h)). This kind of stall can be co mpensated by inserting one or more instructions
independent of the locked resource to separate the interfering instructions. For example, when a
load instruction and an ADD instruction that references the loaded value are consecutive, th e 2-
cycle stall of the ADD is eliminated by inserting three instructions without dependency. Software
performance can be improved by such instruction scheduling.
Other penalties arise in the event of exceptions or external data accesses, as follows.
Instruction TLB miss
Instruction access to external memory (instruction cache miss, etc.)
Data access to external memory (operand cache miss, etc.)
Data access to a memory-mapped control register.
During the penalty cycles of an instruction TLB miss or extern al instruction access, no instruction
is issu ed, but execution of instructions that have already been issued continues. The penalty for a
data access is a pipeline freeze: that is, the execution of uncompleted instructions is interrupted
until the arrival of the requested data. The number of pen a lty cycles for instruction and d ata
accesses is largely dependent on the user’s memo ry subsystems.
Rev. 6.0, 07/02, page 207 of 986
(a) Serial execution: non-parallel-executable instructions
ADD R2,R1
MOV.L @R4,R5
MOV R1,R2
next
SHAD R0,R1
ADD R2,R3
next
IDEX NA S
IDEX NA S
ID
...
1 stall cycle
(b) Parallel execution: parallel-executable and no dependency
IDEX NA S
IDEX MA S
(c) Issue rate: multi-step instruction
AND.B#1,@(R0,GBR) IDSX MA S
DSX MA S
DSX NA S
DSX NA S
I
I
(d) Branch
1 issue cycle
1 issue cycle
4 issue cycles
...
IDEX NA S
IDEX NA S
2-cycle latency for I-stage of branch destination
1 stall cycle
ID
IDEX NA S
IDEX NA S
IDEX NA S
BT/S L_far
ADD R0,R1
SUB R2,R3
BT/S L_far
ADD R0,R1
L_far
IDEX NA S
ID
ID
——
...
No stall
BT L_skip
ADD #1,R0
L_skip:
...
iDEAS
4 stall cycles
EX-group SHAD and EX-group ADD
cannot be executed in parallel. Therefore,
SHAD is issued first, and the following
ADD is recombined with the next
instruction.
EX-group ADD and LS-group MOV.L can
be executed in parallel. Overlapping of
stages in the 2nd instruction is possible.
AND.B and MOV are fetched
simultaneously, but MOV is stalled due to
resource locking. After the lock is released,
MOV is refetched together with the next
instruction.
No stall occurs if the branch is not taken.
If the branch is taken, the I-stage of the
branch destination is stalled for the period
of latency. This stall can be covered with a
delay slot instruction which is not parallel-
executable with the branch instruction.
Even if the BT/BF branch is taken, the I-
stage of the branch destination is not
stalled if the displacement is zero.
Figure 8.3 Examples of Pipelined Execution
Rev. 6.0, 07/02, page 208 of 986
(e) Flow dependency
IDEX NA S
IDEX NA S
MOV R0,R1
ADD R2,R1
ADD R2,R1
MOV.L @R1,R1
next
IDEX NA S
IDEX MA S
i
I...
...
...
Zero-cycle latency
1-cycle latency
1 stall cycle
MOV.L @R1,R1
ADD R0,R1
next
IDEX MA S
ID
I
EX NA S
D
EX NA S
2-cycle latency
1 stall cycle
MOV.L @R1,R1
SHAD R1,R2
next
FADD FR1,FR2
STS FPUL,R1
STS FPSCR,R2 IDEX NA S
I
4-cycle latency for FPSCR
2 stall cycles
IDF1 F2 FS
IDEX MA S
ID
I
2-cycle latency
2 stall cycles
EX NA S
d
1-cycle increase
II
IDF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
F1 F2 FS
dF1 F2 FS
EX NA S
DEX NA S
D
FADD DR0,DR2
7-cycle latency for lower FR
8-cycle latency for upper FR
FMOV FR3,FR5
FMOV FR2,FR4
FLOAT FPUL,DR0
FMOV.S FR0,@-R15
FR3 write
FR2 write
IDF1 F2 FS
dF1 F2 FS
IDEX MA S
3-cycle latency for upper/lower FR
FR1 write
FR0 write
FLDI1 FR3
FIPR FV0,FV4
FMOV @R1,XD14
FTRV XMTRX,FV0
IDEX NA S
IDdF0 F1 F2 FS
Zero-cycle latency 3-cycle increase
3 stall cycles
IDEX MA S
IDdF0 F1 F2 FS
dF0 F1 FSF2
dF0 F2F1 FS
dF1F0 F2 FS
2-cycle latency
1-cycle increase
3 stall cycles
The following instruction, ADD, is not
stalled when executed after an instruction
with zero-cycle latency, even if there is
dependency.
ADD and MOV.L are not executed in
parallel, since MOV.L references the result
of ADD as its destination address.
Because MOV.L and ADD are not fetched
simultaneously in this example, ADD is
stalled for only 1 cycle even though the
latency of MOV.L is 2 cycles.
Due to the flow dependency between the
load and the SHAD/SHLD shift amount,
the latency of the load is increased to 3
cycles.
Figure 8.3 Examples of Pipelined Ex ecution (cont)
Rev. 6.0, 07/02, page 209 of 986
IDEX NA S
IDEX NA S
DF1 F2 FS
DF1 F2 FS
(e) Flow dependency (cont)
I
I
LDS R0,FPUL
FLOAT FPUL,FR0
LDS R1,FPUL
FLOAT FPUL,R1
Effectively 1-cycle latency for consecutive LDS/FLOAT instructions
IDEX NA S
DF1 F2 FS
I
DF1 F2 FS
IIDEX NA S Effectively 1-cycle latency for consecutive
FTRC/STS instructions
FTRC FR0,FPUL
STS FPUL,R0
FTRC FR1,FPUL
STS FPUL,R1
(f) Output dependency
DF1 F2 FS
I
IDF1 F2 FS
F1 F2 FS
11-cycle latency
10 stall cycles = latency (11) - 1 The registers are written-back
in program order.
DF1 F2 FS
IdF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
F1 F2 FS
EX NA S
ID
7-cycle latency for lower FR
8-cycle latency for upper FR
6 stall cycles = longest latency (8) - 2
FR2 write
FR3 write
DF1 F2 FS
IdF1 F2 FS
dF1 F2 FS
dF1
F0 F0
F0
F0 F2 FS
(g) Anti-flow dependency
EX MA S
ID5 stall cycles
DF1 F2 FS
IdF1 F2 FS
dF1 F2 FS
dF1 F2 FS
EX NA S
ID2 stall cycles
dF1 F2 FS
F1 F2 FS
FSQRT FR4
FMOV FR0,FR4
FADD DR0,DR2
FMOV FR0,FR3
FTRV XMTRX,FV0
FMOV @R1,XD0
FADD DR0,DR2
FMOV FR4,FR1
F3
Figure 8.3 Examples of Pipelined Ex ecution (cont)
Rev. 6.0, 07/02, page 210 of 986
(h) Resource conflict
F1 stage locked for 1 cycle
Latency
1 cycle/issue
1 stall cycle (F1 stage resource conflict)
FDIV FR6,FR7
FMAC FR0,FR8,FR9
FMAC FR0,FR10,FR11
FMAC FR0,FR12,FR13
FIPR FV8,FV0
FADD FR15,FR4 IDF1F0 F2 FS
IDF1 F2 FS
1 stall cycle
LDS.L @R15+,PR IDEX
MA
FS
DSXSX SX NA S
SX NA S
D
I
3 stall cycles
STC GBR,R2
FADD DR0,DR2 IDF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
F1 F2 FS
EX MA S
f1 EX MA S
Df1 f1 F2 FS
f1 F2 FS
ID
5 stall cycles
MAC.W @R1+,@R2+
IDEX MA S
f1
f1 f1 F2 FS
f1 F2 FS
I
f1
DEX MA S
f1
DEX MA S
f1 F2 FS
f1 F2 FS
F1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
dF1 F2 FS
F1 ...
I
D
3 stall cycles
1 stall
cycle
2 stall cycles
MAC.W @R1+,@R2+
MAC.W @R1+,@R2+
FADD DR4,DR6
f1 stage can overlap preceding f1,
but F1 cannot overlap f1.
DEX MA S
D
IDF1 F2 FS
IDF1 F2 FS
F1 F2 FS
F1 F2
IDFS
F3
IDF1 F2 FS
#1 #2 #3 .................................................. #10 #11#8 #9 #12
...
:
Figure 8.3 Examples of Pipelined Ex ecution (cont)
Rev. 6.0, 07/02, page 211 of 986
Table 8.3 Execution Cycles
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
1 EXTS.B Rm,Rn EX 1 1 #1
2 EXTS.W Rm,Rn EX 1 1 #1
3 EXTU.B Rm,Rn EX 1 1 #1
4 EXTU.W Rm,Rn EX 1 1 #1
5MOV Rm,Rn MT 1 0 #1
6MOV #imm,Rn EX 1 1 #1
7 MOVA @(disp,PC),R0 EX 1 1 #1
8 MOV.W @(disp,PC),Rn LS 1 2 #2
9 MOV.L @(disp,PC),Rn LS 1 2 #2
10 MOV.B @Rm,Rn LS 1 2 #2
11 MOV.W @Rm,Rn LS 1 2 #2
12 MOV.L @Rm,Rn LS 1 2 #2
13 MOV.B @Rm+,Rn LS 1 1/2 #2
14 MOV.W @Rm+,Rn LS 1 1/2 #2
15 MOV.L @Rm+,Rn LS 1 1/2 #2
16 MOV.B @(disp,Rm),R0 LS 1 2 #2
17 MOV.W @(disp,Rm),R0 LS 1 2 #2
18 MOV.L @(disp,Rm),Rn LS 1 2 #2
19 MOV.B @(R0,Rm),Rn LS 1 2 #2
20 MOV.W @(R0,Rm),Rn LS 1 2 #2
21 MOV.L @(R0,Rm),Rn LS 1 2 #2
22 MOV.B @(disp,GBR),R0 LS 1 2 #3
23 MOV.W @(disp,GBR),R0 LS 1 2 #3
24 MOV.L @(disp,GBR),R0 LS 1 2 #3
25 MOV.B Rm,@Rn LS 1 1 #2
26 MOV.W Rm,@Rn LS 1 1 #2
27 MOV.L Rm,@Rn LS 1 1 #2
28 MOV.B Rm,@-Rn LS 1 1/1 #2
29 MOV.W Rm,@-Rn LS 1 1/1 #2
30 MOV.L Rm,@-Rn LS 1 1/1 #2
Data transfer
instructions
31 MOV.B R0,@(disp,Rn) LS 1 1 #2
Rev. 6.0, 07/02, page 212 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
32 MOV.W R0,@(disp,Rn) LS 1 1 #2
33 MOV.L Rm,@(disp,Rn) LS 1 1 #2
34 MOV.B Rm,@(R0,Rn) LS 1 1 #2
35 MOV.W Rm,@(R0,Rn) LS 1 1 #2
36 MOV.L Rm,@(R0,Rn) LS 1 1 #2
37 MOV.B R0,@(disp,GBR) LS 1 1 #3
38 MOV.W R0,@(disp,GBR) LS 1 1 #3
39 MOV.L R0,@(disp,GBR) LS 1 1 #3
40 MOVCA.L R0,@Rn LS 1 3–7 #12 MA 4 3–7
41 MOVT Rn EX 1 1 #1
42 OCBI @Rn LS 1 1–2 #10 MA 4 1–2
43 OCBP @Rn LS 1 1–5 #11 MA 4 1–5
44 OCBWB @Rn LS 1 1–5 #11 MA 4 1–5
45 PREF @Rn LS 1 1 #2
46 SWAP.B Rm,Rn EX 1 1 #1
47 SWAP.W Rm,Rn EX 1 1 #1
Data transfer
instructions
48 XTRCT Rm,Rn EX 1 1 #1
49 ADD Rm,Rn EX 1 1 #1
50 ADD #imm,Rn EX 1 1 #1
51 ADDC Rm,Rn EX 1 1 #1
52 ADDV Rm,Rn EX 1 1 #1
53 CMP/EQ #imm,R0 MT 1 1 #1
54 CMP/EQ Rm,Rn MT 1 1 #1
55 CMP/GE Rm,Rn MT 1 1 #1
56 CMP/GT Rm,Rn MT 1 1 #1
57 CMP/HI Rm,Rn MT 1 1 #1
58 CMP/HS Rm,Rn MT 1 1 #1
59 CMP/PL Rn MT 1 1 #1
60 CMP/PZ Rn MT 1 1 #1
61 CMP/STR Rm,Rn MT 1 1 #1
Fixed-point
arithmetic
instructions
62 DIV0S Rm,Rn EX 1 1 #1
Rev. 6.0, 07/02, page 213 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
63 DIV0U EX 1 1 #1
64 DIV1 Rm,Rn EX 1 1 #1
65 DMULS.L Rm,Rn CO 2 4/4 #34 F1 4 2
66 DMULU.L Rm,Rn CO 2 4/4 #34 F1 4 2
67 DT Rn EX 1 1 #1
68 MAC.L @Rm+,@Rn+ CO 2 2/2/4/4 #35 F1 4 2
69 MAC.W @Rm+,@Rn+ CO 2 2/2/4/4 #35 F1 4 2
70 MUL.L Rm,Rn CO 2 4/4 #34 F1 4 2
71 MULS.W Rm,Rn CO 2 4/4 #34 F1 4 2
72 MULU.W Rm,Rn CO 2 4/4 #34 F1 4 2
73 NEG Rm,Rn EX 1 1 #1
74 NEGC Rm,Rn EX 1 1 #1
75 SUB Rm,Rn EX 1 1 #1
76 SUBC Rm,Rn EX 1 1 #1
Fixed-point
arithmetic
instructions
77 SUBV Rm,Rn EX 1 1 #1
78 AND Rm,Rn EX 1 1 #1
79 AND #imm,R0 EX 1 1 #1
80 AND.B #imm,@(R0,GBR) CO 4 4 #6
81 NOT Rm,Rn EX 1 1 #1
82 OR Rm,Rn EX 1 1 #1
83 OR #imm,R0 EX 1 1 #1
84 OR.B #imm,@(R0,GBR) CO 4 4 #6
85 TAS.B @Rn CO 5 5 #7
86 TST Rm,Rn MT 1 1 #1
87 TST #imm,R0 MT 1 1 #1
88 TST.B #imm,@(R0,GBR) CO 3 3 #5
89 XOR Rm,Rn EX 1 1 #1
90 XOR #imm,R0 EX 1 1 #1
Logical
instructions
91 XOR.B #imm,@(R0,GBR) CO 4 4 #6
Rev. 6.0, 07/02, page 214 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
92 ROTL Rn EX 1 1 #1
93 ROTR Rn EX 1 1 #1
94 ROTCL Rn EX 1 1 #1
95 ROTCR Rn EX 1 1 #1
96 SHAD Rm,Rn EX 1 1 #1
97 SHAL Rn EX 1 1 #1
98 SHAR Rn EX 1 1 #1
99 SHLD Rm,Rn EX 1 1 #1
100 SHLL Rn EX 1 1 #1
101 SHLL2 Rn EX 1 1 #1
102 SHLL8 Rn EX 1 1 #1
103 SHLL16 Rn EX 1 1 #1
104 SHLR Rn EX 1 1 #1
105 SHLR2 Rn EX 1 1 #1
106 SHLR8 Rn EX 1 1 #1
Shift
instructions
107 SHLR16 Rn EX 1 1 #1
108 BF dis p BR 1 2 (or 1) #1
109 BF/S disp B R 1 2 (or 1) #1
110 BT dis p BR 1 2 (or 1) #1
111 BT/S disp B R 1 2 (or 1) #1
112 BRA disp BR 1 2 #1
113 BRAF Rn CO 2 3 #4
114 BSR disp BR 1 2 #14 SX 3 2
115 BSRF Rn CO 2 3 #24 SX 3 2
116 JMP @Rn CO 2 3 #4
117 JSR @Rn CO 2 3 #24 SX 3 2
Branch
instructions
118 RTS CO 2 3 #4
Rev. 6.0, 07/02, page 215 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
119 NOP MT 1 0 #1
120 CLRMAC CO 1 3 #28 F1 3 2
121 CLRS CO 1 1 #1
122 CLRT MT 1 1 #1
123 SETS CO 1 1 #1
124 SETT MT 1 1 #1
125 TRAPA #imm CO 7 7 #13
126 RTE CO 5 5 #8
127 SLEEP CO 4 4 #9
128 LDTLB CO 1 1 #2
129 LDC Rm,DBR CO 1 3 #14 SX 3 2
130 LDC Rm,GBR CO 3 3 #15 SX 3 2
131 LDC Rm,Rp_BANK CO 1 3 #14 SX 3 2
132 LDC Rm,SR CO 4 4 #16 SX 3 2
133 LDC Rm,SSR CO 1 3 #14 SX 3 2
134 LDC Rm,SPC CO 1 3 #14 SX 3 2
135 LDC Rm,VBR CO 1 3 #14 SX 3 2
136 LDC.L @Rm+,DBR CO 1 1/3 #17 SX 3 2
137 LDC.L @Rm+,GBR CO 3 3/3 #18 SX 3 2
138 LDC.L @Rm+,Rp_BANK CO 1 1/3 #17 SX 3 2
139 LDC.L @Rm+,SR CO 4 4/4 #19 SX 3 2
140 LDC.L @Rm+,SSR CO 1 1/3 #17 SX 3 2
141 LDC.L @Rm+,SPC CO 1 1/3 #17 SX 3 2
142 LDC.L @Rm+,VBR CO 1 1/3 #17 SX 3 2
143 LDS Rm,MACH CO 1 3 #28 F1 3 2
144 LDS Rm,MACL CO 1 3 #28 F1 3 2
145 LDS Rm,PR CO 2 3 #24 SX 3 2
146 LDS.L @Rm+,MACH CO 1 1/3 #29 F1 3 2
147 LDS.L @Rm+,MACL CO 1 1/3 #29 F1 3 2
148 LDS.L @Rm+,PR CO 2 2/3 #25 SX 3 2
149 STC DBR,Rn CO 2 2 #20
System
control
instructions
150 STC SGR,Rn CO 3 3 #21
Rev. 6.0, 07/02, page 216 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
151 STC GBR,Rn CO 2 2 #20
152 STC Rp_BANK,Rn CO 2 2 #20
153 STC SR,Rn CO 2 2 #20
154 STC SSR,Rn CO 2 2 #20
155 STC SPC,Rn CO 2 2 #20
156 STC VBR,Rn CO 2 2 #20
157 STC.L DBR,@-Rn CO 2 2/2 #22
158 STC.L SGR,@-Rn CO 3 3/3 #23
159 STC.L GBR,@-Rn CO 2 2/2 #22
160 STC.L Rp_BANK,@-Rn CO 2 2/2 #22
161 STC.L SR,@-Rn CO 2 2/2 #22
162 STC.L SSR,@-Rn CO 2 2/2 #22
163 STC.L SPC,@-Rn CO 2 2/2 #22
164 STC.L VBR,@-Rn CO 2 2/2 #22
165 STS MACH,Rn CO 1 3 #30
166 STS MACL,Rn CO 1 3 #30
167 STS PR,Rn CO 2 2 #26
168 STS.L MACH,@-Rn CO 1 1/1 #31
169 STS.L MACL,@-Rn CO 1 1/1 #31
System
control
instructions
170 STS.L PR,@-Rn CO 2 2/2 #27
171 FLDI0 FRn LS 1 0 #1
172 FLDI1 FRn LS 1 0 #1
173 FMOV FRm,FRn LS 1 0 #1
174 FMOV.S @Rm,FRn LS 1 2 #2
175 FMOV.S @Rm+,FRn LS 1 1/2 #2
176 FMOV.S @(R0,Rm),FRn LS 1 2 #2
177 FMOV.S FRm,@Rn LS 1 1 #2
178 FMOV.S FRm,@-Rn LS 1 1/1 #2
179 FMOV.S FRm,@(R0,Rn) LS 1 1 #2
180 FLDS FRm,FPUL LS 1 0 #1
Single-
precision
floating-point
instructions
181 FSTS FPUL,FRn LS 1 0 #1
Rev. 6.0, 07/02, page 217 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
182 FABS FRn LS 1 0 #1
183 FADD FRm,FRn FE 1 3/4 #36
184 FCMP/EQ FRm,FRn FE 1 2/4 #36
185 FCMP/GT FRm,FRn FE 1 2/4 #36
186 FDIV FRm,FRn FE 1 12/13 #37 F3 2 10
F1 11 1
187 FLOAT FPUL,FRn FE 1 3/4 #36
188 FMAC FR0,FRm,FRn FE 1 3/4 #36
189 FMUL FRm,FRn FE 1 3/4 #36
190 FNEG FRn LS 1 0 #1
191 FSQRT FRn FE 1 11/12 #37 F3 2 9
F1 10 1
192 FSUB FRm,FRn FE 1 3/4 #36
193 FTRC FRm,FPUL FE 1 3/4 #36
194 FMOV DRm,DRn LS 1 0 #1
195 FMOV @Rm,DRn LS 1 2 #2
196 FMOV @Rm+,DRn LS 1 1/2 #2
197 FMOV @(R0,Rm),DRn LS 1 2 #2
198 FMOV DRm,@Rn LS 1 1 #2
199 FMOV DRm,@-Rn LS 1 1/1 #2
Single-
precision
floating-point
instructions
200 FMOV DRm,@(R0,Rn) LS 1 1 #2
201 FABS DRn LS 1 0 #1
202 FADD DRm,DRn FE 1 (7, 8)/9 #39 F1 2 6
203 FCMP/EQ DRm,DRn CO 2 3/5 #40 F1 2 2
204 FCMP/GT DRm,DRn CO 2 3/5 #40 F1 2 2
205 FCNVDS DRm,FPUL FE 1 4/5 #38 F1 2 2
206 FCNVSD FPUL,DRn FE 1 (3, 4)/5 #38 F1 2 2
F3 2 23
F1 22 3
207 FDIV DRm,DRn FE 1 (24, 25)/
26 #41
F1 2 2
208 FLOAT FPUL,DRn FE 1 (3, 4)/5 #38 F1 2 2
Double-
precision
floating-point
instructions
209 FMUL DRm,DRn FE 1 (7, 8)/9 #39 F1 2 6
Rev. 6.0, 07/02, page 218 of 986
Table 8.3 Execution Cycles (cont)
Lock
Functional
Category No. Instruction
Instruc-
tion
Group Issue
Rate Latency
Execu-
tion
Pattern Stage Start Cycles
210 FNEG DRn LS 1 0 #1
F3 2 22
F1 21 3
211 FSQRT DRn FE 1 (23, 24)/
25 #41
F1 2 2
212 FSUB DRm,DRn FE 1 (7, 8)/9 #39 F1 2 6
Double-
precision
floating-point
instructions
213 FTRC DRm,FPUL FE 1 4/5 #38 F1 2 2
214 LDS Rm,FPUL LS 1 1 #1
215 LDS Rm,FPSCR CO 1 4 #32 F1 3 3
216 LDS.L @Rm+,FPUL CO 1 1/2 #2
217 LDS.L @Rm+,FPSCR CO 1 1/4 #33 F1 3 3
218 STS FPUL,Rn LS 1 3 #1
219 STS FPSCR,Rn CO 1 3 #1
220 STS.L FPUL,@-Rn CO 1 1/1 #2
FPU system
control
instructions
221 STS.L FPSCR,@-Rn CO 1 1/1 #2
222 FMOV DRm,XDn LS 1 0 #1
223 FMOV XDm,DRn LS 1 0 #1
224 FMOV XDm,XDn LS 1 0 #1
225 FMOV @Rm,XDn LS 1 2 #2
226 FMOV @Rm+,XDn LS 1 1/2 #2
227 FMOV @(R0,Rm),XDn LS 1 2 #2
228 FMOV XDm,@Rn LS 1 1 #2
229 FMOV XDm,@-Rm LS 1 1/1 #2
230 FMOV XDm,@(R0,Rn) LS 1 1 #2
231 FIPR FVm,FVn FE 1 4/5 #42 F1 3 1
232 FRCHG FE 1 1/4 #36
233 FSCHG FE 1 1/4 #36
F0 2 4
Graphics
acceleration
instructions
234 FTRV XMTRX,FVn FE 1 (5, 5, 6,
7)/8 #43
F1 3 4
Notes: 1. See table 8.1 for the instruction groups.
2. Latency “L1/L2...”: Latency corresponding to a write to each register, including
MACH/MACL/FPSCR.
Example: MOV.B @Rm+, Rn “1/2”: The latency for Rm is 1 cycle, and the latency for
Rn is 2 cycles.
3. Branch latency: Interval until the branch destination instruction is fetched
Rev. 6.0, 07/02, page 219 of 986
4. Conditional branch latency “2 (or 1)”: The latency is 2 for a nonzero displacement, and
1 for a zero displacement.
5. Double-pre cis ion flo atin g-po int ins truction latency “(L1, L2)/L3”: L1 is the latency for FR
[n+1], L2 that for FR [n], and L3 that for FPSCR.
6. FTRV latency “(L1, L2, L3, L4)/L5”: L1 is the latency for FR [n ], L2 that for FR [n+1], L3
that for FR [n+2], L4 that for FR [n+3], and L5 that for FPSCR.
7. Latency “L1/L2/L3/L4” of MAC.L and MAC.W instructions: L1 is the latency for Rm, L2
that for Rn, L3 that for MACH, and L4 that for MACL.
8. Latency “L1/L2” of MUL.L, MULS.W, MULU.W, DMULS.L, and DMULU.L instructions:
L1 is the latency for MACH, and L2 that for MACL.
9. Execution pattern: The instruction execution pattern number (see figure 8.2)
10.Lock/stage: Stage locked by the instruction
11.Lock/start: Locking start cycle; 1 is the first D-stage of the instruction.
12.Lock/cycles: Number of cycles locked
Exceptions:
1. When a floating-po int computation instruction is fol low ed by an FMOV store, an STS
FPUL, Rn instruction, or an STS.L FPUL, @-Rn instruction, the latency of the floating-
point computation is decreased by 1 cycle.
2. When the preceding instruction loads the shift amount of the following SHAD/SHLD, the
latency of the load is increa sed by 1 cyc le.
3. When an LS group instruction with a latency of less than 3 cycles is followed by a
double-precision floating-point instruction, FIPR, or FTRV, the latency of the first
instruct ion is inc r ea sed to 3 cycl es.
Example: In the case of FMOV FR4,FR0 and FIPR FV0,FV4, FIPR is stalled for 2
cycles.
4. When MAC/MUL/DMUL is followed by an STS.L MAC, @-Rn instruction, the latency of
MAC/MUL/DMUL is 5 cycles.
5. In the case of consecutive executions of MAC/MUL/DMUL, the latency is decreased to
2 cycles.
6. When an LDS to MAC is followed by an STS.L MAC, @-Rn instruction, the latency of
the LDS to MAC is 4 cycles.
7. When an LDS to MAC is followed by MAC/MUL/DMUL, the latency of the LDS to MAC
is 1 cycle.
8. When an FSCHG or FRCHG instruction is followed by an LS group instruction that
reads or writes to a floating-point register, the aforementioned LS group instruction[s]
cannot be executed in parallel.
9. When a single-preci sio n FTRC instr uct ion is fol lowed by an “STS FPUL, Rn” instruc tio n,
the latency of the single-precision FTRC instruction is 1 cycle.
Rev. 6.0, 07/02, page 220 of 986
Rev. 6.0, 07/02, page 221 of 986
Section 9 Power-Down Modes
9.1 Overview
In the power-down modes, some of the on-chip peripheral modules and the CPU functions are
halted, enabling power consumption to be reduced.
9.1.1 Types of Power-Down Modes
The following power-down modes and functions are provided:
Sleep mode
Deep sleep mode
Standby mode
Hardware standby mode*
Module standby function (TMU, RTC, SCI/SCIF, DMAC, SQ*, and UBC* on-chip peripheral
modules)
Note: * SH7750S, SH7750R only
Table 9.1 shows the conditions for entering th ese modes from the program execution state, the
status of the CPU and peripheral modules in each mode, and the method of exiting each mode.
Rev. 6.0, 07/02, page 222 of 986
Table 9.1 Stat us of CPU and Peripheral Modules in Power- Down Modes
Status
Power-
Down
Mode Entering
Conditions CPG CPU On-Chip
Memory
On-chip
Peripheral
Modules Pins External
Memory Exiting
Method
Sleep SLEEP
instruction
executed
while STBY
bit is 0 in
STBCR
Operating Halted
(registers
held)
Held Operating Held Refreshing Interrupt
Reset
Deep
sleep SLEEP
instruction
executed
while STBY
bit is 0 in
STBCR,
and DSLP
bit is 1 in
STBCR2
Operating Halted
(registers
held)
Held Operating
(DMA
halted)
Held Self-
refreshing Interrupt
Reset
Standby SLEEP
instruction
executed
while STBY
bit is 1 in
STBCR
Halted Halted
(registers
held)
Held Halted*Held Self-
refreshing Interrupt
Reset
Hardware
standby
(SH7750S,
SH7750R)
Setting CA
pin low Halted Halted Undefined Halted*High
impedance Undefined Power-on
reset
Module
standby Setting
MSTP bit
to 1 in
STBCR/
STBCR2
Operating Operating Held Specified
modules
halted*
Held Refreshing Clearing
MSTP bit
to 0
Reset
Note: *The RTC operates when the START bit in RCR2 is 1 (see section 11, Realtime Clock
(RTC)).
Rev. 6.0, 07/02, page 223 of 986
9.1.2 Register Configuration
Table 9.2 shows the registers used for power-down mode control.
Table 9.2 Power-Down Mode Registers
Name Abbreviation R/W Initial Value P4 Address Area 7
Address Access
Size
Standby control
register STBCR R/W H'00 H'FFC00004 H'1FC00004 8
Standby control
register 2 STBCR2 R/W H'00 H'FFC00010 H'1FC00010 8
Clock stop
register 00*CLKSTP00 R/W H'00000000 H'FE0A0000 H'1E0A0000 32
Clock release
register 00*CLKSTPCLR00 W H'00000000 H'FE0A0008 H'1E0A0008 32
Note: * SH7750R only
9.1.3 Pin Configuration
Table 9.3 shows the pins used for power-down mode control.
Table 9.3 Power- Down Mode Pins
Pin Name Abbreviation I/O Function
Processor status 1
Processor status 0 STATUS1
STATUS0 Output Indicate the proces sor’ s operat ing status.
(STATUS1, STATUS0)
HH: Reset
HL: Sleep mode
LH: Standby mode
LL: Normal operation
Hardware standby
request
(SH7750S and
SH7750R only)
CA Input Transits to hardware standby mode by a
low-level input to the pin.
Notes: H: High leve l
L: Low level
Rev. 6.0, 07/02, page 224 of 986
9.2 Register Desc riptions
9.2.1 Standby Co ntrol Register (STBCR)
The standby control register (STBCR) is an 8-bit readable/writable register that specifies the
power-down m ode status. It is initialized to H'00 by a power- on reset via the RESET pin or due to
watchdog timer overflow.
Bit:76543210
STBY PHZ PPU MSTP4 MSTP3 MSTP2 MSTP1 MSTP0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit 7—Sta ndby (STBY): Specifies a transition to standby mode.
Bit 7: STBY Description
0 Transition to sleep mode on execution of SLEEP instruction (Initial value)
1 Transition to standby mode on execution of SLEEP instruction
Bit 6—Peripheral Mo dule Pin High Impedance Control (PHZ): Controls the state of
peripheral module related pins in standby mode. When the PHZ bit is set to 1, peripheral module
related pins go to the high-impedance state in standby mode.
For the relevant pins, see section 9.2.2, Peripheral Module Pin High Impedance Control.
Bit 6: PHZ Description
0 Peripheral module related pins are in normal state (Initial value)
1 Peripheral module related pins go to high-impedance state
Bit 5—Peripheral Module Pin Pul l- U p Control (PPU): Controls the state of peripheral module
related pins. When the PPU bit is cleared to 0, the pull-up resistor is turned on for peripheral
module related pins in the input or high-impedance state.
For the relevant pins, see section 9.2.3, Peripheral Module Pin Pull-Up Control.
Bit 5: PPU Description
0 Peripheral module related pin pull-up resi stors are on (Initial value)
1 Peripheral module related pin pull-up resi stors are off
Bit 4—Module Stop 4 (MSTP4): Specifies stopping of the clock supply to the DMAC among th e
on-chip peripheral modules. The clock supply to the DMAC is stopped when the MSTP4 bit is set
Rev. 6.0, 07/02, page 225 of 986
to 1. When DMA tr ansfer is used, stop the transfer before setting the MSTP4 bit to 1. When DMA
transfer is per formed after clearing the MSTP4 bit to 0, DMAC settin g s m u st be made again .
Bit 4: MSTP4 Description
0 DMAC operates (Initial value)
1 DMAC clock supply is stopped
Bit 3—Module Stop 3 (MSTP3): Specifies stopping of the clock supply to serial communication
interface channel 2 (SCIF) among the on-chip peripheral modules. The clock supply to the SCIF is
stopped when the MSTP3 bit is set to 1.
Bit 3: MSTP3 Description
0 SCIF operates (Initial value)
1 SCIF clock supply is stopped
Bit 2—Module Stop 2 (MSTP2): Specifies stopping of the clock supply to the timer unit (TMU)
among the on-chip peripheral modules. The clock supply to the TMU is stopped when the MSTP2
bit is set to 1.
Bit 2: MSTP2 Description
0 TMU operates (Initial value)
1 TMU clock supply is stopped
Bit 1—Module Stop 1 (MSTP1): Specifies stopping of the clock supply to the realtime clock
(RTC) among the on-chip peripheral modules. The clock supply to the RTC is stopped when the
MSTP1 bit is set to 1. When the clock supply is stopped, RTC registers cannot be accessed but the
counters continue to operate.
Bit 1: MSTP1 Description
0 RTC operates (Initial value)
1 RTC clock supply is stopped
Bit 0—Module Stop 0 (MSTP0): Specifies stopping of the clock supply to serial communication
interface channel 1 (SCI) among the on-chip peripheral modules. The clock supply to the SCI is
stopped when the MSTP0 bit is set to 1.
Bit 0: MSTP0 Description
0 SCI operates (Initial value)
1 SCI clock supply is stopped
Rev. 6.0, 07/02, page 226 of 986
9.2.2 Peripheral Module Pin High Impedance Control
When bit 6 in the standby control register (STBCR) is set to 1, peripheral module related pins go
to the high-impedance state in standby mode.
Relevant Pins
SCI related pins MD0/SCK MD1/TXD2
MD7/TXD MD8/RTS2
CTS2
DMA related pins DACK0 DRAK0
DACK1 DRAK1
Other Information
The setting in this register is invalid when the above pins are used as po rt output pins.
For details of pin states, see Appendix E, Pin Functions.
9.2.3 Peripheral Module Pin Pull-Up Co ntrol
When bit 5 in the standby control register (STBCR) is cleared to 0, peripheral module related pins
are pulled up when in the input or high-impedance state.
Relevant Pins
SCI related pins MD0/SCK MD1/TXD2 MD2/RXD2
MD7/TXD MD8/RTS2 SCK2/MRESET
RXD CTS2
DMA related pins DREQ0 DACK0 DRAK0
DREQ1 DACK1 DRAK1
TMU related pin TCLK
Other Information
The setting in this register is invalid in the hardware standby mode .
For details of pin states, see Appendix E, Pin Functions.
Rev. 6.0, 07/02, page 227 of 986
9.2.4 Standby Co ntrol Register 2 ( STBCR2)
Standby control register 2 (STBCR2) is an 8-bit readable/writable register that sp ecifies the sleep
mode and deep sleep mode transition conditions. It is initialized to H'00 by a power - on reset via
the RESET pin or due to watchdog timer overflow.
Bit:76543210
DSLP STHZ*2————MSTP6
*1MSTP5*1
Initial value:00000000
R/W: R/WR/WRRRRR/WR/W
Notes: *1 Reserved bit in the SH7750.
*2 Reserved bit in the SH7750 and SH7750S.
Bit 7—Deep Slee p (DSLP): Specifies a transition to deep sleep mode
Bit 7: DSLP Description
0 Transition to sleep mode or standby mode on execution of SLEEP
instruction, according to setting of STBY bit in STBCR register(Initial value)
1 Transition to deep sleep mode on execution of SLEEP in struction*
Note: *When the STBY bit in the STBCR register is 0
Bit 6 (SH7750R Only)—STATUS Pin High-Impedance Control (STHZ): This bit selects
whether the STATUS0 and 1 pins are set to high-impedance when in hardware standby mode.
Bit 6: STHZ Description
0 Sets STATUS0, 1 pins to high-impedance when in hardware standby mode
(Initial value)
1 Drives STATUS0, 1 pins to LH when in hardware standby mode
Bit 6 (SH7750 and SH7750S)—Reserved: Only 0 should o nly be written to these bits; o peration
cannot be guaranteed if 1 is written. These bits are always read as 0.
Bits 5 to 2—Reserved: Only 0 should only be written to these bits; operation cannot be
guaranteed if 1 is written. These bits are always read as 0.
Bits 1 and 0 (SH7750)—Reserved: Only 0 should only be written to these bits; operation can not
be guaranteed if 1 is written. These bits are always read as 0.
Rev. 6.0, 07/02, page 228 of 986
Bit 1 (SH7750S and SH7750R)—Module Stop 6 (MSTP6): Specifies that the clock supply to
the store queue (SQ) in the cache controller (CCN) is stopped. Setting the MSTP6 bit to 1 stops
the clock supply to the SQ, and the SQ functions are therefore unavailable.
Bit 1: MSTP6 Description
0 SQ operating (Initial value)
1 Clock supply to SQ stopped
Bit 0 (SH7750S and SH7750R)—Module Stop 5 (MSTP5): Specifies stopping of the clock
supply to the user break controller (UBC) among the on-chip peripheral modules. See section
20.6, User Break Controller Stop Functions for how to set the clock supply.
Bit 0: MSTP5 Description
0 UBC operating (Initial value)
1 Clock supply to UBC stopped
9.2.5 Clock-Stop Register 00 (CLKSTP00) (SH7750R Only)
Clock-stop register 00 (CLKSTP00) controls the operation clock for peripheral modules. To
resume supply of the clock signal, write a 1 to the corresponding bit in the CLKSTPCLR00
register. Writing a 0 to the CLKSTP00 register does not affect the register’s value. The
CLKSTP00 register is a 32-bit register that can be read from or written to. It is initialized to
H'0000 0000 by a power-on reset, but not by a manual reset or when the device enters standby
mode.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
————————————————
Initial valu e: 0000000000000000
R/W: RRRRRRRRRRRRRRRR
Bit: 1514131211109876543210
——————————————
CSTP1 CSTP0
Initial valu e: 0000000000000000
R/W: RRRRRRRRRRRRRRR/WR/W
Bits 31 to 2—Reserved: Any data written to these bits should always be 0. Th ese bits are always
read as 0.
Rev. 6.0, 07/02, page 229 of 986
Bit 1—Clock stop 1 (CSTP1): This bit specifies stopping of the peripheral clock supply to
channels 3 and 4 of the timer unit (TMU).
Bit 1: CSTP1 Description
0 Peripheral clock is supplied to TMU channels 3 and 4 (Initial value)
1 Peripheral clock supply to TMU channels 3 and 4 is stopped
Bit 0
Clock Stop 0 (CSTP0): Specifies stopping of the peripheral clock supply to the interrupt
controller (INTC) . I f this bit is set, INTC does not detect interrupts on the TMU’s channels 3 and
4.
Bit 0: CSTP0 Description
0 INTC detects interrupts on channels 3 and 4 of the TMU (Initial value)
1 INTC does not detect interrupts on channels 3 and 4 of the TMU
9.2.6 Clock-Stop Clear Register 00 (CLKSTPCLR00) (SH7750R Only)
The clock-stop clear register 00 (CLKSTPCLR00) is a 32-bit write-only register that clears the
corresponding bits of the CLKSTP00 register.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial valu e: 0000000000000000
R/W: WWWWWWWWWWWWWWWW
Bit: 1514131211109876543210
Initial valu e: 0000000000000000
R/W: WWWWWWWWWWWWWWWW
Bits 31 to 0
Clock-Stop Clea r: Specify whether or not to clear the corresponding bit of the
clock-stop setting. See section 9.2.5, Clock-Stop Register 00 (LKSTP00) (SH7750R only), for the
correspondence between the bits and the clocks that are stopped.
Bits 31 to 0 Description
0 Does not change the clock-stop setting for the corresponding clock
1 Clears the clock-stop setting for the corresponding clock
Rev. 6.0, 07/02, page 230 of 986
9.3 Sleep Mode
9.3.1 Transition t o Sleep Mode
If a SLEEP instruction is executed when the STBY bit in STBCR is cleared to 0, the chip switches
from the program ex ecution state to sleep mode. After execution of the SLEEP instruction, the
CPU halts but its register contents are retained. The on-chip peripheral modules continue to
operate, and the clock continues to be output from the CKIO pin.
In sleep mode, a high-level signal is output at the STATUS1 pin, and a low-level signal at the
STATUS0 pin.
9.3.2 Exit from Sleep Mode
Sleep mode is exited by means of an interrupt (NMI, IRL, or on-chip peripheral module) or a
reset. In sleep mode, interrupts are accepted even if the BL bit in the SR register is 1. If necessary,
SPC and SSR should be saved to the stack before executing the SLEEP instruction.
Exit by Interrupt: When an NMI, IRL, or on-chip peripheral module interrupt is generated, sleep
mode is exited and interrupt exception handling is executed. The code corresponding to the
interrupt source is set in the INTEVT register.
Exit by R eset: Sleep mode is exited by means of a power-on or manual reset via the RESET pin,
or a power-on or manual reset executed when the watchdog timer overflows.
9.4 Deep Sleep Mode
9.4.1 Transition to Deep Sleep Mode
If a SLEEP instruction is executed when the STBY bit in STBCR is cleared to 0 and the DSLP bit
in STBCR2 is set to 1, the chip switch es from the progra m execution state to deep sleep mode.
After execution of the SLEEP instruction, the CPU halts but its register contents are retained.
Except for the DMAC*, on-chip peripheral modules continue to operate. The clock continues to
be output to the CKIO pin, but all bus access (including auto refresh) stops. When using memory
that requires refreshing, set the self-refresh function prior to making the transition to deep sleep
mode.
In deep sleep mode, a high-level signal is output at the STATUS1 pin, and a low-level signal at
the STATUS0 pin.
Note: * Terminate DMA transf er s prior to making the transitio n to deep sleep mode. If you mak e a
transition to deep sleep mode while DMA transf er s are in progress, the results of those
transfers cannot be guaranteed.
Rev. 6.0, 07/02, page 231 of 986
9.4.2 Exit from Deep Sleep Mode
As with sleep mode, deep sleep mode is exited by means of an interrupt (NMI, IRL, or on-chip
peripheral module) or a reset.
9.5 Standby Mode
9.5.1 Transitio n t o Standby Mode
If a SLEEP instruction is executed when the STBY bit in STBCR is set to 1, the chip switches
from the program execution state to standby mode. In standby mode, the on-chip peripheral
modules halt as well as the CPU. Clock output from the CKIO pin is also stopped.
The CPU and cache register contents are retained. Some on-chip peripheral module registers are
initialized. The state of the peripheral module registers in standby mode is shown in table 9.4.
Table 9.4 State of Registers in Sta ndby Mode
Module Initialized Registers Registers That Retain
Their Contents
Interrupt controller All registers
User break controller All registers
Bus state controller All registers
On-chip oscillation circuits All registers
Timer unit TSTR register*All registers except TSTR
Realtime clo ck All registers
Direct memory access controller All registers
Serial communication interface See Appendix A, Address List See Appendix A, Address List
Notes: DMA transfer should be terminated before making a transition to standby mode. Transfer
results are not guaranteed if standby mode is entered during transfer.
* Not initialized when the realtime clock (RTC) is in use (see section 12, Timer Unit
(TMU)).
The procedure for a transition to standby mode is shown below.
1. Clear the TME bit in the WDT timer control register (WTCSR) to 0, and stop the WDT.
Set the initial value for the up-count in the WDT tim er counter (WTCNT), and set th e clock to
be used for the up-count in bits CKS2–CKS0 in the WTCSR register.
2. Set the STBY bit in the STBCR register to 1, then execute a SLEEP instruction.
3. When standby mode is entered and the chip’s internal clock stops, a low-level signal is output
at the STATUS1 pin, and a high-level signal at the STATUS0 pin.
Rev. 6.0, 07/02, page 232 of 986
9.5.2 Exit f r om Standby Mo de
Standby mode is exited by means of an interrupt (NMI, IRL, or on-chip peripheral module) or a
reset via the RESET pin.
Exit by Interrupt: A hot start can be performed by means of the on-chip WDT. When an NMI,
IRL*1, RTC, o r GPIO*2 interrupt is detected, the WDT starts counting. After the count overflows,
clocks are supplied to the entire chip, standby mode is exited, and the STATUS1 and STATUS0
pins both go low. Interrupt exception handling is then executed, and the code corresponding to the
interrupt source is set in the INTEVT register. In standby mode, interrupts are accepted even if the
BL bit in the SR register is 1, and so, if necessary, SPC and SSR should be saved to the stack
before executing the SLEEP instruction.
The phase of the CKIO pin clock output may be unstable immediately after an interrupt is
detected, until standby mode is exited.
Notes: *1 Only when the RTC clock (32.768 kHz) is operating (see section 19.2.2, IRL
Interrupts), standby mode can be exited by means of IRL3–IRL0 (when the IRL3–
IRL0 level is higher than the SR register I3–I0 mask level).
*2 GPIO can be used to cancel standby mode when the RTC clock (32.768 kHz) is
operating (when the GPIO level is higher than the SR register I3–I0 mask level).
Exit by Reset: Standby mode is exited by means of a reset (power-on or manual) via the RESET
pin. The RESET pin should be held low until clock oscillation stabilizes. The internal clock
continues to be output at the CKIO pin.
9.5.3 Clock Pause Function
In standby mode, it is possible to stop or change the frequency of the clock input from the EXTAL
pin. This function is used as follows.
1. Enter standby mode following the transition procedure described above.
2. When standby mode is entered and the chip’s internal clock stops, a low-level signal is output
at the STATUS1 pin, and a high-level signal at the STATUS0 pin.
3. The input clock is stopped, or its frequency changed, after the STATUS1 pin goes low and the
STATUS0 pin high.
4. When the frequency is changed, input an NMI or IRL interrupt after the change. When the
clock is stopped, input an NMI or IRL interrupt after applying the clock.
5. After the tim e set in the WDT, clock supply begins inside the chip, the STATUS1 and
STATUS0 pins both go low, and op eration is resumed from interrupt exception handling.
Rev. 6.0, 07/02, page 233 of 986
9.6 Module Standby Function
9.6.1 Transition t o Module St andby Function
Setting the MSTP6–MSTP0, CSTP1, and CSTP0 bits in the standby control register to 1 enables
the clock supply to the corresponding on-chip peripheral modules to be halted. Use of this
function allows power consumption in sleep mode to be further reduced.
In the module standby state, the on-chip peripheral module external pins retain their states prior to
halting of th e modules, and most registers retain their states prior to halting of the modules.
Rev. 6.0, 07/02, page 234 of 986
Bit Description
CSTP1*60 Peripheral clock is supplied to TMU channels 3 and 4
1 Peripheral clock supplied to TMU channels 3 and 4 is stopped
CSTP0*60 INTC detects interrupts on TMU channels 3 and 4
1 INTC does not detect interrupts on TMU channels 3 and 4
MSTP6*40 SQ operates
1 Clock supplied to SQ is stopped
MSTP5*40 UBC operates
1 Clock supplied to UBC is stopped*5
MSTP4 0 DMAC operates
1 Clock supplied to DMAC is stopped*3
MSTP3 0 SCIF operates
1 Clock supplied to SCIF is stopped
MSTP2 0 TMU operates
1 Clock supplied to TMU is stopped, and register is initialized*1
MSTP1 0 RTC operates
1 Clock supplied to RTC is stopped*2
MSTP0 0 SCI operates
1 Clock supplied to SCI is stopped
Notes: *1 The register initialized is the same as in standby mode, but initialization is not
performed if the RTC clock is not in use (s ee section 12, Timer Unit (TMU)).
*2 The counter operates when the START bit in RCR2 is 1 (see section 11, Realtime
Clock (RTC)).
*3 Terminate DMA transfers prior to making the transition to module standby mode. If you
make a transition to module standby mode while DMA transfers are in progress, the
results of those transfers cannot be guaranteed.
*4 SH7750S, SH7750R only
*5 For details, see section 20.6, User Break Controller Stop Functions.
*6 SH7750R only
9.6.2 Exit from Module Standby F unction
The module standby function is exited by clearing the MSTP6–MSTP0, CSTP1, and CSTP0 bits
to 0, or by a power-on reset via the RESET pin or a power-on reset caused by watchdog timer
overflow.
Rev. 6.0, 07/02, page 235 of 986
9.7 Hardware Standby Mode (SH7750S, SH7750R Only)
9.7.1 Transition to Hardware Standby Mode
Setting the CA pin level low effects a transition to hardware standby mode. In this mode, all
modules other than the RTC stop, as in the standby mode selected using the SLEEP command.
Hardware standby mode differs from standby mode as follows:
1. Interrupts and manual resets are not available;
2. All output pins other than the STATUS pin are in the high-impedance state and the pull-up
resistance is off.
3. Even when no power is supplied to power pins other than the RTC power supply pin, the RTC
continues to operate.
The status of the ST A TUS pin is d e te rmined by th e STHZ bit of STBCR2. See appendix E, Pin
Functions, for details of output pin states.
Operation when a low-level is input to the CA pin when in the standby mode depends on the CPG
status, as follows:
1. In standby mode
The clock remains stopped and a transition is made to the hardware standby state.
2. When WDT is operating when standby mode is exited by interrupt
Standby mode is momentarily exited, the CPU restarts, and then a transition is made to
hardware standby mode.
Note that the level of the CA pin must be kept low while in hardware standby mode.
9.7.2 Exit fro m H ardware Standby Mode
Hardware standby mode can only be exited by effecting a power-on reset.
Setting the CA pin level high after the RESET pin level has been set low and the SCK2 pin high
starts the clock to oscillate. The RESET pin lev e l should be kept low until th e clock has stabilized,
then set high so that the CPU starts the power-on reset procedure.
Note that hardware standby mode cannot be exited using interrupts or a manual reset.
9.7.3 Usage Notes
The CA pin level m ust be kept high during the power-on oscillatio n settling period when the RTC
power supply is started (figure 9.15).
Rev. 6.0, 07/02, page 236 of 986
9.8 STATUS Pin Change Timing
The STATUS1 and STATUS0 pin change timing is shown below.
The meaning of th e STATUS pin settings is as follows:
Reset: HH (STATUS1 high, STATUS0 high)
Sleep: HL (STATUS1 high, STATUS0 low)
Standby: LH (STATUS1 low, STATUS0 high)
Normal: LL (STATUS1 low, STATUS0 low)
The meaning of the clock units is as follows:
Bcyc: Bus clock cycle
Pcyc: Peripheral clock cycle
Rev. 6.0, 07/02, page 237 of 986
9.8.1 In Reset
Power-On Reset
CKIO
STATUS
SCK2
Normal Reset Normal
0–5 Bcyc 0–30 Bcyc
PLL stabilization
time
Figure 9.1 STATUS Output in Power-On Reset
Manual Reset
CKIO
*
STATUS Normal Reset Normal
SCK2
0–30 Bcyc
0 Bcyc
Note: *In a manual reset, STATUS = HH (reset) is set and an internal reset started after waiting
until the end of the currently executing bus cycle.
Figure 9.2 STATUS O utput in Manua l Reset
Rev. 6.0, 07/02, page 238 of 986
9.8.2 In Exit f r om Standby Mode
Standby
Interrupt
CKIO
STATUS Normal Standby Normal
WDT count
Oscillation stops Interrupt request WDT overflow
Figure 9.3 STATUS Output in Standby
Interrupt Sequence
Standby
Power-On Reset
Reset
CKIO
*1
STATUS Normal Reset Normal
0–10 Bcyc
Standby
Oscillation stops
SCK2
*2
0–30 Bcyc
Notes: *1 When standby mode is exited by means of a power-on reset, a WDT count is not
performed. Hold low for the PLL oscillation stabilization time.
*2 Undefined
Figure 9.4 STATUS Output in Standby
Power-On Reset Sequence
Rev. 6.0, 07/02, page 239 of 986
Standby
Manual Reset
Reset
CKIO
*1
STATUS Normal Reset Normal
0–10 Bcyc
Standby
Oscillation stops
SCK2
*2
0–30 Bcyc
Notes: *1 When standby mode is exited by means of a manual reset, a WDT count is not
performed. Hold low for the PLL oscillation stabilization time.
*2 Undefined
Figure 9.5 STATUS Output in Standby
Manual Reset Sequence
Rev. 6.0, 07/02, page 240 of 986
9.8.3 In Exit from Sleep Mode
Sleep
Interrupt
CKIO
STATUS Normal Sleep Normal
Interrupt request
Figure 9.6 STATUS Output in Sleep
Interrupt Sequence
Sleep
Power-On Reset
Reset
CKIO
STATUS Normal Reset
Sleep Normal
0–10 Bcyc 0–30 Bcyc
*1
SCK2
*2
Notes: *1 When sleep mode is exited by means of a power-on reset, hold low for the
oscillation stabilization time.
*2 Undefined
Figure 9.7 STATUS Output in Sleep
Power-On Reset Sequence
Rev. 6.0, 07/02, page 241 of 986
Sleep
Manual Reset
Reset
*
STATUS Normal Reset
Sleep Normal
CKIO
0–30 Bcyc 0–30 Bcyc
Note: * Hold low until STATUS = reset.
SCK2
Figure 9.8 STATUS Output in Sleep
Manua l Reset Sequence
Rev. 6.0, 07/02, page 242 of 986
9.8.4 In Exit from Deep Sleep Mode
Deep Sleep
Interrupt
CKIO
STATUS Normal Sleep Normal
Interrupt request
Figure 9.9 STATUS O utput in Deep Sleep
Interrupt Sequence
Deep Sleep
Power-On Reset
Reset
CKIO
STATUS Normal Sleep Reset Normal
0–10 Bcyc 0–30 Bcyc
*1
SCK2
*2
Notes: *1 When deep sleep mode is exited by means of a power-on reset, hold low for the
oscillation stabilization time.
*2 Undefined
Figure 9.10 STATUS Output in Deep Sleep
Power-On Reset Sequence
Rev. 6.0, 07/02, page 243 of 986
Deep Sleep
Manual Reset
Reset
*
STATUS Normal Sleep Reset
Normal
CKIO
0–30 Bcyc 0–30 Bcyc
Note: * Hold low until STATUS = reset.
SCK2
Figure 9.11 STATUS Output in Deep Sleep
Manua l Reset Sequence
Rev. 6.0, 07/02, page 244 of 986
9.8.5 Hardware Standby Mode Timing (SH7750S, SH7750R Only)
Figure 9.12 shows the timing of the signals of the respective pins in hardware standby mode.
The CA pin level must be kept low while in hardware standby mode.
After setting th e RESET pin level low, the clock starts when the CA pin level is switched to high.
CKIO
CA
SCK2 (High)
STATUS Reset
0–10 Bcyc0–10 Bcyc
Waiting for end of bus cycle
*2
Notes: *1 Same at sleep and reset.
*2 Undefined
*3 High impedance when STBCR2. STHZ = 0
Normal*1
Standby
*3
Figure 9.12 Hardware Standby Mo de Timing
(When CA = Low in Normal Opera tion)
Rev. 6.0, 07/02, page 245 of 986
CKIO
(High)
CA
STATUS Standby
0–10 Bcyc
Normal
(High)
SCK2
WDT count
WDT overflowInterrupt request
Note: * High impedance when STBCR2. STHZ = 0
Standby
*
Figure 9.13 Hardware Standby Mo de Timing
(When CA = Low in WD T Operation)
Rev. 6.0, 07/02, page 246 of 986
V
DDQ
*
V
DD
SCK2
CA
Min 0s Min 0s
Max 50 µs
Note: * V
DDQ
, V
DD-CPG
, V
DD-PLL1
, V
DD-PLL2
V
DD
min
Figure 9.14 Timing When Power Other than VDD-RTC is Off
CA
VDD-RTC
SCK2
VDD, VDDQ
*
Min 0s
Note: *VDD, VDD-PLL1/2, VDDQ, VDD-CPG
Power-on oscillation
setting time
Figure 9.15 Timing When VDD-RTC Power is Off
On
Rev. 6.0, 07/02, page 247 of 986
Section 10 Clock Oscillation Circuits
10.1 Overview
The on-chip o scillation circuits comprise a clock pulse g enerator (CPG) and a watchdog timer
(WDT).
The CPG generates the clocks supplied inside the processor and performs power-down mode
control.
The WDT is a single-channel timer used to count th e clo ck stabilization time when ex iting standby
mode or the frequency is changed. It can be used as a normal watchdog timer or an interval timer.
10.1.1 Features
The CPG has the following features:
Three clocks
The CPG can generate independently the CPU clock (Iφ) used by the CPU, FPU, caches, and
TLB, the peripheral module clock (Pφ) used by the peripheral modules, and the bus clock
(CKIO) used by the external bus interface.
Six clock modes
Any of six clock operating modes can be selected, with different combinations of CPU clock,
bus clock, and peripheral module clock division ratios after a power-on reset.
Frequency change function
PLL (phase-locked loop) circuits and a frequency divider in the CPG enable the CPU clock,
bus clock, and peripheral module clock frequencies to be changed independently. Frequency
changes are performed by software in accordance with the settings in the frequency control
register (FRQCR).
PLL on/off control
Power consumption can be reduced by stopping the PLL circuits during low-frequency
operation.
Power-down mode control
It is possible to stop the clock in sleep mode and standby mode, and to stop specific modules
with the module standby function.
Rev. 6.0, 07/02, page 248 of 986
The WDT has the following features
Can be used to secure clock stabilization time
Used when exiting standby mode or a temporary standby state when the clock frequency is
changed.
Can be switched between watchdog timer mode and interval timer mode
Internal reset generation in watchdog timer mode
An internal reset is executed on counter overflow.
Power-on reset or manual reset can be selected.
Interrupt gen eration in interval timer mode
An interval timer interrupt is generated on counter overflow.
Selection of eight counter input clocks
Any of eight clocks can be selected, scaled from the ×1 clock of frequency divider 2 shown in
figure 10.1.
The CPG is described in sections 10.2 to 10.6, and the WDT in sections 10.7 to 10.9.
Rev. 6.0, 07/02, page 249 of 986
10.2 Overview of CPG
10.2.1 Block Diagram of CPG
Figure 10.1 (1) shows a block diagram of the CPG in the SH7750 and SH7750S, and figure 10.1
(2) a block diagram of the CPG in the SH7750R.
FRQCR: Frequency control register
STBCR: Standby control register
STBCR2: Standby control register 2
Oscillator circuit
PLL circuit 1
Frequency
divider 2
Crystal
oscillator
Frequency
divider 1
PLL circuit 2
CPU clock (Iø)
cycle Icyc
Peripheral module
clock (Pø) cycle
Pcyc
Bus clock (Bø)
cycle Bcyc
CPG control unit
Clock frequency
control circuit Standby control
circuit
Bus interface
Internal bus
XTAL
EXTAL
MD8
CKIO
MD2
MD1
MD0
FRQCR STBCR2
× 1
× 1/2
× 1/3
× 1/4
× 1/6
× 1/8
× 6
× 1/2
× 1
STBCR
Figure 10.1 (1) Block Diagram of CPG (SH7750, SH7750S)
Rev. 6.0, 07/02, page 250 of 986
FRQCR:
STBCR:
STBCR2:
Frequency control register
Standby control register
Standby control register 2
Oscillator circuit
PLL circuit 1
Frequency
divider 2
Crystal
oscillator
CPU clock (Iø)
cycle Icyc
Peripheral module
clock (Pø) cycle
Pcyc
Bus clock (Bø)
cycle Bcyc
CPG control unit
Clock frequency
control circuit Standby control
circuit
Bus interface
Internal bus
XTAL
EXTAL
MD8
CKIO
MD2
MD1
MD0
FRQCR STBCR2
× 1
× 1/2
× 1/3
× 1/4
× 1/6
× 1/8
× 6
× 12
PLL circuit 2
× 1
STBCR
Figure 10.1 (2) Block Diagram of CPG (SH7750R)
Rev. 6.0, 07/02, page 251 of 986
The function of each of the CPG blocks is described below.
PLL Circuit 1: PLL circuit 1 has a function for mu ltiplying the clock frequen cy from the EXTAL
pin or crystal oscillator by 6 with the SH7750 and SH7750S, and by 6 or 12 with the SH7750R.
Starting and stopping is controlled by a frequency control register setting. Control is performed so
that the internal clock rising edge phase matches the input clock rising edge phase.
PLL Circuit 2: PLL circuit 2 coordinates the phases of the bus clock and the CKIO pin output
clock. Starting and stopping is controlled by a frequency control register setting.
Crystal Oscillator: This is the oscillator cir cuit used when a crystal resonator is conn ected to the
XTAL and EXTAL pins. Use of the crystal oscillator can be selected with the MD8 pin.
Frequency Divider 1 (SH7750 and SH7750S only): Frequency divider 1 has a function for
adjusting the clock waveform duty to 50% by halving the input clock frequency when clock input
from the EXTAL pin is su pplied internally without using PLL circuit 1.
Frequency Divider 2: Frequency divider 2 generates the CPU clock (Iφ), bus clock (Bφ), and
peripheral module clock (Pφ). The division ratio is set in the frequency control register.
Clock Frequency Control Circuit: The clock frequency control circuit controls the clock
frequency by means of the MD pins and frequency control register.
Standby Cont rol Circuit: The standby control circuit controls the state of the on-chip oscillation
circuits and other modules when the clock is switched and in sleep and standby modes.
Frequency Control Register (FRQCR): The frequency control register contains control bits for
clock output from the CKIO pin, PLL circuit 1 and 2 on/off control, and the CPU clock, bus clock,
and peripheral module clock frequency division ratios.
Standby Control Register (STBCR): The standby control register contains power save mode
control bits. For further info rmation on the standby control register, see section 9, Power-Down
Modes.
Standby Control Register 2 ( STBCR2): Standby control register 2 contains a power save mode
control bit. For further information on standby control register 2, see section 9, Power-Down
Modes.
Rev. 6.0, 07/02, page 252 of 986
10.2.2 CPG Pin Configuration
Table 10.1 shows the CPG pins and their functions.
Table 10.1 CPG Pins
Pin Name Abbreviation I/O Function
Mode control pins MD0 Input Set clock operating mode
MD1
MD2
XTAL Output Connects crysta l reson ator
EXTAL Input Connects crysta l reson ator, or used as
external clock input pin
Crystal I/O pins
(clock inp ut pins)
MD8 Input Selects use/non- use of crysta l resona tor
When MD8 = 0, external clock is input from
EXTAL
When MD8 = 1, crystal resonator is
connected directly to EXTAL and XTAL
Clock output pin CKIO Output Used as external clock output pin
Level can also be fixed
CKIO enable pin CKE Output 0 when CKIO output clock is unstable and in
case of synchronous DRAM self-refreshing*
Note: * Set to 1 in a power-on reset.
For details of synchronous DRAM self-refreshing, see section 13.3.5, Synchronous DRAM
Interface.
10.2.3 CPG Register Configuration
Table 10.2 shows the CPG register configuration.
Table 10.2 CPG Register
Name Abbreviation R/W Initial Value P4 Address Area 7
Address Access
Size
Frequency control
register FRQCR R/W Undefined*H'FFC00000 H'1FC00000 16
Note: *Depends on the clock operating mode set by pins MD2–MD0.
Rev. 6.0, 07/02, page 253 of 986
10.3 Clock Operating Modes
Tables 10.3 (1) and 10.3 (2) show the clock operating modes corresponding to various
combinatio ns of mode control pin (MD2–M D0) settings (initial settings such as the frequen cy
division ratio).
Table 10.4 shows FRQCR settings and internal clock frequencies.
Table 10.3 (1) Clock Operating Modes (SH7750, SH7750S)
External
Pin Combination Frequency
(vs. Input Clock)
Clock
Operating
Mode MD2 MD1 MD0
1/2
Frequency
Divider PLL1 PLL2 CPU
Clock Bus
Clock
Peripheral
Module
Clock FRQCR
Initia l Va l u e
0 0 Off On On 6 3/2 3/2 H'0E1A
1
0
1 Off On On 6 1 1 H'0E23
2 0 On On On 3 1 1/2 H'0E13
3
0
1
1 Off On On 6 2 1 H'0E13
4 0 On On On 3 3/2 3/4 H'0E0A
5
10
1 Off On On 6 3 3/2 H'0E0A
Notes: 1. Turning on/off of the ½ frequency divider is solely determined by the clock operating
mode.
2. For the ranges of input clock frequency, see the descriptions of the EXTAL clock input
frequency (fEX) and CKIO clock output (f OP) in section 22.3.1, Clock and Control Signal
Timing.
Table 10.3 (2) Clock Operating Modes (SH7750R)
External
Pin Combination Frequency
(vs. Input Clock)
Clock
Operating
Mode MD2 MD1 MD0 PLL1 PLL2 CPU
Clock Bus
Clock Peripheral
Module Clock FRQCR
Initia l Va l u e
00On (×12) On 12 3 3 H'0E1A
1
0
1On (×12) On 12 3/2 3/2 H'0E2C
20On (×6) On 6 2 1 H'0E13
3
0
1
1On (×12) On 12 4 2 H'0E13
40On (×6) On 6 3 3/2 H'0E0A
5
0
1On (×12) On 12 6 3 H'0E0A
6
1
10Off (×6) Off 1 1/2 1/2 H'0808
Notes: 1. The multiplication factor of PLL 1 is solely determined by the clock operating mode.
2. For the ranges of input clock frequency, see the descriptions of the EXTAL clock input
frequency (fEX) and CKIO clock output (f OP) in section 22.3.1, Clock and Control Signal
Timing.
Rev. 6.0, 07/02, page 254 of 986
Table 10.4 FRQCR Setting s and Internal Clock Fr equencies
Frequency Division Ratio
FRQCR
(Lower 9 Bits) CPU Clock Bus Clock Peripheral Module Clock
H'008 1/2
H'00A 1/4
H'00C
1/2
1/8
H'011 1/3
H'013
1/3
1/6
H'01A 1/4
H'01C
1/4
1/8
H'023 1/6 1/6
H'02C
1
1/8 1/8
H'05A 1/4
H'05C
1/4
1/8
H'063 1/6 1/6
H'06C
1/2
1/8 1/8
H'0A3 1/3 1/6 1/6
H'0EC 1/4 1/8 1/8
Note: For the lower 9 bits of FRQCR, do not set values other than those shown in the table.
10.4 CPG Register Description
10.4.1 Frequency Control Register (FRQCR)
The frequency control register (FRQCR) is a 16-bit readable/writable register that specifies
use/non-use of clock output from the CKIO pin, PLL circuit 1 and 2 on/off control, and the CPU
clock, bus clock, and peripheral module clock frequency division ratios. Only word access can be
used on FRQCR.
FRQCR is initialized only by a po wer -on reset via the RESET p in . The initial value of each bit is
determined by the clock operating mode.
Rev. 6.0, 07/02, page 255 of 986
Bit: 15 14 13 12 11 10 9 8
————CKOENPLL1EN PLL2EN IFC2
Initial value:0000111
R/W: R/W R/W R/W R R/W R/W R/W R/W
Bit:76543210
IFC1 IFC0 BFC2 BFC1 BFC0 PFC2 PFC1 PFC0
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bits 15 to 12—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 11—Clock Output Enable (CKOEN): Specifies whether a clock is output from the CKIO
pin or the CKIO pin is placed in the high-impedance state. When the CKIO pin goes to the high-
impedance state, operation continues at the operating frequency before this state was entered.
When the CKIO pin becomes high-impedance, it is pulled up.
Bit 11: CKOEN Description
0 CKIO pin goes to high-impedance state (pulled up*)
1 Clock is output from CKIO pin (Initial value)
Note: * It is not pulled up in hardware standby mode.
Bit 10—PLL Circuit 1 Enable (PLL1EN): Specifies whether PLL circuit 1 is on or off.
Bit 10: PLL1EN Description
0 PLL circuit 1 is not used
1 PLL circuit 1 is used (Initial value)
Bit 9—PLL Circuit 2 Enable (PLL2EN): Specifies whether PLL circuit 2 is on or off.
Bit 9: PLL2EN Description
0 PLL circuit 2 is not used
1 PLL circuit 2 is used (Initial value)
Rev. 6.0, 07/02, page 256 of 986
Bits 8 to 6—CPU Clock Frequency Division Ratio (IFC): These bits specify the CPU clock
frequency division ratio with respect to the input clock, 1/2 frequency divider, or PLL circuit 1
output frequency.
Bit 8: IFC2 Bit 7: IFC1 Bit 6: IFC0 Description
000× 1
1× 1/2
10× 1/3
1× 1/4
100× 1/6
1× 1/8
Other than the above Setting prohibited (Do not set)
Bits 5 to 3—Bus Clock Frequency Division Ratio (BFC): These bits specify the bus clock
frequency division ratio with respect to the input clock, 1/2 frequency divider, or PLL circuit 1
output frequency.
Bit 5: BFC2 Bit 4: BFC1 Bit 3: BFC0 Description
000× 1
1× 1/2
10× 1/3
1× 1/4
100× 1/6
1× 1/8
Other than the above Setting prohibited (Do not set)
Bits 2 to 0—Peripheral Mo dule Clock Frequency Division Ratio (PFC): These bits specify the
peripheral module clock frequency division ratio with respect to the input clock, 1/2 frequency
divider, or PLL circuit 1 output frequency.
Bit 2: PFC2 Bit 1: PFC1 Bit 0: PFC0 Description
000× 1/2
1× 1/3
10× 1/4
1× 1/6
100× 1/8
Other than the above Setting prohibited (Do not set)
Rev. 6.0, 07/02, page 257 of 986
10.5 Changing the Frequency
There are two methods of changing the internal clock frequency: by changing stopping and
starting of PLL circuit 1, and by changing the frequency division ratio of each clock. In both
cases, control is performed by software by means of the frequency control register. These methods
are described below.
10.5 .1 Changing PLL Circuit 1 Star ting/Stopping (When PLL Circ uit 2 is Off)
When PLL circuit 1 is changed from the stopped to started state, a PLL stabilization time is
required. The oscillation stabilization time count is performed by the on-chip WDT.
1. Set a value in WDT to provide the specified oscillation stabilization time, and stop the WDT.
The following settings are necessary:
WTCSR register TME bit = 0: WDT stopped
WTCSR register CKS2–CKS0 bits: WDT count clock division ratio
WTCNT counter: Initial counter value
2. Set the PLL1EN bit to 1.
3. Internal processor operation stops temporarily, and the WDT starts counting up. The internal
clock stops and an unstable clock is output to the CKIO pin.
4. After the WDT count overflows, clock supply begins within the chip and the processor
resumes operation. The WDT stops after overflowing.
10.5 .2 Changing PLL Circuit 1 Star ting/Stopping (When PLL Circ uit 2 is On)
When PLL circuit 2 is on, a PLL circuit 1 and PLL circuit 2 oscillation stabilization time is
required.
1. Make WDT settings as in 10.5.1.
2. Set the PLL1EN bit to 1.
3. Internal processor operation stops temporarily, PLL circuit 1 oscillates, and the WDT starts
counting up. The internal clock stops and an unstable clock is output to the CKIO pin.
4. After the WDT count overflows, PLL circuit 2 starts oscillating. The WDT resumes its up-
count from the value set in step 1 above. During this time, also, the internal clock is stopped
and an unstable clock is output to the CKIO pin.
5. After the WDT count overflows, clock supply begins within the chip and the processor
resumes operation. The WDT stops after overflowing.
Rev. 6.0, 07/02, page 258 of 986
10.5.3 Changing Bus Clock Division Ratio (When PLL Circuit 2 is On)
If PLL circuit 2 is on when the bus clock frequency division ratio is changed, a PLL circuit 2
oscillation stabilizatio n time is requir e d.
1. Make WDT settings as in 10.5.1.
2. Set the BFC2–BFC0 bits to the desired value.
3. Internal processor operation stops temporarily, and the WDT starts counting up. The internal
clock stops and an unstable clock is output to the CKIO pin.
4. After the WDT count overflows, clock supply begins within the chip and the processor
resumes operation. The WDT stops after overflowing.
10.5.4 Changing Bus Clock Division Ratio (When PLL Circuit 2 is Off)
If PLL circuit 2 is off when the bus clock frequency division ratio is changed, a WDT count is not
performed.
1. Set the BFC2–BFC0 bits to the desired value.
2. The set clock is switched to immediately.
10.5.5 Changing CPU or Peripheral Module Clock Division Ratio
When the CPU or peripheral module clock frequency division ratio is changed, a WDT count is
not performed.
1. Set the IFC2–IFC0 or PFC2–PFC0 bits to the desired value.
2. The set clock is switched to immediately.
10.6 Output Clock Control
The CKIO pin can be switched between clock output and a fixed level setting by means of the
CKOEN bit in the FRQCR register. When the CKIO pin goes to the high-impedance state, it is
pulled up.
Rev. 6.0, 07/02, page 259 of 986
10.7 Overview of Watchdog Timer
10.7.1 Block Diagram
Figure 10.2 shows a block diagram of the WDT.
Standby
release
Internal reset
request
Interrupt
request
Standby
control
Reset
control
Interrupt
control
WTCSR WTCNT
Bus interface
Clock selection
Overflow
Frequency divider
Clock selector
Clock
WDT
WTCSR: Watchdog timer control/status register
WTCNT: Watchdog timer counter
Standby
mode
Frequency
divider 2 ×1
clock
Figure 10.2 Block Diagram of WDT
Rev. 6.0, 07/02, page 260 of 986
10.7.2 Register Configuration
The WDT has the two registers summarized in table 10.5. These registers control clock selection
and timer mode switching.
Table 10.5 WDT Registers
Name Abbreviation R/W Initial
Value P4 Address Area 7
Address Access Size
Watchdog timer
counter WTCNT R/W*H'00 H'FFC00008 H'1FC00008 R: 8, W: 16*
Watchdog timer
control/status
register
WTCSR R/W*H'00 H'FFC0000C H'1FC0000C R: 8, W: 16*
Note: *Use word-size access when writing. Perform the write with the upper byte set to H'5A or
H'A5, respectively. Byte- and longword-size writes cannot be used.
Use byte access when reading.
10.8 WDT Register Descriptions
10.8.1 Watchdog Timer Counter (WTCNT)
The watchdog timer counter (WTCNT) is an 8-bit readable/writable counter that counts up on the
selected clock. When WTCNT overflows, a reset is generated in watchdog timer mode, or an
interrupt in interv al tim er mod e . WTCNT is initialized to H'00 o n ly by a po wer-on reset v ia the
RESET pin.
To write to the WTCNT counter, use a word-size access with the upper byte set to H'5A. To read
WTCNT, use a byte-size access.
Bit:76543210
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 261 of 986
10.8.2 Watchdog Timer Control/Status Register (WTCSR)
The watchdog timer contr ol/status register (WTCS R) is an 8-bit readable/writab le r e gister
containing bits for selecting the count clock and timer mode, and ov erflow flags.
WTCSR is initialized to H'00 only by a power - on reset via the RESET pin. It retains its value in
an internal reset due to WDT overflow. When used to count the clock stabilization time when
exiting standby mode, WTCSR retains its value after the counter overflows.
To write to the WTCSR register, use a word-size access with the upper byte set to H'A5. To read
WTCSR, use a byte-size access.
Bit:76543210
TME WT/IT RSTS WOVF IOVF CKS2 CKS1 CKS0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit 7—Timer Enable (TME): Specifies starting and stopping of timer operation. Clear this bit to
0 when using the WDT in standby mode or to change a clock frequency.
Bit 7: TME Description
0 Up-count stopped, WTCNT value retained (Initial value)
1 Up-count started
Bit 6—Timer Mode Select (WT/IT
ITIT
IT): Specifies whether the WDT is used as a watchdog timer or
interval timer.
Bit 6: WT/ IT
ITIT
IT Description
0 Interval timer mode (Initial value)
1 Watchdog timer mode
Note: The up-count may not be performed correctly if WT/IT is modified while the WDT is running.
Bit 5—Reset Select (RSTS): Specifies the kind of reset to be performed when WTCNT
overflows in watchdog timer mode. This setting is ignored in interval timer mode.
Bit 5: RSTS Description
0 Power-on reset (Initial value)
1 Manual reset
Rev. 6.0, 07/02, page 262 of 986
Bit 4—Watchdog Timer Overflow Flag (WOVF): Indicates that WTCNT has overflowed in
watchdog timer mode. This flag is not set in interval timer mode.
Bit 4: WOVF Description
0 No overflow (Initial value)
1 WTCNT has overflowed in watchdog timer mode
Bit 3—Interval Timer Overflow Flag (IOVF): Indicates that WTCNT has overflowed in
interval timer m ode. This flag is not set in watchdog timer mode.
Bit 3: IOVF Description
0 No overflow (Initial value)
1 WTCNT has overflowed in interval timer mode
Bits 2 to 0—Clock Select 2 to 0 (CKS2–CKS0): These bits select the clock used for the WTCNT
count from eight clocks obtained by dividing the frequency divider 2 input clock*. The overflow
periods shown in the following table are for use of a 33 MHz input clock, with frequency divider 1
off, and PLL circuit 1 on (×6).
Note: * When PLL1 is switched on or off, the clock following the switch is used.
Description
Bit 2: CKS2 Bit 1: CKS1 Bit 0: CKS0 Clock Division Ratio Overflow Period
0001/32 (Initial value)41 µs
1 1/64 82 µs
1 0 1/128 164 µs
1 1/256 328 µs
1001/512 656 µs
1 1/1024 1.31 ms
1 0 1/2048 2.62 ms
1 1/4096 5.25 ms
Note: The up-count may not be performed correctly if bits CKS2–CKS0 are modified while the
WDT is running. Always stop the WDT before modifying these bits.
Rev. 6.0, 07/02, page 263 of 986
10.8.3 Notes on Register Access
The watchdog timer counter (WTCNT) and watchdog timer control/status register (WTCSR)
differ from other registers in being more difficult to write to. The procedure for writing to these
registers is given below.
Writing to WTCNT and WTCSR: These r egisters must be wr itten to with a word transfer
instruction . They cannot be written to with a by te or long word transfer instruction . When writing
to WTCNT, perform the transfer with the upper byte set to H'5A and the lower byte containing the
write data. When wr iting to WTCSR, perf orm the transfer with th e upper byte set to H'A5 and the
lower byte containing the write data. This transfer procedure writes the lower byte data to
WTCNT or WTCSR. The write formats are shown in figure 10.3.
15 8 7 0
H'5A Write data
Address: H'FFC00008
(H'1FC00008)
15 8 7 0
H'A5 Write data
Address: H'FFC0000C
(H'1FC0000C)
WTCSR write
WTCNT write
Figure 10.3 Writing to WTCNT and WTCSR
10.9 Using the WDT
10.9.1 Standby Clearing Procedure
The WDT is used when clearing standby mode by means of an NMI or other interrupt. The
procedure is shown below. (As the WDT does not operate when standby mode is cleared with a
reset, the RESET pin should be held low until the clock stabilizes.)
1. Be sure to clear the TME bit in the WTCSR register to 0 befor e making a transition to standby
mode. If the TME bit is set to 1, an inadvertent reset or interval timer in ter rup t ma y be cau sed
when the count overflows.
2. Select the count clock to be used with bits CKS2–CKS0 in the WTCSR register, and set the
initial value in the WTCNT counter. Make these settings so that the time until the count
overflows is at least as long as the clock oscillation stabilization time. For details of the clock
oscillation stabilizatio n time, see section 22.3.1, Clock and Control Signal Timing.
3. Make a transition to standby mode, and stop the clock, by executing a SLEEP instruction.
Rev. 6.0, 07/02, page 264 of 986
4. The WDT starts counting on detection of an NMI signal transition edge or an interrupt.
5. When the WDT count overflows, the CPG starts clock supply and the processor resumes
operatio n. The WOVF flag in the WTCSR reg ister is not set at this time.
6. The counter stops at a value of H'00–H'01. The value at which the counter stops depends on
the clock ra tio.
10.9.2 Frequency Changing Procedure
The WDT is used in a frequency ch ange using the PLL. It is not used when the frequency is
changed simply by making a frequency divider switch.
1. Be sure to clear the TME bit in the WTCSR register to 0 before making a frequency change. If
the TME bit is set to 1, an inadvertent reset or interval timer in ter rup t m ay be caused wh en the
count overflows.
2. Select the count clock to be used with bits CKS2–CKS0 in the WTCSR register, and set the
initial value in the WTCNT counter. Make these settings so that the time until the count
overflows is at least as long as the clock oscillation stabilization time. For details of the clock
oscillation stabilizatio n time, see section 22.3.1, Clock and Control Signal Timing.
3. When the frequency contro l register (FRQCR) is modified, the clock stops, and the standby
state is entered temporarily. The WDT starts counting.
4. When the WDT count overflows, the CPG starts clock supply and the processor resumes
operatio n. The WOVF flag in the WTCSR reg ister is not set at this time.
5. The counter stops at a value of H'00–H'01. The value at which the counter stops depends on
the clock ra tio.
6. When re-setting WTCNT immediately after modifying the frequency control register
(FRQCR), first read the counter and confirm that its value is as described in step 5 above.
10.9.3 Using Watchdog Timer Mode
1. Set the WT/IT bit in the WTCSR register to 1, select the typ e of re set with the RSTS bit, and
the count clock with bits CKS2–CKS0, and set the initial value in the WTCNT counter.
2. When the TME bit in the WTCSR register is set to 1, the count starts in watchdog timer mode.
3. During oper ation in watchdog timer mode, write H'00 to the counter periodically so that it does
not overflow.
4. When the counter overflows, the WDT sets the WOVF flag in the WTCSR register to 1, and
generates a reset of the type sp ecified by the RSTS bit. The counter then continues counting.
Rev. 6.0, 07/02, page 265 of 986
10.9.4 Using Interval Timer Mode
When the WDT is op e r ating in interval timer m ode, an interval timer interrupt is generated each
time the counter overflows. This enables interrupts to be generated at fixed intervals.
1. Clear the WT/IT bit in the WTCSR register to 0, select the count clock with bits CKS2–CKS0,
and set the initial value in the WTCNT counter.
2. When the TME bit in the WTCSR register is set to 1, the count starts in interval timer mode.
3. When the counter overflows, the WDT sets the IOVF flag in the WTCSR register to 1, and
sends an interv al timer interrupt request to INTC. The co unter contin ues counting.
10.10 Notes on Board Design
When Using a Crystal Resonator: Place the crystal resonator and capacitors close to the EXTAL
and XTAL pins. To prevent inductio n from interfering with correct oscillation, ensu r e that no
other signal lines cross the signal lines for these pins.
EXTAL XTAL
SH7750 Series
CL1 CL2
R
Avoid crossing signal lines Recommended values
CL1 = CL2 = 0–33 pF
R = 0
Note: The values for CL1, CL2, and the damping resistance should be determined after
consultation with the crystal resonator manufacturer.
Figure 10.4 Points for Attention when Using Crystal Resonator
When Inputting External Clo c k from EXTAL Pin: Make no connection to the XTAL pin.
Rev. 6.0, 07/02, page 266 of 986
When Using a PLL Oscillator Circuit: Separate VDD-CPG and VSS-CPG from the other VDD
and VSS lines at the board power supply source, and insert resistors RCB and RB and bypass
capacitors CPB and CB close to the pins as no ise f ilters.
VDD-PLL1
CPB1
CPB2
CB
RCB1
Recommended values
RCB1 = RCB2 = 10
CPB1 = CPB2 = 10 F
RB = 10
CB = 10 F
RCB2
RB
3.3 V
VSS-PLL1
VDD-PLL2
SH7750
Series
VSS-PLL2
VDD-CPG
VSS-CPG
Figure 10.5 Points fo r Attent ion when Using PLL Oscillato r Circuit
Rev. 6.0, 07/02, page 267 of 986
Section 11 Realtime Clock (RTC)
11.1 Overview
The SH7750 Series includes an on-chip realtime clock (RTC) and a 32.768 kHz crystal oscillator
for use by the RTC.
11.1.1 Features
The RTC has the following features.
Clock and calendar functions (BCD display)
Counts seconds, minutes, hours, day-of-week, days, months, and years.
1 to 64 Hz timer (binary display)
The 64 Hz counter register indicates a state of 64 Hz to 1 Hz within the RTC frequency divider
Start/stop fu nction
30-second adjustment function
Alarm interru pts
Comparison with second, minute, hour, day-of-week, day, month, or year (year is available
only with th e SH7750R) can be selected as the alarm in terrupt condition
Periodic interrupts
An interrupt period of 1/256 second, 1/64 second, 1/16 second, 1/4 second, 1/2 second, 1
second, or 2 seconds can be selected
Carry interrupt
Carry interrupt function indicating a second coun ter carry, or a 64 Hz counter carry when the
64 Hz counter is read
Automatic leap year adjustment
Rev. 6.0, 07/02, page 268 of 986
11.1.2 Block Diagram
Figure 11.1 shows a block diagram of the RTC.
R64CNT
RTCCLK
16.384 kHz
32.768 kHz
128 Hz
ATI PRI
CUI
RCR1
RCR2
RCR3*
RYRCNTRMONCNTRWKCNTRDAYCNTRHRCNTRMINCNTRSECCNT
RSECAR RMINAR RHRAR RDAYAR RWKAR RMONAR
Prescaler RTC crystal
oscillator RTC operation
control unit
RESET, STBY, etc
Counter unit Interrupt
control unit
To registers
Bus interface
RYRAR*
Internal peripheral module bus
Note: * SH7750R only
Figure 11.1 Block Diagram of RTC
Rev. 6.0, 07/02, page 269 of 986
11.1.3 Pin Configuration
Table 11.1 shows the RTC pins.
Table 11.1 RTC Pins
Pin Name Abbreviation I/O Function
RTC oscillator crystal pin EXTAL2 Input Connects crystal to RTC oscillator
RTC oscillator crystal pin XTAL2 Output Connects crystal to RTC oscillator
Clock input/ clo ck out put TCLK I/O External clo ck inp ut pin/i nput ca pture
control input pin/RTC output pin
(shared with TMU)
Dedicated RTC power
supply VDD- RTC RTC oscillator power sup ply pin*
Dedicated RTC GND pin VSS-RTC RTC oscillator GND pin*
Note: * Power must be supplied to the RTC power supply pins even when the RTC is not used.
11.1.4 Register Configuration
Table 11.2 summarizes the RTC registers.
Table 11.2 RTC Registers
Initialization
Name Abbrevia-
tion R/W
Power-
On
Reset Manual
Reset Standby
Mode Initial
Value P4 Address Area 7
Address Access
Size
64 Hz
counter R64CNT R Counts Counts Counts Undefined H'FFC80000 H'1FC80000 8
Second
counter RSECCNT R/W Counts Counts Counts Undefined H'FFC80004 H'1FC80004 8
Minute
counter RMINCNT R/W Counts Counts Counts Undefined H'FFC80008 H'1FC80008 8
Hour
counter RHRCNT R/W Counts Counts Counts Undefined H'FFC8000C H'1FC8000C 8
Day-of-
week
counter
RWKCNT R/W Counts Counts Counts Undefined H'FFC80010 H'1FC80010 8
Day
counter RDAYCNT R/W Counts Counts Counts Undefined H'FFC80014 H'1FC80014 8
Rev. 6.0, 07/02, page 270 of 986
Table 11.2 RTC Registers (cont)
Initialization
Name Abbrevia-
tion R/W Power-On
Reset Manual
Reset Standby
Mode Initial
Value P4 Address Area 7
Address Access
Size
Month
counter RMONCNT R/W Counts Counts Counts Undefined H'FFC80018 H'1FC80018 8
Year
counter RYRCNT R/W Counts Counts Counts Undefined H'FFC8001C H'1FC8001C 16
Second
alarm
register
RSECAR R/W Initialized*1Held Held Undefined*1H'FFC80020 H'1FC80020 8
Minute
alarm
register
RMINAR R/W Initialized*1Held Held Undefined*1H'FFC80024 H'1FC80024 8
Hour
alarm
register
RHRAR R/W Initialized*1Held Held Undefined*1H'FFC80028 H'1FC80028 8
Day-of-
week
alarm
register
RWKAR R/W Initialized*1Held Held Undefined*1H'FFC8002C H'1FC8002C 8
Day
alarm
register
RDAYAR R/W Initialized*1Held Held Undefined*1H'FFC80030 H'1FC80030 8
Month
alarm
register
RMONAR R/W Initialized*1Held Held Undefined*1H'FFC80034 H'1FC80034 8
RTC
control
register
1
RCR1 R/W Initialized Initialized Held H'00*3H'FFC80038 H'1FC80038 8
RTC
control
register
2
RCR2 R/W Initialized Initialized*2Held H'09*4H'FFC8003C H'1FC8003C 8
RTC
control
register
3*5
RCR3 R/W Initialized Held Held H'00 H'FFC80050 H'1FC80050 8
Year
alarm
register*5
RYRAR R/W Held Held Held Undefined H'FFC80054 H'1FC80054 16
Notes: *1 The ENB bit in each register is initialized.
*2 Bits other than the RTCEN bit and START bit are initialized.
*3 The value of the CF bit and AF bit is undefined.
*4 The value of the PEF bit is undefined.
*5 SH7750R only
Rev. 6.0, 07/02, page 271 of 986
11.2 Register Desc riptions
11.2.1 64 Hz Counter (R64CNT)
R64CNT is an 8-bit read-only register that indicates a state of 64 Hz to 1 Hz within the RTC
frequency divider.
If this register is read when a carry is generated from the 128 kHz frequency division stage, bit 7
(CF) in RTC control register 1 (RCR1) is set to 1, indicating the simultaneous occurrence of the
carry and the 64 Hz counter read. In this case, the read value is not valid, and so R64CNT must be
read again after fi rst writing 0 to the CF bit in RCR1 to clear it.
When the RESET bit or ADJ bit in RTC contro l register 2 (RCR2) is set to 1, the RTC freq uency
divider is in itialized and R64CNT is initialized to H'00.
R64CNT is not initialized by a power-on or manual r e set, or in standby mode.
Bit 7 is always read as 0 and cannot be modified.
Bit:76543210
1 Hz 2 Hz 4 Hz 8 Hz 16 Hz 32 Hz 64 Hz
Initial value: 0 Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W:RRRRRRRR
11.2.2 Second Counter (RSECCNT)
RSECCNT is an 8-bit readable/writable register used as a counter for setting and counting the
BCD-coded second value in the RTC. It counts on the carry (transition of the R64CNT.1Hz bit
from 0 to 1) generated once per second by the 64 Hz counter.
The setting range is decimal 00 to 59. The RTC will not operate norma lly if an y oth e r value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RSECCNT is not initialized by a power-on or manual reset, or in standby mode.
Bit 7 is always read as 0. A write to this bit is inv alid, but the write value should always be 0.
Bit:76543210
10-second units 1-second units
Initial value: 0 Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 272 of 986
11.2.3 Minute Counter (RMINCNT)
RMINCNT is an 8-bit readable/writable register used as a counter for setting and coun ting the
BCD-coded minute value in the RTC. It counts on the carry generated once per minute by the
second counter.
The setting range is decimal 00 to 59. The RTC will not operate norma lly if an y oth e r value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RMINCNT is not initialized by a power-on or manu a l reset, or in standby mode.
Bit 7 is always read as 0. A write to this bit is inv alid, but the write value should always be 0.
Bit:76543210
10-minute units 1-minute units
Initial value: 0 Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R R/W R/W R/W R/W R/W R/W R/W
11.2.4 Hour Counter (RHRCNT)
RHRCNT is an 8-bit readable/writable register used as a counter for setting and counting the
BCD-coded hour value in the RTC. It counts on the carry generated once per hour by the minute
counter.
The setting range is decimal 00 to 23. The RTC will not operate norma lly if an y oth e r value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RHRCNT is not initialized by a power-on or manual reset, or in standby mode.
Bits 7 and 6 are always read as 0. A write to these bits is invalid, but the write value should always
be 0.
Bit:76543210
10-hour units 1-hour units
Initial value: 0 0 Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R R R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 273 of 986
11.2.5 Day-of-Week Counter (RWKCNT)
RWKCNT is an 8-bit readable/writable register used as a counter for setting and counting the
BCD-coded day-of-week value in the RTC. It counts on the carry generated once per day by the
hour counter.
The setting range is decimal 0 to 6. The RTC will not operate normally if any other value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RWKCNT is not initialized by a power-on or manual reset, or in standby mode.
Bits 7 to 3 are alway s read as 0. A write to these bits is invalid, but the write value should always
be 0.
Bit:76543210
————— Day of week code
Initial value:00000
Undefined Undefined Undefined
R/W:RRRRRR/WR/WR/W
Day-of-week code 0 1 2 3 4 5 6
Day of week Sun Mon Tue Wed Thu Fri Sat
Rev. 6.0, 07/02, page 274 of 986
11.2.6 Day Counter (RDAYCNT)
RDAYCNT is an 8-bit readable/writable register used as a counter for setting and counting the
BCD-coded day value in the RTC. It counts on the carry generated once per day by the hour
counter.
The setting range is decimal 01 to 31. The RTC will not operate norma lly if an y oth e r value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RDAYCNT is not in itialized by a power-on or manual reset, or in standby mode.
The setting range for RDAYCNT depends on th e month and wh eth er the year is a leap year, so
care is required wh en making the setting. Taking the year counter (RYRCNT) value as the year,
leap year calculation is performed according to whether or not the value is divisible by 400, 100,
and 4.
Bits 7 and 6 are always read as 0. A write to these bits is invalid, but the write value should always
be 0.
Bit:76543210
10-day units 1-day units
Initial value: 0 0 Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R R R/W R/W R/W R/W R/W R/W
11.2.7 Month Counter (RMONCNT)
RMONCNT is an 8-bit readable/writable register used as a counter for setting and counting the
BCD-coded month value in the RTC. It counts on the carry generated once per month by the day
counter.
The setting range is decimal 01 to 12. The RTC will not operate norma lly if an y oth e r value is set.
Write processing should be performed after stopping the count with th e START bit in RCR2, or
by using the carry flag.
RMONCNT is not in itialized by a power - on or manua l r e set, o r in stan dby mode.
Bits 7 to 5 are alway s read as 0. A write to these bits is invalid, but the write value should always
be 0.
Rev. 6.0, 07/02, page 275 of 986
Bit:76543210
0-month
unit 1-month units
Initial value: 0 0 0 Undefined Undefined Undefined Undefined Undefined
R/W: R R R R/W R/W R/W R/W R/W
11.2.8 Year Counter (RYRCNT)
RYRCNT is a 16-bit readable/writable register used as a counter for setting and counting the
BCD-coded year value in the RTC. It counts on the carry generated once per year by the month
counter.
The setting ran ge is decimal 0000 to 9999. The RTC will not operate normally if any o ther value
is set. Write processing should be performed after stopping the count with the START bit in
RCR2, or by using the carry flag.
RYRCNT is not initialized by a power-on or manual reset, or in standby mode.
Bit: 15 14 13 12 11 10 9 8
1000-year uni ts 100-year units
Initial value: Undefined Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
10-year units 1-year units
Initial value: Undefined Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 276 of 986
11.2.9 Second Alarm Register (RSECAR)
RSECAR is an 8-bit readable/writable register used as an alarm register for the RTC’s BCD-coded
second value counter, RSECCNT. When the ENB bit is set to 1, the RSECAR value is compared
with the RSECCNT value. Comparison between the counter and the alarm register is performed
for those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and RMONAR in
which the ENB bit is set to 1, an d the RCR1 alarm flag is set when the respectiv e values all match.
The setting ran ge is decimal 00 to 59 + ENB bit. The RTC will not operate normally if any other
value is set.
The ENB bit in RSECAR is initialized to 0 by a power-on reset. The other fields in RSECAR ar e
not initialized b y a power -on or m anual r e set, o r in stan dby mode.
Bit:76543210
ENB 10-second units 1-second units
Initial value: 0 Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
11.2.10 Minute Alarm Register (RMINAR)
RMINAR is an 8-bit readable/writable register used as an alarm register for the RTC’s BCD-
coded minute value counter, RMINCNT. When the ENB bit is set to 1, the RMINAR value is
compared with the RMINCNT value. Comparison between the counter and the alar m register is
performed for those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and
RMONAR in wh ich the ENB bit is set to 1, and the RCR1 alarm f lag is set when the respective
values all match .
The setting ran ge is decimal 00 to 59 + ENB bit. The RTC will not operate normally if any other
value is set.
The ENB bit in RMINAR is in itialized by a p ower-on reset. The other fields in RMINAR are n ot
initialized by a p ower-on or manual reset, or in stan dby mode.
Bit:76543210
ENB 10-minute units 1-minute units
Initial value: 0 Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 277 of 986
11.2.11 Hour Alarm Register (RHRAR)
RHRAR is an 8-bit readable/writable register used as an alarm register for the RTC’s BCD-coded
hour value counter, RHRCNT. When the ENB bit is set to 1, the RHRAR value is compared with
the RHRCNT value. Comparison between the counter and the alarm register is performed for
those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and RMONAR in
which the ENB bit is set to 1, and the RCR1 alarm flag is set when th e r e spective values all ma tch.
The setting ran ge is decimal 00 to 23 + ENB bit. The RTC will not operate normally if any other
value is set.
The ENB bit in RHRAR is initialized by a power-on reset. Th e othe r fields in RHRAR are not
initialized by a p ower-on or manual reset, or in stan dby mode.
Bit 6 is always read as 0. A write to this bit is inv alid, but the write value should always be 0.
Bit:76543210
ENB 10-hour units 1-hour units
Initial value: 0 0 Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R R/W R/W R/W R/W R/W R/W
11.2.12 Day-of-Week Alarm Register (RWKAR)
RWKAR is an 8-bit readable/writable register used as an alarm register for the RTC’s BCD-coded
day-of-week value counter, RWKCNT. When th e ENB bit is set to 1, the RWKAR value is
compared with the RWKCNT value. Comparison between the counter and the alarm register is
performed for those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and
RMONAR in wh ich the ENB bit is set to 1, and the RCR1 alarm f lag is set when the respective
values all match .
The setting range is decimal 0 to 6 + ENB bit. The RTC will n ot operate normally if any other
value is set.
The ENB bit in RWKAR is initialized b y a power-on reset. The other f ields in RWKAR are not
initialized by a p ower-on or manual reset, or in stan dby mode.
Bits 6 to 3 are alway s read as 0. A write to these bits is invalid, but the write value should always
be 0.
Rev. 6.0, 07/02, page 278 of 986
Bit:76543210
ENB———— Day of week code
Initial value:00000
Undefined Undefined Undefined
R/W:R/WRRRRR/WR/WR/W
Day-of-week code 0 1 2 3 4 5 6
Day of week Sun Mon Tue Wed Thu Fri Sat
11.2.13 Da y Alarm Register (RDAYAR)
RDAYAR is an 8-bit readab le/writab le reg ister u sed as an alarm register for the RTC’s BCD-
coded day valu e counter, RDAYCNT. When the ENB bit is set to 1 , the RDAYAR value is
compared with the RDAYCNT value. Comparison between the counter and the alarm register is
performed for those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and
RMONAR in wh ich the ENB bit is set to 1, and the RCR1 alarm f lag is set when the respective
values all match .
The setting ran ge is decimal 01 to 31 + ENB bit. The RTC will not operate normally if any other
value is set. The setting ran ge for RDAYAR depends on the month and whether the year is a leap
year, so care is required when making the setting.
The ENB bit in RDAYAR i s initialized by a power-on reset. The other fields in RDAYAR are no t
initialized by a p ower-on or manual reset, or in stan dby mode.
Bit 6 is always read as 0. A write to this bit is inv alid, but the write value should always be 0.
Bit:76543210
ENB 10-day units 1-day units
Initial value: 0 0 Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 279 of 986
11.2.14 Month Alarm Register (RMONAR)
RMONAR is an 8-bit readable/writable register used as an alarm register for the RTC’s BCD-
coded month value counter, RMONCNT. When the ENB bit is set to 1, the RMONAR value is
compared with the RMONCNT value. Comparison between the counter and the alarm register is
performed for those registers among RSECAR, RMINAR, RHRAR, RWKAR, RDAYAR, and
RMONAR in wh ich the ENB bit is set to 1, and the RCR1 alarm f lag is set when the respective
values all match .
The setting ran ge is decimal 01 to 12 + ENB bit. The RTC will not operate normally if any other
value is set.
The ENB bit in RMONAR i s initialized by a power-on reset. The other fields in RMONAR are
not initialized b y a power -on or m anual r e set, or in standby mode.
Bits 6 and 5 are always read as 0. A write to these bits is invalid, but the write value should always
be 0.
Bit:76543210
ENB 0-month
unit 1-month units
Initial value: 0 0 0 Undefined Undefined Undefined Undefined Undefined
R/W: R/W R R R/W R/W R/W R/W R/W
11.2.15 RTC Contro l Reg ister 1 (RCR1)
RCR1 is an 8-bit readable/writable register containing a carry flag and alarm flag, plus flags to
enable or disable interrupts for these flags.
The CIE and AIE bits are initialized to 0 by a power-on or manual re set; th e value of bits other
than CIE and AIE is undefined. In standby mode RCR1 is not initialized, and re tains its curren t
value.
Bit:76543210
CF CIE AIE AF
Initial value: Undefined Undefined Undefined 00
Undefined Undefined Undefined
R/W: R/W R R R/W R/W R R R/W
Rev. 6.0, 07/02, page 280 of 986
Bit 7—Carry Flag (CF): This flag is set to 1 on generation of a second counter carry, or a 64 Hz
counter carry when the 64 Hz counter is read. The count register value read at this time is not
guaranteed, and so the count register must be read again.
Bit 7: CF Description
0 No second counter carry, or 64 Hz counter carry when 64 Hz counter is read
[Clearing cond iti on]
When 0 is written to CF
1 Second counter carry, or 64 Hz counter carry when 64 Hz counter is read
[Setting conditions]
Generation of a second counter carry, or a 64 Hz counter carry when the
64 Hz counter is read
When 1 is written to CF
Bit 4—Carry Interrupt Enable Flag (CIE): Enables or disables interrupt generation when the
carry flag (CF) is set to 1.
Bit 4: CIE Description
0 Carry interrupt is not generated when CF flag is set to 1 (Initial value)
1 Carry interrupt is generated when CF flag is set to 1
Bit 3—Alarm Interrupt Enable Flag (AIE): Enables or disables interrupt generation when the
alarm flag (AF) is set to 1.
Bit 3: AIE Description
0 Alarm interrupt is not generated when AF flag is set to 1 (Initial value)
1 Alarm interrupt is generated when AF flag is set to 1
Rev. 6.0, 07/02, page 281 of 986
Bit 0—Alarm Flag (AF): Set to 1 when the alarm time set in those registers among RSECAR,
RMINAR, RHRAR, RWKAR, RDAYAR, and RMONAR in which the ENB bit is set to 1
matches the r e spective counter values.
Bit 0: AF Description
0 Alarm registers and counter values do not match (Initial value)
[Clearing cond iti on]
When 0 is written to AF
1 Alarm registers and counter values match*
[Setting condition]
When alarm regi sters in which the ENB bit is set to 1 and counter values
match*
Note: * Writing 1 does not change the value.
Bits 6, 5, 2, and 1—Reserved. The initial value of these bits is undefined. A write to these bits is
invalid, but the write value should always be 0.
11.2.16 RTC Contro l Reg ister 2 (RCR2)
RCR2 is an 8-bit readable/writable register used for periodic interrupt control, 30-second
adjustment, and frequency divider RESET and RTC count control.
RCR2 is basically initialized to H'09 by a power-on reset, except that the value of the PEF bit is
undefined. In a manual reset, bits other than RTCEN and START are initialized, while the value
of the PEF bit is undefined. In standby mode RCR2 is not initialized, and retains its current value.
Bit:76543210
PEF PES2 PES1 PES0 RTCEN ADJ RESET START
Initial value: Undefined 0001001
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 282 of 986
Bit 7—Periodic Interrupt Flag (PEF): Indicates interrupt generation at the interval specified by
bits PES2–PES0. When this flag is set to 1, a periodic interrupt is generated.
Bit 7: PEF Description
0 Interrupt is not generated at interval specified by bits PES2–PES0
[Clearing cond iti on]
When 0 is written to PEF
1 Interrupt is generated at interva l specif ied by bit s PES2–PES0
[Setting conditions]
Generation of interrupt at interval specified by bits PES2–PES0
When 1 is written to PEF
Bits 6 to 4—Periodic Interrupt Enable (PES2–PES0): These bits specify the period for periodic
interrupts.
Bit 6: PES2 Bit 5: PES1 Bit 4: PES0 Description
000No periodic interrupt generation(Initial value)
1 Periodic interrupt gener ate d at 1/256-se cond interval s
1 0 Periodic interrupt generate d at 1/64-se con d interv als
1 Periodic interrupt gener ate d at 1/16-se con d interv als
100Periodic interrupt generated at 1/4-second interva ls
1 Periodic interrupt gener ate d at 1/2-sec ond inter va ls
1 0 Periodic interrupt generate d at 1-secon d intervals
1 Periodic interrupt gener ate d at 2-secon d intervals
Bit 3—Oscillat or Enable (RTCEN) : Co ntrols the operation of th e RTC’s crystal oscillator.
Bit 3: RTCEN Description
0 RTC crystal oscillator is halted
1 RTC crystal oscillator is operated (Initial value)
Rev. 6.0, 07/02, page 283 of 986
Bit 2—30-Second Adjustment (ADJ): Used for 30-second adjustment. When 1 is written to this
bit, a value up to 29 seconds is rounded down to 00 seconds, and a value of 30 seconds or more is
rounded up to 1 minute. The frequency divider circuits (RTC prescaler and R64CNT) are also
reset at this time. This bit always returns 0 if read.
Bit 2: ADJ Description
0 Normal clock operation (Initial value)
1 30-second adjustment performed
Bit 1—Reset (RESET): The frequency divider circuits ar e in itialized by writing 1 to this bit.
When 1 is written to the RESET bit, the frequency d ivider cir cuits (RTC pr escaler and R64CNT)
are reset and the RESET bit is automatically cleared to 0 (i.e. does not n e ed to be written with 0).
Bit 1: RESET Description
0 Normal clock operation (Initial value)
1 Frequency divider circuits are reset
Bit 0—Start Bit (START): Stops and restarts counter (clock) operation.
Bit 0: START Description
0 Second, minute, hour, day, day-of-week, month, and year counters are
stopped*
1 Second, minute, hour, day, day-of-week, month, and year counters operate
normally*(Initi al val ue)
Note: *The 64 Hz counter continues to operate unless stopped by means of the RTCEN bit.
11.2.17 RTC Contro l Reg ister 3 (RCR3) and Year-Alarm Register (RYRAR)
(SH7750R Onl y)
RCR3 and RYRAR are readable/writable registers. RYRAR is the alarm register for the RTC’s
BCD-coded year-value counter RYRCNT. When the YENB bit of RCR3 is set to 1, the RYRCNT
value is compared with the RYRAR value. Comparison between the counter and the alarm register
only takes place with the alarm registers in which the ENB and YENB bits are set to 1. The alarm
flag of RCR1 is only set to 1 when the respective values all m atch.
The setting range of RYRAR is decimal 0000 to 9999, and normal operation is not obtained if a
value beyond this range is set here.
RCR3 is initialized by a power- on r e set, but RYRAR will not be initialized by a power- on or
manual reset, or by the device entering standby mode.
Rev. 6.0, 07/02, page 284 of 986
Bits 6 to 0 of RCR3 are always read as 0. Wr iting to these bits is invalid. If a value is written to
these bits, it should always be 0.
RCR3
Bit:76543210
YENB———————
Initial value:00000000
R/W:R/WRRRRRRR
RYRAR
Bit: 15 14 13 12 11 10 9 8
1000 years 100 years
Initial value: Undefined Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
10 years 1 year
Initial value: Undefined Undefined Undefined Undefined Undefined Undefined Undefined Undefined
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 285 of 986
11.3 Operation
Examples of the use of the RTC are shown below.
11.3.1 Time Setting P r ocedures
Figure 11.2 shows examples of the time setting procedures.
Stop clock
Reset frequency divider
Set second/minute/hour/day/
day-of-week/month/year
Start clock operation
Set RCR2.RESET to 1
Clear RCR2.START to 0
In any order
Set RCR2.START to 1
(a) Setting time after stopping clock
Clear carry flag
Write to counter register
Carry flag = 1?
No
Yes
Clear RCR1.CF to 0
(Write 1 to RCR1.AF so that alarm flag
is not cleared)
Set RYRCNT first and RSECCNT last
Read RCR1 register and check CF bit
(b) Setting time while clock is running
Figure 11.2 Examples of Time Setting Procedures
The procedure for setting the time after stopping the clock is shown in (a). The programming for
this method is simple, and it is useful for setting all the counters, from second to year.
Rev. 6.0, 07/02, page 286 of 986
The procedur e for setting th e time while the clock is runnin g is shown in (b). This meth od is
useful for modifying only certain counter values (for example, only the second data or hour data).
If a carry occurs during the write operation, the write data is automatically updated and there will
be an error in the set data. The carry flag should therefore be used to check the write status. If the
carry flag (RCR1.CF) is set to 1, the write must be repeated.
The interrupt function can also be used to determine the carry flag status.
11.3.2 Time Reading Procedures
Figure 11.3 shows examples of the time reading procedures.
Rev. 6.0, 07/02, page 287 of 986
Disable carry interrupts
Clear carry flag
Read counter register
Carry flag = 1?
Clear RCR1.CIE to 0
Clear RCR1.CF to 0
(Write 1 to RCR1.AF so that alarm flag
is not cleared)
Read RCR1 register and check CF bit
(a) Reading time without using interrupts
No
Yes
Clear carry flag
Enable carry interrupts
Clear carry flag
Read counter register
Interrupt generated?
Yes
Disable carry interrupts
No
(b) Reading time using interrupts
Set RCR1.CIE to 1
Clear RCR1.CF to 0
(Write 1 to RCR1.AF so that alarm flag
is not cleared)
Clear RCR1.CIE to 0
Figure 11.3 Examples of Time Reading Procedures
If a carry occurs while the time is be in g read, the correct time will not be obtained and the read
must be repeated. The procedure for reading the time without using interrupts is shown in (a), and
the procedure using carry interrupts in (b). The method without using interrupts is normally used
to keep the program simple.
Rev. 6.0, 07/02, page 288 of 986
11.3.3 Alarm Function
The use of the alarm function is illustr a ted in figure 11.4.
Clock running
Disable alarm interrupts
Set alarm time
Clear alarm flag
Enable alarm interrupts
Monitor alarm time
(Wait for interrupt or check
alarm flag)
Clear RCR1.AIE to prevent erroneous interrupts
Be sure to reset the flag as it may have been
set during alarm time setting
Set RCR1.AIE to 1
Figure 11.4 Example of Use of Alarm Function
An alarm can be generated by the second, minute, hour, day-of-week, day, month, or year (year is
available only with the SH7750R) value, or a combination of these. Write 1 to the ENB bit in the
alarm registers involved in the alarm setting, and set the alarm time in the lower bits. Write 0 to
the ENB bit in registers not involv ed in the alarm setting.
When the counter and the alarm time match, RCR1.AF is set to 1. Alarm detection can be
confirmed by reading this bit, but normally an interrupt is used. If 1 has been written to
RCR1.AIE, an alarm interrupt is generated in the event of alarm, enabling the alarm to be
detected.
The alarm flag remains set while the counter and alarm time match. If the alarm flag is cleared by
writing 0 durin g this period, it will therefor e be set again immediately afterward . This needs to be
taken into consideration when writing the program.
Rev. 6.0, 07/02, page 289 of 986
11.4 Interrupts
There are three kinds of RTC interrupt: alarm inter r upts, periodic interrupts, and carry interrupts.
An alarm interrup t r e quest ( ATI ) is generated when the alarm flag (AF) in RCR1 is set to 1 wh ile
the alarm interrupt enable bit (AIE) is also set to 1 .
A periodic interrupt request (PRI) is generated when the periodic interrupt enable bits (PES2–
PES0) in RCR2 are set to a valu e other than 000 and the periodic interrupt flag (PEF) is set to 1.
A carry interrupt request (CUI) is gen e rated when the carry fla g (CF) in RCR1 is set to 1 while the
carry interrup t enable bit (CIE) is also set to 1.
11.5 Usage Notes
11.5.1 Reg ist er Initialization
After power ing on and making the RCR1 register settings, reset the f r e quency divide r (by settin g
RCR2.RESET to 1 ) and make initial settings for all the other r egisters.
11.5.2 Carry Flag and Interrupt F lag in Standby Mode
When the carry flag or interrupt flag is set to 1 at the same time this LSI transits to normal mode
from standby mode by a reset or interrupt, the flag may not be set to 1. After exiting standby
mode, check the counters to judge the flag states if necessary.
11.5.3 Cryst al Oscillator Circuit
Crystal oscillator circuit constants (reco mmended valu es) are shown in table 11.3, and the RTC
crystal oscillator circu it in figure 11.5.
Table 11.3 Crystal Oscilla tor Circuit Consta nts (Recommende d Values)
fosc Cin Cout
32.768 kHz 10–22 pF 10–22 pF
Rev. 6.0, 07/02, page 290 of 986
SH7750
Series
EXTAL2 XTAL2
XTAL
C
in
C
out
R
f
R
D
Noise filter
C
RTC
R
RTC
3.3 V
VDD-RTC VSS-RTC
Notes: 1. Select either the C
in
or C
out
side for the frequency adjustment variable capacitor according to
requirements such as the adjustment range, degree of stability, etc.
2. Built-in resistance value R
f
(typ. value) = 10 M, R
D
(typ. value) = 400 k
3. C
in
and C
out
values include floating capacitance due to the wiring. Take care when using a solid-
earth board.
4. The crystal oscillation stabilization time depends on the mounted circuit constants, floating
capacitance, etc., and should be decided after consultation with the crystal resonator
manufacturer.
5. Place the crystal resonator and load capacitors C
in
and C
out
as close as possible to the chip.
(Correct oscillation may not be possible if there is externally induced noise in the EXTAL2 and
XTAL2 pins.)
6. Ensure that the crystal resonator connection pin (EXTAL2 and XTAL2) wiring is routed as far away
as possible from other power lines (except GND) and signal lines.
7. Insert a noise filter in the RTC power supply.
Figure 11.5 Example of Crystal Oscillator Circuit Connection
Rev. 6.0, 07/02, page 291 of 986
Section 12 Timer Unit (TMU)
12.1 Overview
The SH7750 Series of microprocessors include an on-chip 32-bit timer unit (TMU). The TMU of
the SH7750 or SH7750S has three 32-bit timer channels (channels 0 to 2), and the TMU of the
SH7750R has five channels (channels 0 to 4).
12.1.1 Features
The TMU has the following features.
Auto-reload type 32-bit down-counter provided for each channel
Input capture function provided in channel 2
Selection of rising edge or falling edge as external clock input edge when external clock is
selected or input capture function is used
32-bit timer constant register for auto-reload use, readable/writable at any time, and 32-bit
down-counter provided for each channel
For channels 0 to 2, selection of seven counter input clocks for each channel
External clock (TCLK), on-chip RTC output clock, five internal clocks (Pφ/4, Pφ/16, Pφ/64,
Pφ/256, Pφ/1024) (Pφ is the peripheral module clock)
For channels 3 and 4, selection is made among five internal clocks (SH7750R only).
Channels 0 to 2 can also operate in module standby mode when the on-chip RTC output clock
is selected as the counter input clock; that is, timer op eration continues even when the clock
has been stopped for the TMU.
Timer count operations using an external or internal clock are only possible when a clock is
supplied to the timer unit.
Two interrupt sources
One underflow source (each channel) and one input capture source (channel 2)
DMAC data transfer r equest capability
On channel 2, a data transfer r equest is sent to the DMAC when an inpu t cap ture in ter rup t is
generated.
Rev. 6.0, 07/02, page 292 of 986
12.1.2 Block Diagram
Figure 12.1 shows a block diagram of the TMU.
RESET, STBY,
etc. TUNE0,TUNE1 PCLK/4,16, 64
*1
TUNI2 ICPI2 TCLK RTCCLK TUNI3, 4
*2
TMU
control unit Prescaler
To each
channel To channels
0 to 2
TCLK
control unit
TOCR
TSTR
TSTR2
*2
Interrupt
contrun unitCounter unit
Interrupt
contrun unitCounter unit
Interrupt
contrun unitCounter unit
Ch 0, 1 Ch 2 Ch 3, 4
*2
Bus interface
Internal peripheral module bus
TCR TCOR TCNT TCR TCOR TCNTTCR2 TCOR2 TCNT2 TCPR2
Notes: *1 Signals with 1/4, 1/16, and 1/64 the Pφ frequency, supplied to the on-chip peripheral functions.
*2 SH7750R only
Figure 12.1 Block Diagram of TMU
12.1.3 Pin Configuration
Table 12.1 shows the TMU pins.
Table 12. 1 TMU Pins
Pin Name Abbreviation I/O Function
Clock input/ clo ck out put TCLK I/O External clock input pin/input ca pture
control input pin/RTC output pin
(shared with RTC)
Rev. 6.0, 07/02, page 293 of 986
12.1.4 Register Configuration
Table 12.2 summarizes the TMU registers.
Table 12.2 TMU Registers
Initialization
Chan-
nel Name Abbre-
viation R/W
Power-
On
Reset Manual
Reset
Stand-
by
Mode Init ial Value P4 Address Area 7
Address Access
Size
Com-
mon Timer
output
control
register
TOCR R/W Ini-
tialized Ini-
tialized Held H'00 H’FFD80000 H'1FD80000 8
Timer
start
register
TSTR R/W Ini-
tialized Ini-
tialized Ini-
tialized*1H'00 H’FFD80004 H'1FD80004 8
Timer
start
register 2
TSTR2*3R/W Ini-
tialized Held Held H'00 H'FE100004 H'1E100004 8
0Timer
constant
register 0
TCOR0 R/W Ini-
tialized Ini-
tialized Held H'FFFFFFFF H’FFD80008 H'1FD80008 32
Timer
counter 0 TCNT0 R/W Ini-
tialized Ini-
tialized Held*2H'FFFFFFFF H’FFD8000C H'1FD8000C 32
Timer
control
register 0
TCR0 R/W Ini-
tialized Ini-
tialized Held H'0000 H’FFD80010 H'1FD80010 16
1Timer
constant
register 1
TCOR1 R/W Ini-
tialized Ini-
tialized Held H'FFFFFFFF H’FFD80014 H'1FD80014 32
Timer
counter 1 TCNT1 R/W Ini-
tialized Ini-
tialized Held*2H'FFFFFFFF H’FFD80018 H'1FD80018 32
Timer
control
register 1
TCR1 R/W Ini-
tialized Ini-
tialized Held H'0000 H’FFD8001C H'1FD8001C 16
2Timer
constant
register 2
TCOR2 R/W Ini-
tialized Ini-
tialized Held H'FFFFFFFF H’FFD80020 H'1FD80020 32
Timer
counter 2 TCNT2 R/W Ini-
tialized Ini-
tialized Held*2H'FFFFFFFF H’FFD80024 H'1FD80024 32
Timer
control
register 2
TCR2 R/W Ini-
tialized Ini-
tialized Held H'0000 H’FFD80028 H'1FD80028 16
Input
capture
register
TCPR2 R Held Held Held Undefined H’FFD8002C H'1FD8002C 32
Rev. 6.0, 07/02, page 294 of 986
Initialization
Chan-
nel Name Abbre-
viation R/W
Power-
On
Reset Manual
Reset
Stand-
by
Mode Init ial Value P4 Address Area 7
Address Access
Size
3*3Timer
constant
register 3
TCOR3 R/W Ini-
tialized Held Held H'FFFFFFFF H’FE100008 H'1E100008 32
Timer
counter 3 TCNT3 R/W Ini-
tialized Held Held H'FFFFFFFF H’FE10000C H'1E10000C 32
Timer
control
register 3
TCR3 R/W Ini-
tialized Held Held H'0000 H’FE100010 H'1E100010 16
4*3Timer
constant
register 4
TCOR4 R/W Ini-
tialized Held Held H'FFFFFFFF H’FE100014 H'1E100014 32
Timer
counter 4 TCNT4 R/W Ini-
tialized Held Held H'FFFFFFFF H’FE100018 H'1E100018 32
Timer
control
register 4
TCR4 R/W Ini-
tialized Held Held H'0000 H’FE10001C H'1E10001C 16
Notes: *1 Not initialized in module standby mode when the input clock is the on-chip RTC output
clock.
*2 Counts in module standby mode when the input cl ock is the on-chip RTC output clock.
*3 SH7750R only
Rev. 6.0, 07/02, page 295 of 986
12.2 Register Desc riptions
12.2.1 Timer Output Control Register (TOCR)
TOCR is an 8-bit readable/writable register that specifies whether external pin TCLK is used as
the external clock or input capture control input pin, or as the on-chip RTC output clock output
pin.
TOCR is initialized to H'00 by a power-on or manual reset, but is not initialized in standby mode.
Bit:76543210
———————TCOE
Initial value:00000000
R/W:RRRRRRRR/W
Bits 7 to 1—Reserved: These bits are always read as 0. A write to these bits is invalid, but the
write value should always be 0.
Bit 0—Timer Clock Pin Contro l (TCOE): Specifies whether timer clock pin TCLK is used as
the external clock or input capture control input pin, or as the on-chip RTC output clock output
pin.
Bit 0: TCOE Description
0 Timer clock pin (TCLK) is used as external clock input or input capture
control input pin (Initial value)
1 Timer clock pin (TCLK) is used as on-chip RTC output clock output pin*
Note: * Low level output in standby mode.
Rev. 6.0, 07/02, page 296 of 986
12.2.2 Timer Start Register (TSTR)
TSTR is an 8-bit readable/writable register that specifies whether the channel 0–2 timer counters
(TCNT) are operated or stopped.
TSTR is initialized to H'00 by a power-on or m anua l r e set, or stan d by mode. In module standby
mode, TSTR is not initialized when the input clock selected by each channel is the on -chip RTC
output clock (RTCCLK), an d is initialized only when the input clock is the external clo ck (TCLK)
or internal clock (Pφ).
Bit:76543210
—————STR2STR1STR0
Initial value:00000000
R/W:RRRRRR/WR/WR/W
Bits 7 to 3—Reserved: These bits are always read as 0. A wr ite to these bits is invalid, bu t th e
write value should always be 0.
Bit 2—Counter Start 2 (STR2): Specifies whether timer counter 2 (TCNT2) is operated or
stopped.
Bit 2: STR2 Description
0 TCNT2 count operation is stopped (Initial value)
1 TCNT2 performs count operation
Bit 1—Counter Start 1 (STR1): Specifies whether timer counter 1 (TCNT1) is operated or
stopped.
Bit 1: STR1 Description
0 TCNT1 count operation is stopped (Initial value)
1 TCNT1 performs count operation
Bit 0—Counter Start 0 (STR0): Specifies whether timer counter 0 (TCNT0) is operated or
stopped.
Bit 0: STR0 Description
0 TCNT0 count operation is stopped (Initial value)
1 TCNT0 performs count operation
Rev. 6.0, 07/02, page 297 of 986
12.2.3 Timer Start Register 2 (TSTR2) (SH7750R Only)
TSTR2 is an 8-bit readable/writable register that specifies whether the channels 34 timer counters
(TSTR2) run or are stopped.
TSTR2 is initialized to H'00 by a power-on reset and retains its value in standby mode. If standby
mode is enter e d when the STR3 or STR4 bit is set to 1, counting is ha lted at the same time as the
peripheral module clock is stopped. Counting is restarted on resumption of the clock-signal
supply.
Bit:76543210
——————STR4STR3
Initial value:00000000
R/W:RRRRRRR/WR/W
Bits 7 to 2—Reserved: These bits are always read as 0. Writing to these bits is invalid. If a value
is written to these bits, it should always be 0.
Bit 1—Counter Start 4 (STR4): Specifies whether timer counter 4 (TCNT4) runs or is stopped.
Bit 1: STR4 Description
0 Counting by TCNT4 is stopped (Initial value)
1 Counting by TCNT4 proc eeds
Bit 0—Counter Start 3 (STR3): Specifies whether timer counter 3 (TCNT3) runs or is stopped.
Bit 0: STR3 Description
0 Counting by TCNT3 is stopped (Initial value)
1 Counting by TCNT3 proc eeds
Rev. 6.0, 07/02, page 298 of 986
12.2.4 Timer Constant Registers (TCOR)
The TCOR registers are 32-bit readable/writable registers. There are TCOR registers, one for each
channel.
When a TCNT counter underflows while counting down, the TCOR value is set in that TCNT,
which continues counting down from the set value.
The TCOR registers f or channels 0 to 2 are initialized to H'FFFFFFFF b y a power-o n or manual
reset, but are not initialized and retain their contents in standby mode. The TCOR registers for
channels 3 and 4 of the SH7750R are initialized to H'FFFFFFFF by a power-on reset, but are not
initialized and retain their contents on a manual reset and in standby mode.
Bit: 31 30 29 2 1 0
· · · · · · · · · · · · ·
Initial value:111 111
R/W: R/W R/W R/W R/W R/W R/W
12.2.5 Timer Counters (TCNT)
The TCNT registers are 32-bit readable/writable registers. There are TCNT registers, one for each
channel.
Each TCNT counts down on the input clock selected by TPSC2–TPSC0 in the timer control
register (TCR).
When a TCNT counter underflows while counting down, the underflow flag (UNF) is set in the
corresponding timer control register (TCR). At the same time, the timer constant register (TCOR)
value is set in TCNT, and the count-down operation continues from the set value.
The TCNT registers for channels 0 to 2 are initialized to H'FFFFFFFF by a p ower-on or manual
reset, but are not initialized and retain their contents in stan dby mode. The TCNT registers for
channels 3 and 4 of the SH7750R are initialized to H'FFFFFFFF by a power-on reset, but are not
initialized and retain their contents on a manual reset and in standby mode.
Bit: 31 30 29 2 1 0
· · · · · · · · · · · · ·
Initial value:111 111
R/W: R/W R/W R/W R/W R/W R/W
When the input clock is the on-chip RTC output clock (RTCCLK) in channels 0 to 2, TCNT
counts even in module standby mode (that is, when the clock for the TMU is stopped). When the
Rev. 6.0, 07/02, page 299 of 986
input clock is the external clock (TCLK) or internal clock (Pφ), TCNT contents are retained in
standby mode.
12.2.6 Timer Control Registers (TCR)
The TCR registers are 16-bit readable/writable registers. There are five TCR registers, one for
each channel.
Each TCR selects the count clock, specifies the edge when an external clock is selected in
channels 0 to 2, and controls interrupt generation when the flag indicating timer counter (TCNT)
underflow is set to 1. TCR2 is also used for channel 2 input capture control, and control of
interrupt generation in the event of input capture.
The TCR registers fo r channels 0 to 2 are initialized to H'0000 by a power-on or manual reset, b ut
are not initialized and r e tain their contents in standby mode. The TCR registers for channels 3 and
4 of the SH7750R are initialized to H'0000 by a power-on reset, but are not initialized and retain
their contents on a manual reset and in standby mode.
1. Channel 0 and 1 TCR bit configuration
Bit: 15 14 13 12 11 10 9 8
———————UNF
Initial value:00000000
R/W:RRRRRRRR/W
Bit:76543210
UNIE CKEG1 CKEG0 TPSC2 TPSC1 TPSC0
Initial value:00000000
R/W: R R R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 300 of 986
2. Channel 2 TCR bit configuration
Bit: 15 14 13 12 11 10 9 8
——————ICPFUNF
Initial value:00000000
R/W:RRRRRRR/WR/W
Bit:76543210
ICPE1 ICPE0 UNIE CKEG1 CKEG0 TPSC2 TPSC1 TPSC0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
3. TCR bit configuration for channels 3 and 4 (SH7750R only)
Bit: 15 14 13 12 11 10 9 8
———————UNF
Initial value:00000000
R/W:RRRRRRRR/W
Bit:76543210
UNIE TPSC2 TPSC1 TPSC0
Initial value:00000000
R/W: R R R/W R R R/W R/W R/W
Bits 15 to 9, 7, and 6 (Channels 0 and 1); Bits 15 to 10 (Channel 2)—Reserved: These bits are
always read as 0. A write to these bits is invalid, but the write value should always be 0.
Bit 9—Input Capture Interrupt Flag (ICPF) (Channel 2 Only): Status flag, provided in
channel 2 only, that indicates the occurrence of input capture.
Bit 9: ICPF Description
0 Input capture has not occurred (Initial value)
[Clearing cond iti on]
When 0 is written to ICPF
1 Input capture has occurred
[Setting condition]
When input capture occurs*
Note: * Writing 1 does not change the value.
Rev. 6.0, 07/02, page 301 of 986
Bit 8—Underflow Flag (UNF): Status flag that indicates the occurrence of underflow.
Bit 8: UNF Description
0 TCNT has not underflowed (Initial value)
[Clearing cond iti on]
When 0 is written to UNF
1 TCNT has underflowed
[Setting condition]
When TCNT underflows*
Note: *Writing 1 does not change the value.
Bits 7 and 6—Input Capture Control (ICPE1, ICPE0) (Channel 2 Only): These bits, provided
in channel 2 only, specify whether the input capture function is used, and control enabling or
disabling of interrupt generation when the function is used.
When the input capture function is used, a data transfer request is sent to the DMAC in the event
of input captu r e .
When using the input capture function, the TCLK pin must be designated as an input pin with the
TCOE bit in the TOCR register. The CKEG bits specify whether the rising edge or falling edge of
the TCLK signal is used to set the TCNT2 value in the input capture register (TCPR2).
The TCNT2 valu e is set in TCPR2 only when the TCR2.ICPF bit is 0 . When the TCR2.ICPF bit is
1, TCPR2 is not set in the event of input capture. When input capture occurs, a DMAC transfer
request is generated regardless of the value of the TCR2.ICPF bit. However, a new DMAC
transfer re quest is not gener ated u ntil processing of the pr evio us request is finished.
Bit 7: ICPE1 Bit 6: ICPE0 Description
0 0 Input capture function is not used (Initial value)
1 Reserved (Do not set)
1 0 Input capture function is used, but interrupt due to input capture
(TICPI2) is not enabled
Data transfer request is sent to DMAC in the event of input
capture
1 Input capture function is us ed, and interrupt due to input
capture (TICPI2) is enabled
Data transfer request is sent to DMAC in the event of input
capture
Rev. 6.0, 07/02, page 302 of 986
Bit 5—Underflow Interrupt Control (UNIE): Controls enabling or disabling of interrupt
generation when the UNF status flag is set to 1, indicating TCNT underflow.
Bit 5: UNIE Description
0 Interrupt due to underflow (TUNI) is not enabled (Initial value)
1 Interrupt due to underflow (TUNI) is enabled
Bits 4 and 3—Clock Edge 1 and 0 (CKEG1, CKEG0): These bits select the external clock input
edge when an external clock is selected or the input capture function is used in channels 0 to 2.
Bit 4: CKEG1 Bit 3: CKEG0 Description
0 0 Count/input capture register set on rising edge (Initial value)
1 Count/input capture register set on falling edge
1 X Count/input capture register set on both rising and falling edges
Note: X: 0 or 1 (don’t care)
Bits 2 to 0—Timer Prescaler 2 to 0 (TPSC2–TPSC0): These bits select the TCNT count clock.
With channels 0 to 2, when the on-chip RTC output clock is selected as the count clock for a
channel, that channel can operate even in module standby mode. When another clock is selected,
the channel does not operate in standby mode.
Bit 2: TPSC2 Bit 1: TPSC1 Bit 0: TPSC0 Description
0 0 0 Counts on Pφ/4 (Initial value)
1 Counts on Pφ/16
1 0 Counts on Pφ/64
1 Counts on Pφ/256
1 0 0 Counts on Pφ/1024
1 Reserved (Do not set)
1 0 Counts on on-chip RTC output clock
(Do not set this pattern for channel 3 or 4)
1 Counts on external clock
(Do not set this pattern for channel 3 or 4)
Rev. 6.0, 07/02, page 303 of 986
12.2.7 Input Capt ure Register (TCPR2)
TCPR2 is a 32-bit read-only register for use with the input capture function, provided only in
channel 2.
The input capture function is controlled by means of the input capture control bits (ICPE) and
clock edge bits (CKEG) in TCR2. When input capture occurs, the TCNT2 value is copied into
TCPR2. The value is set in TCPR2 only when the ICPF bit in TCR2 is 0.
TCPR2 is not initialized by a power-on or manual reset, or in standby mode.
Bit: 31 30 29 2 1 0
· · · · · · · · · · · · ·
Initial value: Undefined
R/W:RRR RRR
Rev. 6.0, 07/02, page 304 of 986
12.3 Operation
Each channel has a 32-bit timer counter (TCNT) that performs count-down operations, and a 32-
bit timer constant register (TCOR). The channels have an auto-reload function that allows cyclic
count operations, and can also perform external event counting. Channel 2 also has an input
capture function.
12.3.1 Counter Operation
When one of bits STR0–STR4 is set to 1 in the timer start re g ister (TSTR, TSTR2), the timer
counter (TCNT) for the corresponding channel starts counting. When TCNT underflows, the UNF
flag is set in the co r responding timer control register (TCR). If the UNIE bit in TCR is set to 1 at
this time, an interrupt request is sent to the CPU. At the same time, the va lue is copied from
TCOR into TCNT, and the count-down continues (auto-reload function).
Example of Count Operation Setting Procedure: Figure 12.2 shows an example of the count
operation setting procedure.
1. Select the count clock, for channel 0, 1, or 2, with bits TPSC2–TPSC0 in the timer contro l
register (TCR). When an extern al clock is selected , set the TCLK pin to input mode with the
TCOE bit in TOCR, and select the external clock edge with bits CKEG1 and CKEG0 in TCR.
2. Specify whether an interrupt is to be generated on TCNT underflow with the UNIE bit in TCR.
3. When the input capture function is used, set the ICPE bits in TCR, including specification of
whether the in terru pt function is to be used.
4. Set a value in the timer constant register (TCOR).
5. Set the initial value in the timer counter (TCNT).
6. Set the STR bit to 1 in the timer start register (TSTR, TSTR2) to start the count.
Rev. 6.0, 07/02, page 305 of 986
1
2
Operation selection
Select count clock
Underflow interrupt
generation setting When input capture
function is used
3
4
5
6
Input capture interrupt
generation setting
Timer constant
register setting
Set initial timer
counter value
Start count
Note: When an interrupt is generated, clear the source flag in the interrupt handler. If the interrupt
enabled state is set without clearing the flag, another interrupt will be generated.
Figure 12.2 Example of Count Operation Setting Procedure
Auto-Reload Count Operation: Figure 12.3 shows the TCNT auto-reload operation.
TCOR
H'00000000
STR0–STR2
UNF
TCNT value TCOR value set in TCNT
on underflow
Time
Figure 12.3 TCNT Auto-Reload Operation
Rev. 6.0, 07/02, page 306 of 986
TCNT Count Timing:
Operating on internal clock
Any of five count clocks (Pφ/4, Pφ/16, Pφ/64, Pφ/256, or Pφ/1024) scaled from the peripheral
module clock can be selected as the count clock by means of the TPSC2–TPSC0 bits in TCR.
Figure 12.4 shows the timing in this case.
Pφ
Internal clock
TCNT N + 1 N N – 1
Figure 12.4 Count Timing when Operating on Internal Clock
Operating on external clock
For channels 0 to 2, external clock pin (TCLK) input can be selected as the timer clock by
means of the TPSC2–TPSC0 bits in TCR. The rising edge, falling edge, or both edges can be
selected as the detected edge of the external clock with the CKEG1 and CKEG0 bits in TCR.
Figure 12.5 shows the timing for both-edge detection.
N + 1 N – 1N
Pφ
External clock
input pin
TCNT
Figure 12.5 Count Timing when Operating on External Clock
Rev. 6.0, 07/02, page 307 of 986
Operating on on-chip RTC output clock
The on-chip RTC output clock can be selected as the timer clock in channels 0 to 2 by means
of the TPSC2–TPSC0 bits in TCR. Figure 12.6 shows the timing in this case.
N + 1 N N – 1
RTC output clock
TCNT
Figure 12.6 Count Timing when Operating on On-Chip RTC Output Clock
12.3.2 Input Ca pt ure F unction
Channel 2 has an input capture function.
The procedure for using the input capture function is as follows:
1. Use the TCOE bit in the timer output control register (TOCR) to set the TCLK pin to inpu t
mode.
2. Use bits TPS C2 TPSC0 in the timer control register ( TCR) to set an internal clock or the on-
chip RTC output clock as the timer operating clock.
3. Use bits IPCE1 and IPCE0 in TCR to specify use of the input captu re function, and whether
interrupts are to generated when this function is used.
4. Use bits CKEG1 and CKEG0 in TCR to specify whether the rising or falling edge of the
TCLK signal is to be used to set the timer counter (TCNT) value in the input capture register
(TCPR2).
This function cannot be used in standby mode.
When input capture occurs, the TCNT2 value is set in TCPR2 only when the ICPF bit in TCR2 is
0. Also, a new DMAC tr ansfer request is not generated until pro cessing of the previous requ est is
finished.
Figure 12.7 shows the operation timing when the input capture function is used (with TCLK rising
edge detection).
Rev. 6.0, 07/02, page 308 of 986
TCOR
H'00000000
TCLK
TCPR2
TICPI2
TCNT value TCOR value set in TCNT
on underflow
TCNT value set
Time
Figure 12.7 Operation Timing when Using Input Capture Function
12.4 Interrupts
There are four TMU interrupt sources, comprising underflow interrupts and the input capture
interrupt (when the input capture function is used). Underflow interrupts are generated on each of
the channels, and input capture interrupts on channel 2 only.
An underflow interrupt request is generated (for each channel) when the UNF bit in TCR is 1 and
the interrupt enable bit for the corresponding channel is 1.
When the input capture function is used and an input capture request is generated, an interrupt is
requested if the input capture input flag (ICPF) in TCR2 is 1 and the input capture control bits
(ICPE1, ICPE0) in TCR2 are 11.
The TMU interrupt sources are summarized in table 12.3.
Rev. 6.0, 07/02, page 309 of 986
Table 12.3 TMU Interrupt Sources
Channel Interrupt Source Description Priority
0 TUNI0 Underflow interrupt 0 High
1 TUNI1 Underflow interrupt 1
2 TUNI2 Underflow interrupt 2
TICPI2 Input capture interrupt 2
3*TUNI3 Underflow interrupt 3
4*TUNI4 Underflow interrupt 4 Low
Note: * SH7750R only
12.5 Usage Notes
12.5.1 Register Writes
When performing a register write, timer count operation must be stopped by clearing the start bit
(STR0–STR4) for the relevant channel in the timer start register (TSTR, TSTR2).
Note that the timer start register (TSTR, TSTR2) can be written to, and the underflow flag (UNF)
and input capture flag (ICPF) of the timer control registers (TRCR0 to TCR4) can be cleared
while the count is in progress. When the flags (UNF, ICPF) are cleared while the count is in
progress, make sure not to change the values of bits other than those being cleared.
12.5.2 TCNT Register Reads
When performing a TCNT register read, processing for synchronization with the timer count
operation is performed. If a timer count operation and register read processing are performed
simultaneously, the TCNT counter value prior to the count-down operation is read by means of the
synchronization processing.
12.5.3 Resetting the RTC Frequency Divider
When the on-chip RTC output clock is selected as the count clock, the RTC frequency divider
should be reset.
12.5.4 External Clock Frequency
Ensure that the external clock frequency for any channel does not exceed Pφ/4.
Rev. 6.0, 07/02, page 310 of 986
Rev. 6.0, 07/02, page 311 of 986
Section 13 Bus State Controller (BSC)
13.1 Overview
The functions of the bus state controller (BSC) include division of the external memory space, and
output of control signals in accordance with various types of memory and bus interface
specifications. The BSC functions allow DRAM, synchronous DRAM, SRAM, ROM, etc., to be
connected to the SH7750 Series, and also support the PCMCIA interface protocol, enabling
system design to be simplified and data transfers to be carried out at high speed by a compact
system.
13.1.1 Features
The BSC has the following features:
External memory space is managed as 7 independent areas
Maximum 64 Mbytes for each of areas 0 to 6
Bus width of each area can be set in a register (except area 0, which uses an external pin
setting)
Wait state inser tion by RDY pin
Wait state insertion can be controlled by program
Specification of types of memory connectable to each area
Output the control signals of memory to each area
Automatic wait cycle in sertion to prevent data bus collisions in case of consecutiv e
memory accesses to different areas, or a read access followed by a write access to the same
area
Write strobe setup time and hold time periods can be inserted in a write cycle to enable
connection to low-speed memory
SRAM interface
Wait state insertion can be controlled by program
Wait state inser tion by RDY pin
Connectable areas: 0 to 6
Settable bus widths: 64, 32, 16, 8
DRAM interface
Row address/co lu mn address multiplexing according to DRAM capacity
Burst operation (fast page mode, EDO mode)
CAS-before-RAS refresh and self-refresh
8-CAS byte control for power-d own operat ion
DRAM control signal timing can be controlled by register settings
Rev. 6.0, 07/02, page 312 of 986
Consecutive accesses to the same row address
Connectable areas: 2, 3
Settable bus widths: 64, 32, 16
Synchronous DRAM interface
Row address/column address multiplexing according to synchronous DRAM capacity
Burst operation
Auto-refresh and self-refresh
Synchronous DRAM control signal timing can be controlled by register settings
Consecutive accesses to the same row address
Connectable areas: 2, 3
Settable bus widths: 64, 32
Burst ROM interface
Wait state insertion can be controlled by program
Burst operation, executing the number of transfers set in a register
Connectable areas: 0, 5, 6
Settable bus widths: 64*, 32, 16, 8
MPX interface
Address/da ta m ultiplexing
Connectable areas: 0 to 6
Settable bus widths: 64, 32
Byte contro l SRAM interface
SRAM interface with byte control
Connectable areas: 1, 4
Settable bus widths: 64, 32, 16
PCMCIA interf ace
Wait state insertion can be controlled by program
Bus sizing function for I/O bus width
Fine refreshing control
Supports refresh operation immediately after self-refresh operation in low-power DRAM
by means of refresh counter overflow interrupt function
Refresh counter can be used as interval timer
Interrupt request generated by compare-match
Interrupt request generated by refresh counter overflow
Note: * SH7750R only
Rev. 6.0, 07/02, page 313 of 986
13.1.2 Block Diagram
Figure 13.1 shows a block diagram of the BSC.
RD/
,
CKE ,
Internal bus
Bus
interface
WCR1
WCR2
WCR3
BCR1
BCR2
BCR3*
BCR4*
PCR
RFCR
RTCNT
RTCOR
RTCSR
Comparator
Refresh
control unit
Memory
control unit
Area
control unit
Wait
control unit
Interrupt
controller
BSC
Peripheral bus
WCR: Wait control register
BCR: Bus control register
MCR: Memory control register
PCR: PCMCIA control register
Note: * SH7750R only
MCR
Module bus
RFCR: Refresh count register
RTCNT: Refresh timer count register
RTCOR: Refresh time constant register
RTCSR: Refresh timer control/status register
Figure 13.1 Block Diagram of BSC
Rev. 6.0, 07/02, page 314 of 986
13.1.3 Pin Configuration
Table 13.1 shows the BSC pin configuration.
Table 13.1 BSC Pins
Name Signals I/O Description
Address bus A25A0 O Address output
Data bus D63D52,
D31D0 I/O Data input/output
When port functions are used and DDT mode is
selected, input the DTR format. Otherwise, when
port functions are used, D60-D52 cannot be used
and should be left open.
Data bus/port D51D32/
PORT19
PORT0
I/O When port functions are not used: data input/output
When port functions are used: input/output port
(input or output set for each bit by register)
Bus cycle start BS O Signal that indicates the start of a bus cycle
When setting sync hrono us DRA M interfa ce:
asserted once for a burst transfer
For other burst transfers: asserted each data cycle
Chip select 6–0 CS6CS0 O Chip select signals that indicate the area being
accessed
CS5 and CS6 are also used as PCMCIA CE1A and
CE1B
Read/write RD/WR O Data bus input/output direction designation signal
Also used as the DRAM/synchronous
DRAM/PCMCIA interface write designation signal
Row address
strobe RAS ORAS signal when setting DRAM/synchronous DRAM
interface
Read/column
address strobe/
cycle frame
RD/CASS/
FRAME O Strobe signal that indic ate s a read cycle
When setting sync hrono us DRA M interfa ce: CAS
signal
When setting MPX interface: FRAME signal
Data enable 0 WE0/CAS0/
DQM0 O When setting synchrono us DRAM interfa ce:
selection signal for D7–D0
When setting DRAM interface: CAS signal for
D7–D0
When setting MPX interface: high-level output
In other cases: write strobe signal for D7–D0
Rev. 6.0, 07/02, page 315 of 986
Table 13.1 BSC Pins (cont)
Name Signals I/O Description
Data enable 1 WE1/CAS1/
DQM1 O When setting synchrono us DRAM interfa ce:
selection signal for D15–D 8
When setting DRAM interface: CAS signal for
D15–D8
When setting PCMCIA interface: write strobe signal
When setting MPX interface: high-level output
In other cases: write strobe signal for D15–D8
Data enable 2 WE2/CAS2/
DQM2/ICIORD O When setting sy nchronous DRAM interfa ce:
selection signal for D23–D 16
When setting DRAM interface: CAS signal for
D23–D16
When setting PCMCIA interface: ICIORD signal
When setting MPX interface: high-level output
In other cases: write strobe signal for D23–D16
Data enable 3 WE3/CAS3/
DQM3/ICIOWR O When setting sy nc hrono us DRAM interfa ce:
selection signal for D31–D 24
When setting DRAM interface: CAS signal for
D31–D24
When setting PCMCIA interface: ICIOWR signal
When setting MPX interface: high-level output
In other cases: write strobe signal for D31–D24
Data enable 4 WE4/CAS4/
DQM4 O When setting synchrono us DRAM interfa ce:
selection signal for D39–D 32
When setting DRAM interface: CAS signal for
D39–D32
When setting MPX interface: high-level output
In other cases: write strobe signal for D39–D32
Data enable 5 WE5/CAS5/
DQM5 O When setting synchrono us DRAM interfa ce:
selection signal for D47–D 40
When setting DRAM interface: CAS signal for
D47–D40
When setting MPX interface: high-level output
In other cases: write strobe signal for D47–D40
Rev. 6.0, 07/02, page 316 of 986
Table 13.1 BSC Pins (cont)
Name Signals I/O Description
Data enable 6 WE6/CAS6/
DQM6 O When setting synchrono us DRAM interfa ce:
selection signal for D55–D 48
When setting DRAM interface: CAS signal for
D55–D48
When setting MPX interface: high-level output
In other cases: write strobe signal for D55–D48
Data enable 7 WE7/CAS7/
DQM7/REG O When setting sync hrono us DRAM interface:
selection signal for D63–D 56
When setting DRAM interface: CAS signal for
D63–D56
When setting PCMCIA interface: REG signal
When setting MPX interface: high-level output
In other cases: write strobe signal for D63–D56
Ready RDY I Wait state request si gnal
Area 0 MPX
interface
specification/
16-bit I/O
MD6/IOIS16 I In power-on reset: Designates area 0 bus as MPX
interface (1: SRAM, 0: MPX)
When setting PCMCIA interface: 16-bit I/O
designation signal. Valid only in little-endian mode.
Clock enable CKE O Synchronous DRAM clock enable control signal
Bus release
request BREQ/
BSACK I Bus release request signal/bus acknowledge signal
Bus use
permission BACK/
BSREQ O Bus use permission signal/bus request
Area 0 bus
width/PCMCIA
card select
MD3/CE2A*1
MD4/CE2B*2
I/O In power-on reset*4: external space area 0 bus width
specificat ion signal
When setting PCMCIA interface: CE2A, CE2B
Endian switchover/
row address strobe MD5/RAS2*3I/O Endian specification in a power-on reset.*4
RAS2 when DRAM is connected to area 2
Master/slave
switchover MD7/TXD I/O Indicates master/slave status in a power-on reset.*4
Serial interface TXD
DMAC0
acknowledge
signal
DACK0 O DMAC channel 0 data acknowledge
DMAC1
acknowledge
signal
DACK1 O DMAC channel 1 data acknowledge
Rev. 6.0, 07/02, page 317 of 986
Table 13.1 BSC Pins (cont)
Name Signals I/O Description
Read/column
address strobe/
cycle frame 2
RD2 O Same signal as RD/CASS/FRAME
This signal is used when the RD/CASS/FRAME
signal load is heavy.
Read/write 2 RD/WR2 O Same signal as RD/WR
This signal is used when the RD/WR sign al load is
heavy.
Notes: *1MD3/CE2A input/output switching is performed by BCR1.A56PCM. Output is selected
when BCR1.A56PCM = 1.
*2MD4/CE2B input/output switching is performed by BCR1.A56PCM. Output is selected
when BCR1.A56PCM = 1.
*3MD5/RAS2 input/output switching is performed by BCR1.DRAMTP. Ou tput is selected
when BCR1.DRAMTP (2–0) = 101.
*4 In a power-on reset by means of the RESET pi n.
Rev. 6.0, 07/02, page 318 of 986
13.1.4 Register Configuration
The BSC has the 11 registers shown in table 13.2. In addition, the synchronous DRAM mode
register incorporated in synchronous DRAM can also be accessed as an SH7750 Series register.
The functions of these registers include control of interfaces to various types of memory, wait
states, and refreshing.
Table 13.2 BSC Registers
Name Abbrevia-
tion R/W Initial
Value P4
Address Area 7
Address Access
Size
Bus control register 1 BCR1 R/W H'0000 0000 H'FF80 0000 H'1F80 0000 32
Bus control register 2 BCR2 R/W H'3FFC H'FF80 0004 H'1F80 0004 16
Bus control register 3*2BCR3 R/W H'0000 H'FF80 0050 H'1F80 0050 16
Bus control register 4*2BCR4 R/W H'0000 0000 H'FE0A 00F0 H'1E0A 00F0 32
Wait state control
register 1 WCR1 R/W H'7777 7777 H'FF80 0008 H'1F80 0008 32
Wait state control
register 2 WCR2 R/W H'FFFE EFFF H'FF80 000C H'1F80 000C 32
Wait state control
register 3 WCR3 R/W H'0777 7777 H'FF80 0010 H'1F80 0010 32
Memory control register MCR R/W H'0000 0000 H'FF80 0014 H'1F80 0014 32
PCMCIA control register PCR R/W H'0000 H'FF80 0018 H'1F80 0018 16
Refresh timer
control/status register RTCSR R/W H'0000 H'FF80 001C H'1F80 001C 16
Refresh timer counter RTCNT R/W H'0000 H'FF80 0020 H'1F80 0020 16
Refresh time constant
counter RTCOR R/W H'0000 H'FF80 0024 H'1F80 0024 16
Refresh count register RFCR R/W H'0000 H'FF80 0028 H'1F80 0028 16
For
area 2 SDMR2 W H'FF90 xxxx*1H'1F90 xxxx 8Synchronous
DRAM mode
registers For
area 3 SDMR3 H'FF94 xxxx*1H'1F94 xxxx
Notes: *1 For details, see section 13.2.10, Synchronous DRAM Mode Registers (SDMR).
*2 Settable only for SH7750R.
Rev. 6.0, 07/02, page 319 of 986
13.1.5 Overview of Areas
Space Divisions: The architecture of the SH7750 Series provides a 32-bit virtual address space.
The virtual address is divided into five areas according to the upper address value. External
memory space comprises a 29-bit address space, divided into eight areas.
The virtual address can be allocated to any external address by means of the memory management
unit (MMU). Details are given in section 3, Memory Management Unit (MMU). This section
describes the areas into which the external address is divided.
With the SH7750 Series, various kinds of memory or PC cards can be connected to the seven
areas of external address as shown in table 13.3, and chip select signals (CS0CS6, CE2A, CE2B)
are output for each of these areas. CS0 is asserted when accessing area 0, and CS6 when accessing
area 6. When DRAM or synchronous DRAM is connected to area 2 or 3, signals such as RAS,
CAS, RD/WR, and DQM are also asserted. When the PCMCIA interface is selected for area 5 or
6, CE2A, CE2B is asserted in addition to CS5, CS6 for the byte to be accessed.
H'0000 0000
H'8000 0000
H'A000 0000
H'C000 0000
H'E000 0000
H'FFFF FFFF
H'E400 0000
H'0000 0000
H'0400 0000
H'0800 0000
H'0C00 0000
H'1000 0000
H'1400 0000
H'1800 0000
H'1FFF FFFF
H'1C00 0000
Area 0 ( )
Area 1 ( )
Area 2 ( )
Area 3 ( )
Area 4 ( )
Area 5 ( )
Area 6 ( )
Area 7 (reserved area)
P0 and
U0 areas
P1 area
P2 area
P3 area
Physical address
space
(MMU off)
Virtual address
space
(MMU on)
External memory
space
Store queue area
P4 area
P0 and
U0 areas
256
P1 area
P2 area
P3 area
Store queue area
P4 area
Notes: 1. When the MMU is off (MMUCR.AT = 0), the top 3 bits of the 32-bit address are ignored, and
memory is mapped onto a fixed 29-bit external address.
2. When the MMU is on (MMUCR.AT = 1), the P0, U0, P3, and store queue areas can be
mapped onto any external address using the TLB.
For details, see section 3, Memory Management Unit (MMU).
Figure 13.2 Correspondence between Virtual Address Space and External Memory Space
Rev. 6.0, 07/02, page 320 of 986
Table 13.3 External Memory Space Map
Area External
Addresses Size Connectable
Memory Settable Bus
Widths Access Size
SRAM 8, 16, 32, 64*1
Burst ROM 8, 16, 32*1, 64*7
0 H'00000000
H'03FFFFFF 64 Mbytes
MPX 32, 64*1
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
MPX 32, 64*2
1 H'04000000
H'07FFFFFF 64 Mbytes
Byte control SRAM 16, 32, 64*2
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
Synchronous DRAM 32, 64*2 *3
DRAM 16, 32*2 *3
2H'08000000
H'0BFFFFFF 64 Mbytes
MPX 32, 64*2
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
Synchronous DRAM 32, 64*2 *3
DRAM 16, 32, 64*2 *3
3 H'0C000000
H'0FFFFFFF 64 Mbytes
MPX 32, 64*2
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
MPX 32, 64*2
4 H'10000000
H'13FFFFFF 64 Mbytes
Byte control RAM 16, 32, 64*2
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
MPX 32, 64*2
Burst ROM 8, 16, 32*2, 64*7
5 H'14000000
H'17FFFFFF 64 Mbytes
PCMCIA 8, 16*2 *4
8, 16, 32,
64*6 bits,
32 bytes
SRAM 8, 16, 32, 64*2
MPX 32, 64*2
Burst ROM 8,16, 32*2, 64*7
6H'18000000
H'1BFFFFFF 64 Mbytes
PCMCIA 8,16*2 *4
8, 16, 32,
64*6 bits,
32 bytes
7*5H'1C000000
H'1FFFFFFF 64 Mbytes
Notes: *1 Memory bus width specified by external pins
*2 Memory bus width specified by register
*3 With synchronous DRAM interface, bus width is 32 or 64 bits only.
With DRAM interface, bus width is 16 or 32 bits only for area 2, and 16, 32, or 64 bits
only for area 3. Bus width of area 2 is as same as that of area 3 which is specified by
MCR.
*4 With PCMCIA interfac e, bus width is 8 or 16 bits only.
*5 Do not access a reserved area, as operation cannot be guaranteed in this case.
*6 64-bit access applies only to transfer by the DMAC. (CHCRn. TS = 000)
In a transfer to an external memory by FMOV (FPSCR.SZ = 1), two transfer operations,
each with an access size of 32 bits, are conducted.
*7 Settable only for SH7750R.
Rev. 6.0, 07/02, page 321 of 986
Area 0: H'00000000
Area 1: H'04000000
Area 2: H'08000000
Area 3: H'0C000000
Area 4: H'10000000
Area 5: H'14000000
Area 6: H'18000000
SRAM/burst ROM/MPX
SRAM/MPX/byte control SRAM
SRAM/synchronous DRAM/DRAM/
MPX
SRAM/synchronous DRAM/DRAM/
MPX
SRAM/MPX/byte control SRAM
SRAM/burst ROM/PCMCIA/MPX
SRAM/burst ROM/PCMCIA/MPX The PCMCIA interface is
for memory and I/O card use
Figure 13.3 External Memory Space Allocation
Memory Bu s Width: In the SH7750 Series, the memory bus width can be set independently for
each space. For area 0, a bus size of 8, 16, 32, or 64 bits can be selected in a power-on reset by the
RESET pin, using external pins. The relationship between the external pins (MD4 and MD3) and
the bus width in a power-on reset is shown below.
MD4 MD3 Bus Width
0 0 64 bits
18 bits
1 0 16 bits
1 32 bits
When SRAM interface or ROM is used in areas 1 to 6, a bus width of 8, 16, 32, or 64 bits can be
selected with bus control registe r 2 (BCR2 ). When burst RO M is used, a bus width of 8, 16, 32, o r
64* bits can be selected. When byte control SRAM interface is used, a bus width of 16, 32, or 64
bits can be selected. When the MPX interface is used, a bus width of 32 or 64 bits can be selected.
When the DRAM interface is used, a bus width of 16, 32, or 64 bits can be selected with the
memory control register (MCR). When the DRAM interface is used for area 2 or 3, a bus width of
16 or 32 bits should be set. For the synchronous DRAM interface, set a bus width of 32 or 64 bits
in the MCR reg ister .
Rev. 6.0, 07/02, page 322 of 986
When using the PCMCIA interface, set a bus width of 8 or 16 bits.
For details, see section 13.3.7, PCMCIA Interface.
When using port functions, set a bus width of 8, 16, or 32 bits for all areas.
For d e tails, see sec tion 13.2.2, Bus Control Regi ste r 2 (BCR2 ), and section 13.2.7, Memory
Control Register (MCR).
The area 7 address range, H'1C000000 to H'1FFFFFFFF, is a reserved space and must not be used.
Note: * SH7750R only
13.1.6 PCMCIA Support
The SH7750 Series supports PCMCIA compliant interface specifications for external memory
space areas 5 and 6.
The interfaces supported are the IC memory card interface and I/O card interface stipulated in
JEIDA specifications version 4.2 (PCMCIA2.1).
External memory space areas 5 and 6 support both the IC memory card interface and the I/O card
interface.
The PCMCIA interface is supported only in little-endian mode.
Table 13.4 PCMCIA Interface Features
Item Features
Access Random access
Data bus 8/16 bits
Memory type Mask ROM, OTPROM, EPROM, EEPROM, flash memory, SRAM
Common memory capacit y Max. 64 Mbytes
Attribute memory capacity Max. 64 Mbytes
Others Dynamic bus sizing for I/O bus width, access to PCMCIA interface
from address translation areas
Rev. 6.0, 07/02, page 323 of 986
Table 13.5 PCMCIA Sup port Interfaces
IC Memory Card Interface I/O Card Interface
Pin Signal
Name I/O Function Signal
Name I/O Function
Corresponding
SH7750 Series
Pin
1 GND Ground GND Ground
2 D3 I/O Data D3 I/O Data D3
3 D4 I/O Data D4 I/O Data D4
4 D5 I/O Data D5 I/O Data D5
5 D6 I/O Data D6 I/O Data D6
6 D7 I/O Data D7 I/O Data D7
7CE1 I Card enable CE1 I Card enable CS5 or CS6
8 A10 I Address A10 I Address A10
9OE I Output enable OE I Output enable RD
10 A11 I Address A11 I Address A11
11 A9 I Address A9 I Address A9
12 A8 I Address A8 I Address A8
13 A13 I Address A13 I Address A13
14 A14 I Address A14 I Address A14
15 WE/PGM I Write enable WE/PGM I Write enable WE1
16 RDY/BSY O Ready/busy IREQ O Interrupt request Sensed on port
17 VCC Operating power
supply VCC Operating power
supply
18 VPP1 Programming
power supply VPP1 Programming/
peripheral power
supply
19 A16 I Address A16 I Address A16
20 A15 I Address A15 I Address A15
21 A12 I Address A12 I Address A12
22 A7 I Address A7 I Address A7
23 A6 I Address A6 I Address A6
24 A5 I Address A5 I Address A5
25 A4 I Address A4 I Address A4
26 A3 I Address A3 I Address A3
27 A2 I Address A2 I Address A2
28 A1 I Address A1 I Address A1
Rev. 6.0, 07/02, page 324 of 986
Table 13.5 PCMCIA Support Interfaces (cont)
IC Memory Card Interface I/O Card Interface
Pin Signal
Name I/O Function Signal
Name I/O Function
Corresponding
SH7750 Series
Pin
29 A0 I Address A0 I Address A0
30 D0 I/O Data D0 I/O Data D0
31 D1 I/O Data D1 I/O Data D1
32 D2 I/O Data D2 I/O Data D2
33 WP*O Write protect IOIS16 O 16-bit I/O port IOIS16
34 GND Ground GND Ground
35 GND Ground GND Ground
36 CD1 O Card detection CD1 O Card detection Sensed on port
37 D11 I/O Data D11 I/O Data D11
38 D12 I/O Data D12 I/O Data D12
39 D13 I/O Data D13 I/O Data D13
40 D14 I/O Data D14 I/O Data D14
41 D15 I/O Data D15 I/O Data D15
42 CE2 I Card enable CE2 I Card enable CE2A or CE2B
43 RFSH I Refresh request RFSH I Refresh request Output from
port
44 RFU Reserved IORD I I/O read ICIORD
45 RFU Reserved IOWR I I/O write ICIOWR
46 A17 I Address A17 I Address A17
47 A18 I Address A18 I Address A18
48 A19 I Address A19 I Address A19
49 A20 I Address A20 I Address A20
50 A21 I Address A21 I Address A21
51 VCC Power supply VCC Power supply
52 VPP2 Programming
power supply VPP2 Programming/
peripheral power
supply
53 A22 I Address A22 I Address A22
54 A23 I Address A23 I Address A23
55 A24 I Address A24 I Address A24
56 A25 I Address A25 I Address A25
Rev. 6.0, 07/02, page 325 of 986
Table 13.5 PCMCIA Support Interfaces (cont)
IC Memory Card Interface I/O Card Interface
Pin Signal
Name I/O Function Signal
Name I/O Function
Corresponding
SH7750 Series
Pin
57 RFU Reserved RFU Reserved
58 RESET I Reset RESET I Reset Output from
port
59 WAIT O Wait request WAIT O Wait request RDY
60 RFU Reserved INPACK O Input acknowledge
61 REG I Attribute memory
space select REG I Attribute memory
space select WE7
62 BVD2 O Battery voltage
detection SPKR O Digital speech
signal Sensed on port
63 BVD1 O Battery voltage
detection STSCHG O Card status
change Sensed on port
64 D8 I/O Data D8 I/O Data D8
65 D9 I/O Data D9 I/O Data D9
66 D10 I/O Data D10 I/O Data D10
67 CD2 O Card detection CD2 O Card detection Sensed on port
68 GND Ground GND Ground
Note: * WP is not supported.
Rev. 6.0, 07/02, page 326 of 986
13.2 Register Desc riptions
13.2.1 Bus Control Register 1 (BCR1)
Bus control register 1 (BCR1) is a 32-bit readable/writable register that specifies the function, bus
cycle status, etc., of each area.
BCR1 is initialized to H'00000000 by a power-on reset, but is not initialized by a manual reset or
in standby mode. Ex ternal memory space other than area 0 should not be accessed until register
initialization is completed.
Bit: 31 30 29 28 27 26 25 24
ENDIAN MASTER A0MPX DPUP*2IPUP OPUP
Initial value: 0/1*10/1*10/1*100000
R/W:RRRRRRR/WR/W
Bit: 23 22 21 20 19 18 17 16
A1MBC A4MBC BREQEN PSHR MEMMPX DMABST*2
Initial value:00000000
R/W: R R R/W R/W R/W R/W R/W R
Bit: 15 14 13 12 11 10 9 8
HIZMEM HIZCNT A0BST2 A0BST1 A0BST0 A5BST2 A5BST1 A5BST0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
A6BST2 A6BST1 A6BST0 DRAMTP2 DRAMTP1 DRAMTP0 A56PCM
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R R/W
Notes: *1 These bits sample external pin values in a power-on reset by means of the RESET pin .
*2 SH7750R only.
Rev. 6.0, 07/02, page 327 of 986
Bit 31—Endian Flag (ENDIAN): Samples the value of the endian specification external pin
(MD5) in a power-on reset by the RESET pin. The endian mode of all spaces is determined by this
bit. ENDIAN is a read-o nly bit.
Bit 31: ENDIAN Description
0 In a power-on reset, the endian setting external pin (MD5) is low,
designating big-endian mode
1 In a power-on reset, the endian setting external pin (MD5) is high,
designating little-endian mode
Bit 30—Master/Slave Flag (MASTER): Samples the value o f the master/slave specification
external pin (MD7) in a power-on reset by the RESET pin. The master/slave status of all spaces is
determined by th is bit. MASTER is a read-only bit.
Bit 30: MASTER Description
0 In a power-on reset, the master/slave setting external pin (MD7) is high,
designating master mode
1 In a power-on reset, the master/slave setting external pin (MD7) is low,
designating slave mode
Bit 29—Area 0 Memory Type (A0MPX): Samples the value of the area 0 memo ry type
specification external pin (MD6) in a power-on reset by the RESET pin. The memory type of area
0 is determin ed by this bit. A0MPX is a read - only bit.
Bit 29: A0MPX Description
0 In a power-on reset, the external pin specifying the area 0 memory type
(MD6) is high, designating the area 0 as SRAM interface
1 In a power-on reset, the external pin specifying the area 0 memory type
(MD6) is low, designating the area 0 as MPX interface
Bits 28, 27, 26*, 23, 22, 16*, and 1—Reserved: These bits are always read as 0, and should only
be written with 0 .
Note: * SH7750, SH7750S only.
Rev. 6.0, 07/02, page 328 of 986
Bit 26—Data pin Pullup Resistor Control (DPUP) (SH7750R only): Controls the pullup
resistance of the data pins (D63 to D0). It is initialized at a p ower-on reset. The pins are not pulled
up when access is p erfor med or wh en the bus is released , even if the ON setting is selected.
Bit 26: DPUP Description
0 Sets pullup resistance of data pins (D63 to D0) ON (Initial value)
1 Sets pullup resistan ce of data pins (D63 to D0 ) OFF
Bit 25—Co nt rol Input Pin Pull-Up Resistor Control (IPUP): Specifies the pull-up resistor
status for control input pins (NMI, IRL0IRL3, BREQ, MD6/IOIS16, RDY). IPUP is initialized
by a power-on reset.
Bit 25: IPUP Description
0 Pull-up resistor is on for control input pins (NMI, IRL0IRL3, BREQ,
MD6/IOIS16, RDY) (Initial val ue)
1 Pull-up resistor is off for control input pins (NMI, IRL0IRL3, BREQ,
MD6/IOIS16, RDY)
Bit 24—Control Output Pin P ull- Up Resistor Co nt rol (OPUP) : Specifies the pull-up resistor
status for control output pins (A[25:0], BS, CSn, RD, WEn, RD/WR, RAS, RAS2, CE2A, CE2B,
RD2, RD/WR2) when high- impedance. OPUP is initialized by a power - on reset.
Bit 24: OPUP Description
0 Pull-up res istor is on for control outpu t pins (A[ 25:0], BS, CSn, RD, WEn,
RD/WR, RAS, RAS2, CE2A, CE2B, RD2, RD/WR2) (Initial value)
1 Pull-up resistor is off for control output pins (A[25:0], BS, CSn, RD, WEn,
RD/WR, RAS, RAS2, CE2A, CE2B, RD2, RD/WR2)
Bit 21—Area 1 SRAM Byte Control Mode (A1MBC): MPX interface has priority when an
MPX interface is set. This bit is initialized by a power-on reset.
Bit 21: A1MBC Description
0 Area 1 SRAM is set to normal mode (Initial value)
1 Area 1 SRAM is set to byte control mode
Rev. 6.0, 07/02, page 329 of 986
Bit 20—Area 4 SRAM Byte Control Mode (A4MBC): MPX interface has priority when an
MPX interface is set. This bit is initialized by a power-on reset.
Bit 20: A4MBC Description
0 Area 4 SRAM is set to normal mode (Initial value)
1 Area 4 SRAM is set to byte control mode
Bit 19 BR EQ Enable (BREQEN): Indicates whether external requests can be accepted.
BREQEN is initialized to th e ex ternal request acceptance disabled state by a power-on reset. It is
ignored in the case of a slave mode startup.
Bit 19: BREQEN Description
0 External requests are not accepted (Initial value)
1 External requests are accepted
Bit 18—Partial-Sharing Bit (PSHR): Sets partial-shar ing mode. PSHR is valid only in the case
of a master mode startup.
Bit 18: PSHR Description
0 Master mode (Initial value)
1 Partial-sharing mode
Bit 17—Area 1 to 6 MPX Interface Specification (MEMMPX): Sets the MPX interface when
areas 1 to 6 are set as SRAM interface (or burst ROM interface). MEMMPX is initialized by a
power-on reset.
Bit 17: MEMMPX Description
0 SRAM interface (or burst ROM interface) is selected when areas 1 to 6 are
set as SRAM interface (or burst ROM interface) (Initial value)
1 MPX interface is selected when areas 1 to 6 are set as SRAM interface (or
burst ROM interface)
Rev. 6.0, 07/02, page 330 of 986
Bit 16—DMAC Burst Mode Transfer Priority Setting (DMABST) (SH7750R Only):
Specifies the pr iority of burst mode tr ansfers by the DMAC. When OFF, the p riority is as fo llows:
bus privilege released, refresh, DMAC, CPU. When ON, the bus privileges are released and
refresh o perations are not performed until the end of the DMAC’s burst tran sf er. This b it is
initialized at a power - on r e set.
Bit 16: DMABST Description
0 DMAC burst mode transfer priority spec ification OFF (Initial value)
1 DMAC burst mode transfer priority specification ON
Bit 15—H igh Impedance Control (HIZMEM): Specifies the state of address and other signals
(A[25:0], BS, CSn, RD/WR, CE2A, CE2B) in software standby mode.
Bit 15: HIZMEM Description
0 The A[25:0], BS, CSn, RD/WR, CE2A, and CE2B signals go to high-
impedance (High-Z) in standby mode and when the bus is released
(Initial value)
1 The A[25:0], BS, CSn, RD/WR, CE2A, and CE2B signals are driven in
standby mode. When the bus is released, they go to high-impedance.
Bit 14—High Impedance Control (HIZCNT): Specifies the state of the RAS and CAS signals in
software standby mode and when the bus is released.
Bit 14: HIZCNT Description
0 The RAS, RAS2, WEn/CASn/DQMn, RD/CASS/FRAME, and RD2 signals
go to high-impedance (High-Z) in standby mode and when the bus is
released (Initial value)
1 The RAS, RAS2, WEn/CASn/DQMn, RD/CASS/FRAME, and RD2 signals
are driven in standby mode and when the bus is released
Rev. 6.0, 07/02, page 331 of 986
Bits 13 to 11—Area 0 Burst ROM Control (A0BST2–A0BST0): These bits specify whether
burst ROM interface is used in area 0. When burst ROM interface is used, they also specify the
number of accesses in a burst. If area 0 is an MPX interface area, these bits are ignored.
Bit 13: A0BST2 Bit 12: A0BST1 Bit 11: A0BST0 Description
000Area 0 is accessed as SRAM interface
(Initial value)
1 Area 0 is accessed as burst ROM
interface (4 consecutive accesses)
Can be used with 8-, 16-, 32-, or 64*-bit
bus width
1 0 Area 0 is accessed as burst ROM
interface (8 consecutive accesses)
Can only be used with 8-, 16-, or 32-bit
bus width
1 Area 0 is accessed as burst ROM
interface (16 consecuti ve ac ces se s)
Can only be used with 8- or 16-bit bus
width. Do not specify for 32-bit bus width
100Area 0 is accessed as burst ROM
interface (32 consecuti ve ac ces se s)
Can only be used with 8-bit bus width
1 Reserved
1 0 Reserved
1 Reserved
Note: * Settable only for SH7750R.
Rev. 6.0, 07/02, page 332 of 986
Bits 10 to 8—Area 5 Burst Enable (A5BST2–A5BST0): These bits specify whether burst ROM
interface is used in area 5. When burst ROM interface is used, they also specify the number of
accesses in a burst. If area 5 is an MPX interface area, th ese bits are ignored.
Bit 10: A5BST2 Bit 9: A5BST1 Bit 8: A5BST0 Description
000Area 5 is accessed as SRAM interface
(Initial value)
1 Area 5 is accessed as burst ROM
interface (4 consecutive accesses)
Can be used with 8-, 16-, 32-, or 64*-bit
bus width
1 0 Area 5 is accessed as burst ROM
interface (8 consecutive accesses)
Can only be used with 8-, 16-, or 32-bit
bus width
1 Area 5 is accessed as burst ROM
interface (16 consecuti ve ac ces se s)
Can only be used with 8- or 16-bit bus
width. Do not specify for 32-bit bus width
100Area 5 is accessed as burst ROM
interface (32 consecuti ve ac ces se s)
Can only be used with 8-bit bus width
1 Reserved
1 0 Reserved
1 Reserved
Note: Clear to 0 when PCMCIA interface is set.
* Settable only for SH7750R.
Rev. 6.0, 07/02, page 333 of 986
Bits 7 to 5—Area 6 Burst Enable (A6BST2–A6BST0): These bits specify whether burst ROM
interface is used in area 6. When burst ROM interface is used, they also specify the number of
accesses in a burst. If area 6 is an MPX interface area, th ese bits are ignored.
Bit 7: A6BST2 Bit 6: A6BST1 Bit 5: A6BST0 Description
000Area 6 is accessed as SRAM interface
(Initial value)
1 Area 6 is accessed as burst ROM
interface (4 consecutive accesses)
Can be used with 8-, 16-, 32-, or 64*-bit
bus width
1 0 Area 6 is accessed as burst ROM
interface (8 consecutive accesses)
Can only be used with 8-, 16-, or 32-bit
bus width
1 Area 6 is accessed as burst ROM
interface (16 consecuti ve ac ces se s)
Can only be used with 8- or 16-bit bus
width. Do not specify for 32-bit bus width
100Area 6 is accessed as burst ROM
interface (32 consecuti ve ac ces se s)
Can only be used with 8-bit bus width
1 Reserved
1 0 Reserved
1 Reserved
Note: Clear to 0 when PCMCIA interface is set.
* Settable only for SH7750R.
Rev. 6.0, 07/02, page 334 of 986
Bits 4 to 2—Area 2 and 3 Memory Type (DRAMTP2–DRAMTP0): These bits specify the type
of memory connected to areas 2 and 3. ROM, SRAM, flash ROM, etc., can be connected as
SRAM interface. DRAM and synchronous DRAM can also be connected.
Bit 4: DRAMTP2 Bit 3: DRAMTP1 Bit 2: DRAMTP0 Description
000Areas 2 and 3 are SRAM interface or
MPX interface*1
(Initial value)
1 Reserved (Cannot be set)
1 0 Area 2 is SRAM interface or MPX
interface*1, area 3 is synchronous DRAM
interface
1 Areas 2 and 3 are synchronous DRAM
interface
100Area 2 is SRAM interface or MPX
interface*1, area 3 is DRAM interface
1 Areas 2 and 3 are DRAM interface*2
1 0 Reserved (Cannot be set)
1 Reserved (Cannot be set)
Note: *1 Selection of SRAM interface or MPX interface is determined by the setting of the
MEMMPX bit
*2 When this mode is selected, 16 or 32 bits should be specified as the bus width for areas
2 and 3. In this mode the MD5 pin is designated for output as the RAS2 pin.
Bit 0—Area 5 and 6 Bus Type (A56PCM): Specifies whether areas 5 and 6 are accessed as
PCMCIA interface. The settin g of th ese bits has priority ove r the MEMMPX bit settin g s.
Bit 0: A56PCM Description
0 Areas 5 and 6 are accessed as SRAM interface (Initial value)
1 Areas 5 and 6 are accessed as PCMCIA inte rface*
Note: *The MD3 pin is designated for output as the CE2A pin.
The MD4 pin is designated for output as the CE2B pin.
Rev. 6.0, 07/02, page 335 of 986
13.2.2 Bus Control Register 2 (BCR2)
Bus control re gister 2 (BCR2 ) is a 16- bit readable/writa ble reg ister that sp ecifies the bus width for
each area, and whether a 16-bit port is used.
BCR2 is initialized to H'3FFC by a p ower-on reset, but is not in itialized by a manual reset or in
standby mode. External memory space other than area 0 should not be accessed until register
initialization is completed.
Bit: 15 14 13 12 11 10 9 8
Bit name: A0SZ1 A0SZ0 A6SZ1 A6SZ0 A5SZ1 A5SZ0 A4SZ1 A4SZ0
Initial value: 0/1*0/1*111111
R/W: R R R/W R/W R/W R/W R/W R/W
Bit:76543210
Bit name: A3SZ1 A3SZ0 A2SZ1 A2SZ0 A1SZ1 A0SZ0 PORTEN
Initial value:11111100
R/W: R/W R/W R/W R/W R/W R/W R/W
Note: *These bits sample the values of the external pins that specify the area 0 bus size.
Bits 15 and 14—Area 0 Bus Width (A0SZ1, A0SZ0): These bits sample the external pins, MD4
and MD3 that specify the bus size in a power-on reset by the RESET pin. They are read-only bits.
Bit 15 Bit 14
A0SZ1 A0SZ0 Description
0 0 Bus width is 64 bits
1 Bus width is 8 bits
1 0 Bus width is 16 bits
1 Bus width is 32 bits
Rev. 6.0, 07/02, page 336 of 986
Bits 2n + 1, 2n—Area n (1 to 6) Bus Width Specificat ion (AnSZ1, AnSZ0): These bits specify
the bus width of area n (n = 1 to 6).
(Bit 0): PORTEN Bit 2n + 1: AnSZ1 Bit 2n: AnSZ0 Description
0 0 0 Bus width is 64 bits
1 Bus width is 8 bits
1 0 Bus width is 16 bits
1 Bus width is 32 bits (Initial value)
1 0 0 Reserved (Setting prohibited)
1 Bus width is 8 bits
1 0 Bus width is 16 bits
1 Bus width is 32 bits
Bit 1—Reserved: This bit is always read as 0, and should only be written with 0.
Bit 0—Port Function Enable (PORTEN): Specifies whether pins D51 to D32 are used as a 20-
bit port. When this function is used, a bus width of 8, 16, or 32 bits should be set for all areas.
Bit 0: PORTEN Description
0 D51 to D32 are not used as a port (Initial value)
1 D51 to D32 are used as a port
Rev. 6.0, 07/02, page 337 of 986
13.2.3 Bus Control Register 3 (BCR3) (SH7750R Only)
Bus control re gister 3 (BCR3) is a 16- bit read a ble/writab l e register that speci fies the selection of
either the MPX interface or the SRAM interface and specifies the burst length when the
synchronous DRAM interface is used.
BCR3 is initialized to H'0000 by a power-on reset, but is not initialized by a manual reset or in
standby mode. No external memory space other than area 0 should be accessed before register
initialization has been completed.
Bit: 15 14 13 12 11 10 9 8
Bit name: MEMMODE A1MPXA4MPX—————
Initial value:00000000
R/W:R/WR/WR/WRRRRR
Bit:76543210
Bit name:———————SDBL
Initial value:00000000
R/W:RRRRRRRR/W
Bit 15
A1MPX/A4MPX Enable (MEMMODE): Determines whether or not the selection of
either the MPX interface or the SRAM interface is by A1MPX and A4MPX rather than by
MEMMPX.
Bit 15: MEMMODE Description
0 MPX or SRAM interface is selected by MEMMPX (Initial value)
1 MPX or SRAM interface is selected by A1MPX and A4MPX
Bits 14 and 13
MPX-Interface Specification for Area 1 and 4 (A1MPX, A4MPX): These
bits specify the types of memory connected to areas 1 and 4. These settings are validated by
MEMMODE.
Bit 14: A1MPX Description
0 SRAM/byte control SRAM interface is selected for area 1 (Initial value)
1 MPX interface is selected for area 1
Bit 13: A4MPX Description
0 SRAM/byte control SRAM interface is selected for area 4 (Initial value)
1 MPX interface is selected for area 4
Rev. 6.0, 07/02, page 338 of 986
Bits 12 to 1—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 0
Burst Length (SDBL): Sets the burst length when the synchronous DRAM interface is
used. The burst-length setting is only valid when the bus width is 32 bits.
Bit 0: SDBL Description
0 Burst length is 8 (Initial value)
1 Burst length is 4
13.2.4 Bus Control Register 4 (BCR4) (SH7750R Only)
Bus control register 4 (BCR4) is a 32-bit readable/writable register that enables asynchronous
input to the pin corresponding to each bit.
BCR4 is initialized to H'00000000 by a power-on reset, but is not initialized by a manual reset or
in standby mode.
When asynchronous input is set (ASYNCn = 1), the sampling timing is one cycle earlier than
when synchronous input is set (ASYNCn = 0)* (see figure 13.4)
The timings shown in this section and section 22, Electrical Characteristics, are all for the case
where synchronous input is set (ASYNCn = 0).
Note: * With the synchronous input setting, ensure that setup and hold times are observed.
T1 Tw Tw Twe T2
CKIO
(BCR4.ASYNC0 = 0)
(BCR4.ASYNC0 = 1)
Figure 13.4 Example of RDY
RDYRDY
RDY Sampling Timing at which BCR4 is Set
(Two Wait Cycles are Inserted by WCR2)
Rev. 6.0, 07/02, page 339 of 986
Bit: 31 30 29 28 27 26 25 24
Bit name:————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 23 22 21 20 19 18 17 16
Bit name:————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 15 14 13 12 11 10 9 8
Bit name:————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
Bit name: ASYNC
Initial value:00000000
R/W: R R R R/W R/W R/W R/W R/W
Bits 31 to 5
Reserved: These bits are always read as 0, and should only be written with 0.
Bits 4 to 0
Asynchronous Input: These bits enable asynchronous input to the co rresponding
pin.
Bits 4 to 0: ASYNCn Description
0 Input to corresponding pin is synchronous with CKIO (Initial value)
1 Input to corresponding pin can be asynchronous with CKIO
Bit Corresponding Pin
4IOIS16
3DREQ1
2DREQ0
1BREQ
0RDY
Rev. 6.0, 07/02, page 340 of 986
13.2.5 Wait Control Register 1 (WCR1)
Wait control register 1 (WCR1) is a 32-bit readable/writable register that specifies the number of
idle state insertion cycles for each area. With some kinds of memory, data bus drive does not go
off immediately after the read signal from off-chip goes off. As a result, there is a possibility of a
data bus collision when consecutive memory accesses are performed on memory in different
areas, or when a memory write is performed immediately after a read. In the SH7750 Series, the
number of idle cycles set in the WCR1 register are inserted auto m a tically if there is a possibility of
this kind of data bus collision.
WCR1 is initialized to H'77777777 by a power-on reset, but is no t initialized by a manual reset or
in standby mode.
Bit: 31 30 29 28 27 26 25 24
Bit name: DMAIW2 DMAIW1 DMAIW0 A6IW2 A6IW1 A6IW0
Initial value:01110111
R/W: R R/W R/W R/W R R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
Bit name: A5IW2 A5IW1 A5IW0 A4IW2 A4IW1 A4IW0
Initial value:01110111
R/W: R R/W R/W R/W R R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
Bit name: A3IW2 A3IW1 A3IW0 A2IW2 A2IW1 A2IW0
Initial value:01110111
R/W: R R/W R/W R/W R R/W R/W R/W
Bit:76543210
Bit name: A1IW2 A1IW1 A1IW0 A0IW2 A0IW1 A0IW0
Initial value:01110111
R/W: R R/W R/W R/W R R/W R/W R/W
Rev. 6.0, 07/02, page 341 of 986
Bits 31, 27, 23, 19, 15, 11, 7, and 3—Reserved: These bits are always read as 0, and should only
be written with 0 .
Bits 30 to 28— DMAIW-DACK Device Inter-Cycle Idle Sp ecification (DMAIW2–
DMAIW0): These bits specify the number of idle cycles between bus cycles to be inserted when
switching from a DACK device to another space, or from a read access to a write access on the
same device. The DMAIW bits are valid only for DMA single address transfer; with DMA dual
address transfer, inter-area idle cycles are inserted.
Bits 4n + 2 to 4n—Area n (6 to 0) Inter-Cycle Idle Specification (AnlW2–AnlW0): These bits
specify the number of idle cycles between bus cycles to be inserted when switching from extern al
memory space area n (n = 6 to 0) to another space, or from a read access to a write access in the
same space.
DMAIW2/AnIW2 DMAIW1/AnIW1 DMAIW0/AnIW0 Inserted Idle Cycles
0000
11
102
13
1006
19
1012
1 15 (Initi al val ue)
Rev. 6.0, 07/02, page 342 of 986
Idle Insertio n between Accesses
Following Cycle
Same Area Different Area Same
Area Different
Area
Read Write Read Write
Preceding
Cycle CPU DMA CPU DMA CPU DMA CPU DMA
MPX
Address
Output
MPX
Address
Output
Read MM MM MM M (1) M (1)
Write M M M M *2M
DMA read
(memory
device)
MM MM MM M (1)
DMA write
(device
memory)
DD DD
*1DD DD D (1)
“DMA” in the table indicates DMA single-address transfer. DMA dual transfer is in accordance with
the CPU.
M, D: Idle wait always inserted by WCR1
(M(1): One cycle inserted in MPX access even if WCR1 is cleared to 0)
M: Idle cycles according to setting of AnIW2-AnIW0 (area 0 to area 6)
D: Idle cycles according to setting of DMAIW2-DMAIW0
Notes: When synchronous DRAM is used in RAS down mode, set bits DMAIW2-DMAIW0 to 000
and bits A3IW2-A3IW0 to 000.
*1 Inserted when device is switched
*2 On the MPX interface, a WCR1 idle wait may be inserted before an access (either read
or write) to the same area after a write access . The specific conditions for idle wait
insertion in accesses to the same area are shown below.
(a) Synchronous DRAM set to RAS down mode
(b) Synchronous DRAM accessed by on-chip DMAC
Apart from use under above conditions (a) and (b), an idle wait is also inserted between
an MPX interface write access and a following access to the same area. Even under
the above conditions, an idle wait may be inserted in a same-area access following an
interface write access, depending on the synchronous DRAM pipeline access situation.
An idle wait is not inserted when the WCR1 register setting is 0. The setting for the
number of idle state cycles inserted after a power-on reset is the default value of 15 (the
maximum value), so ensure that the optimum v alue is set.
Rev. 6.0, 07/02, page 343 of 986
13.2.6 Wait Control Register 2 (WCR2)
Wait control register 2 (WCR2) is a 32-bit readable/writable register that specifies the number of
wait states to be inserted for each ar ea. It also specifies the data access pitch when performing
burst memory access. This enables low-speed memory to be connected without using external
circuitry.
WCR2 is initialized to H'FFFEEFFF by a power-on reset, but is n ot initialized by a manual reset
or in standby mode.
Bit: 31 30 29 28 27 26 25 24
Bit name: A6W2 A6W1 A6W0 A6B2 A6B1 A6B0 A5W2 A5W1
Initial value:11111111
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
Bit name: A5W0 A5B2 A5B1 A5B0 A4W2 A4W1 A4W0
Initial value:11111110
R/W: R/W R/W R/W R/W R/W R/W R/W R
Bit: 15 14 13 12 11 10 9 8
Bit name: A3W2 A3W1 A3W0 A2W2 A2W1 A2W0 A1W2
Initial value:11101111
R/W: R/W R/W R/W R R/W R/W R/W R/W
Bit:76543210
Bit name: A1W1 A1W0 A0W2 A0W1 A0W0 A0B2 A0B1 A0B0
Initial value:11111111
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 344 of 986
Bits 31 to 29—Area 6 Wait Control (A6W2—A6W0): These bits specify the number of wait
states to be inserted fo r area 6. For details on MPX interface setting, see table 13.6, MPX Interface
is Selected (Areas 0 to 6).
Description
First Cycle
Bit 31: A6W2 Bit 30: A6W1 Bit 29: A6W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Bits 28 to 26—Area 6 Burst Pitch (A6B2–A6B0): These bits specify the number of wait states to
be inserted from the second data access onward in a burst transfer with the burst ROM interface
selected.
Description
Burst Cycle (Excluding First Cycle)
Bit 28: A6B2 Bit 27: A6B1 Bit 2 6: A6B0
Wait States Inserted
from Second Data
Access Onward RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 4 Enabled
1 5 Enabled
1 0 6 Enabled
1 7 (Initial value) Enabled
Rev. 6.0, 07/02, page 345 of 986
Bits 25 to 23—Area 5 Wait Control (A5W2–A5W0): These bits specify the number of wait
states to be inserted fo r area 5. For details on MPX interface setting, see table 13.6, MPX Interface
is Selected (Areas 0 to 6).
Description
First Cycle
Bit 25: A5W2 Bit 24: A5W1 Bit 23: A5W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Bits 22 to 20—Area 5 Burst Pitch (A5B2–A5B0): These bits specify the number of wait states to
be inserted from the second data access onward in a burst transfer with the burst ROM interface
selected.
Description
Burst Cycle (Excluding First Cycle)
Bit 22: A5B2 Bit 21: A5B1 Bit 20: A5B0 Wait States Inserted from
Second Data Access Onward RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 4 Enabled
1 5 Enabled
1 0 6 Enabled
1 7 (Initial value) Enabled
Rev. 6.0, 07/02, page 346 of 986
Bits 19 to 17—Area 4 Wait Control (A4W2–A4W0): These bits specify the number of wait
states to be inserted fo r area 4. For details on MPX interface setting, see table 13.6, MPX Interface
is Selected (Areas 0 to 6).
Description
Bit 19: A4W2 Bit 18: A4W1 Bit 17: A4W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Bits 16 and 12—Reserved: These bits are always read as 0, and should only be written with 0.
Bits 15 to 13—Area 3 Wait Control (A3W2–A3W0): These bits specify the number of wait
states to be inserted for area 3. External wait input is only enabled when SRAM interface or MPX
interface is used, and is ignored when DRAM or synchronous DRAM is used. For details on MPX
interface setting, see table 13.6, MPX Interface is Selected (Areas 0 to 6).
When SRAM Interface is Set
Description
Bit 15: A3W2 Bit 14: A3W1 Bit 13: A3W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Rev. 6.0, 07/02, page 347 of 986
When DRAM or Synchronous DRAM Interface is Set*1
Description
Bit 15: A3W2 Bit 14: A3W1 Bit 13: A3W0 DRAM CAS
CASCAS
CAS
Assertion Width Synchronous DRAM
CAS
CASCAS
CAS Latency Cycles
0 0 0 1 Inhibited
12 1
*2
10 3 2
14 3
100 7 4
*2
110 5
*2
1 0 13 Inhibited
1 16 Inhibited
Notes: *1 External wait input is always ign ored.
*2 Inhibited in RAS down mode.
Bits 11 to 9—Area 2 Wait Control (A2W2–A2W0): These bits specify the number of wait states
to be inserted for area 2. External wait input is only enab led when the SRAM interface or MPX
interface is used, and is ignored when DRAM or synchronous DRAM is used. For details on MPX
interface setting, see table 13.6, MPX Interface is Selected (Areas 0 to 6).
When SRAM Interface is Set
Description
Bit 11: A2W2 Bit 10: A2W1 Bit 9: A2W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Rev. 6.0, 07/02, page 348 of 986
When DRAM or Synchronous DRAM Interface is Set*1
Description
Bit 11: A2W2 Bit 10: A2W1 Bit 9 : A2W0 DRAM CAS
CASCAS
CAS
Assertion Width Synchronous DRAM
CAS
CASCAS
CAS Latency Cycles
0 0 0 1 Inhibited
12 1
*2
10 3 2
14 3
100 7 4
*2
110 5
*2
1 0 13 Inhibited
1 16 Inhibited
Notes: *1 External wait input is always ign ored.
*2 RAS down mode is prohibited.
Bits 8 to 6—Area 1 Wait Control (A1W2 A1W0): These bits specify the number of wait states
to be inserted for area 1. For details on MPX interface setting, see table 13.6, MPX Interface is
Selected (Areas 0 to 6).
Description
Bit 8: A1W2 Bit 7: A1W1 Bit 6: A1W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Rev. 6.0, 07/02, page 349 of 986
Bits 5 to 3—Area 0 Wait Control (A0W2 to A0W0): These bits specify the number of wait
states to be inserted fo r area 0. For details on MPX interface setting, see table 13.6, MPX Interface
is Selected (Areas 0 to 6).
Description
First Cycle
Bit 5: A0W2 Bit 4: A0W1 Bit 3: A0W0 Inserted Wait States RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 6 Enabled
1 9 Enabled
1 0 12 Enabled
1 15 (Initial value) Enabled
Bits 2 to 0—Area 0 Burst Pitch (A0B2–A0B0): These bits specify the number of wait states to
be inserted afterwards the second data access in a burst transfer with the burst ROM interface
selected.
Description
Burst Cycle (Excluding First Cycle)
Bit 2: A0B2 Bit 1: A0B1 Bit 0: A0B0 Wait States Inserted from
Second Data Access Onward RDY
RDYRDY
RDY Pin
0 0 0 0 Ignored
1 1 Enabled
1 0 2 Enabled
1 3 Enabled
1 0 0 4 Enabled
1 5 Enabled
1 0 6 Enabled
1 7 (Initial value) Enabled
Rev. 6.0, 07/02, page 350 of 986
Table 13.6 MPX Interface is Selected (Areas 0 to 6)
Description
Inserted Wait States
1st Data
AnW2 AnW1 AnW0 Read Write 2nd Data
Onward RDY
RDYRDY
RDY Pin
000100Enabled
1 1 Enabled
1 0 2 2 Enabled
1 3 3 Enabled
100101Enabled
1 1 Enabled
1 0 2 2 Enabled
1 3 3 Enabled
(n = 6 to 0)
Rev. 6.0, 07/02, page 351 of 986
13.2.7 Wait Control Register 3 (WCR3)
Wait control register 3 (WCR3) is a 32-bit readable/writable register that specifies the cycles
inserted in th e setup time from the address until assertion of th e wr ite str obe, and the data hold
time from negation of the strobe, fo r each area. This enables low-speed memory to be connected
without using external circuitry.
WCR3 is initialized to H'07777777 by a power-on reset, but is no t initialized by a manual reset or
in standby mode.
Bit: 31 30 29 28 27 26 25 24
Bit name:—————A6S0A6H1A6H0
Initial value:00000111
R/W:RRRRRR/WR/WR/W
Bit: 23 22 21 20 19 18 17 16
Bit name: A5S0 A5H1 A5H0 A4RDH*A4S0 A4H1 A4H0
Initial value:01110111
R/W: R R/W R/W R/W R/W*R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
Bit name: A3S0 A3H1 A3H0 A2S0 A2H1 A2H0
Initial value:01110111
R/W: R R/W R/W R/W R R/W R/W R/W
Bit:76543210
Bit name: A1RDH*A1S0 A1H1 A0H0 A0S0 A0H1 A0H0
Initial value:01110111
R/W: R/W*R/W R/W R/W R R/W R/W R/W
Note: * SH7750R only
Bits 31 to 27, 23, 19*, 15, 11, 7*, and 3—Reserved: These bits are always read as 0, and should
only be written with 0.
Note: * SH7750R only
Rev. 6.0, 07/02, page 352 of 986
Bit 4n + 2—Area n ( 6 to 0) Write Strobe Setup Time (AnS0): Specifies the number of cycles
inserted in th e setup time from the address until assertion of th e r ead/write strobe. Valid only for
SRAM interface, byte control SRAM interface, and burst ROM interface.
Bit 4n + 2: AnS0 Waits Inserted in Setup
00
1 1 (Initial value)
(n = 6 to 0)
Bits 4n + 1 and 4n—Area n (6 to 0) Data Hold Time (AnH1, AnH0): When writing, these bits
specify the number of cycles to be inserted in the hold time from negation of the write strobe.
When reading, they specify the number of cycles to be inserted in the hold time from the data
sampling timing. Valid only for SRAM interface, byte control SRAM interface, and burst ROM
interface.
Bit 4n + 1: AnH1 Bit 4n: AnH0 Waits Inserted in Hold
000
11
102
1 3 (Initial value)
(n = 6 to 0)
Bits 4n+3
Area n (4 or 1) Read-Strobe Negate Timing (AnRDH) (Setting Only Possible in
the SH7750R): When reading, these bits specify the timing for the negation of read strobe. These
bits should be cleared to 0 when a byte control SRAM setting is made.
Bit 4n + 3: AnRDH Read-Strobe Negate Timing
0 Negation occurs after insertion of the number of hold wait cycles specified
by the AnH setting (Initial value)
1 Negation oc curs based on the read strobe data sampling timing(n = 4 or 1)
13.2.8 Memory Control Register (MCR)
The memory control register (MCR) is a 32-bit readable/writable register that specifies RAS and
CAS timing and burst control for DRAM and synchronous DRAM (areas 2 and 3), address
multiplexing, and refresh control. This enables DRAM and synchronous DRAM to be connected
without using external circuitry.
MCR is initialized to H'00000000 by a power-on reset, but is not initialized by a manual reset or in
standby mode. Bits RASD, MRSET, TRC2–0, TPC2–0, RCD1–0, TRWL2–0, TRAS2–0, BE,
SZ1–0, AMXEXT, AMX2–0, and EDOMODE are written in the initialization following a power-
Rev. 6.0, 07/02, page 353 of 986
on reset, and should not be modified subsequently. When writing to bits RFSH and RMODE, the
same values should be written to the other bits so that they remain unchanged. When using DRAM
or synchronous DRAM, areas 2 and 3 should not be accessed until register initialization is
completed.
Bit: 31 30 29 28 27 26 25 24
Bit name: RASD MRSET TRC2 TRC1 TRC0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R R R
Bit: 23 22 21 20 19 18 17 16
Bit name: TCAS TPC2 TPC1 T PC0 RCD1 RCD0
Initial value:00000000
R/W: R/W R R/W R/W R/W R R/W R/W
Bit: 15 14 13 12 11 10 9 8
Bit name: TRWL2 TRWL1 TRWL0 TRAS2 TRAS1 TRAS0 BE SZ1
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Bit name: SZ0 AMXEXT AMX2 AMX1 AMX0 RFSH RMODE EDO
MODE
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit 31—RAS Down (RASD): Sets RAS down mode. When DRAM/RAS down mode is used, set
BE to 1. Do not set RAS down mode in slave mode or partial-sharing mode, or when areas 2 and 3
are both designated as synchronous DRAM interface.
Bit 31: RASD Description
0 Normal mode (Initial value)
1 RAS down mode
Note: When synchronous DRAM is used in RAS down mode, set bits DMAIW2–DMAIW0 to 000
and bits A3IW2–A3IW0 to 000.
Rev. 6.0, 07/02, page 354 of 986
Bit 30—Mode Register Set (MRSET): Set when a synchronous DRAM mode register setting is
used. See Power-On Sequence in section 13.3.5, Synchronous DRAM Interface.
Bit 30: MRSET Description
0 All-bank precharge (Initi al value)
1 Mode register setting
Bits 29 to 27—RAS Precharge Time at End of Refresh (TRC2–TRC0)
(Synchronous DRAM: auto- and self-refresh both enabled; DRAM: auto- and self-refresh both
enabled)
Bit 29: TRC2 Bit 28: TRC1 Bit 2 7: TRC0 RAS Precharge Interval
Immediately after Refresh
0000(Initial value)
13
106
19
10012
115
1018
121
Bits 26 to 24, 22, and 18—Reserved: These bits are always read as 0, and should only be written
with 0.
Bit 23—CAS Negation Period (TCAS): This bit is valid only when DRAM interface is set.
Bit 23: TCAS CAS Negation Period
0 1 (Initial value)
12
Rev. 6.0, 07/02, page 355 of 986
Bits 21 to 19—RAS Precharge Period (TPC2–TPC0): When the DRAM interface is set, these
bits specify th e minimum number of cy cles until RAS is asserted again after being negated. When
the synchronous DRAM interface is set, these bits specify the minimum number of cycles until the
next bank active comm and is output after precharging.
RAS Precharge Interval
Bit 21: TPC2 Bit 20: TPC1 Bit 19: TPC0 DRAM Synchronous DRAM
00001* (Initial value)
112
1023
134*
10045*
156*
1067*
178*
Note: *Inhibited in RAS down mode.
Bits 17 and 16—RAS-CAS Delay (RCD1 , RCD0): When the DRAM interface is set, these bits
set the RAS-CAS assertion delay time. When the synchronous DRAM interface is set, these bits
set the bank active-read/write command d elay time.
Description
Bit 17: RCD1 Bit 16: RCD0 DRAM Synchronous DRAM
0 0 2 cycles Reserved (Setting prohibited)
1 3 cycles 2 cycles
1 0 4 cycles 3 cycles
1 5 cycles 4 cycles*
Note: * Inhibited in RAS down mode.
Bits 15 to 13—Write Precharge Delay (TRWL2–TRWL0): These bits set the synchronous
DRAM write precharg e delay time. In auto-precharge mod e, they sp ecify th e tim e until the next
bank active command is issued after a write cycle. After a write cycle, the next active co mmand is
not issued f or a period of TPC + TRWL. In RAS down mode, they specify the time until the next
precharge command is issued. After a write cycle, the next precharge command is not issued for a
period of TRWL. This setting is valid only when synchronous DRAM interface is set.
For the setting values and delay time when no command is issued, refer to section 22.3.3, Bus
Timing.
Rev. 6.0, 07/02, page 356 of 986
Bit 15: TRWL2 Bit 14: TRWL1 Bit 13: TRWL0 Write Precharge ACT Delay Time
0 0 0 1 (Initial value)
12
103*
14*
1005*
1 Reserved (Setting prohibited)
1 0 Reserved (Setting prohibited)
1 Reserved (Setting prohibited)
Note: *Inhibited in RAS down mode.
Bits 12 to 10—CAS-Before-RAS Refresh RAS
RASRAS
RAS As sertion Period (TRAS2–TRAS0): When the
DRAM interface is set, these bits set the RAS a ssertion period in CAS-before-RAS refreshing.
When the synchronous DRAM interface is set, the bank active command is not issued for a period
of TRC* + TRAS after an auto-ref resh command is issued.
Note: * Bits 29 to 27: RAS precharge interval at end of refresh.
Bit 12: TRAS2 Bit 1 1: TRAS1 Bit 10: TRAS0 RAS
RASRAS
RAS/DRAM
Assertion Period
Command
Interval after
Synchronous
DRAM Refresh
0002 4 + TRC*
(Initial value)
13 5 + TRC
104 6 + TRC
15 7 + TRC
1006 8 + TRC
17 9 + TRC
108 10 + TRC
1 9 11 + TRC
Note: * Bits 29 to 27: RAS precharge interval at end of refresh.
Bit 9—Burst Enable (BE): Specifies whether burst access is performed on DRAM interface. In
synchronous DRAM access, burst access is always performed regardless of the specification of
this bit. The DRAM transfer mode depends on EDOMODE.
Rev. 6.0, 07/02, page 357 of 986
BE EDOMODE 8/16/32/64-Bit Transfer 32-Byte Transfer
0 0 Single Single
1 Setting prohibited Setting prohibited
1 0 Single/fast page*Fast page
1EDO EDO
Note: *In fast page mode, 32-bit or 64-bit transfer with a 16-bit bus, 64-bit transfer with a 32-bit
bus.
Bits 8 and 7—Memory Da t a Size (SZ1, SZ0): These bits specify the bus width of DRAM and
synchronous DRAM. This setting has priority over the BCR2 register setting.
Description
Bit 8: SZ1 Bit 7: SZ0 DRAM SDRAM
0 0 64 bits 64 bits
1 Reserved (Setting prohibited) Reserved (Setting prohibited)
1 0 16 bits Reserved (Setting prohibited)
1 32 bits 32 bits
Bits 6 to 3—Address Multiplexing (AMXEXT, AMX2–AMX0): These bits specify address
multiplexing for DRAM and synchronous DRAM. The address shift value is different for the
DRAM interface and the synchronous DRAM interface.
For DRAM Interface:
Description
Bit 6:
AMXEXT Bit 5:
AMX2 Bit 4:
AMX1 Bit 3:
AMX0 DRAM
0*0008-bit column address product
(Initial value)
1 9-bit column address product
1 0 10-bit column address product
1 11-bit column address product
1 0 0 12-bit column address product
1 Reserved (Setting prohibited)
1 0 Reserved (Setting prohibited)
1 Reserved (Setting prohibited)
Note: *When the DRAM interface is used, clear the AMXEXT bit to 0.
Rev. 6.0, 07/02, page 358 of 986
For Synchronous DRAM Interface:
AMX AMXEXT SZ Example of Synchronous DRAM BANK*4
0 0 64 (16M: 512k × 16 bits × 2) × 4 a[22]*1
32 (16M: 512k × 16 bits × 2) × 2 a[21]*1
1 64 (16M: 512k × 16 bits × 2) × 4 a[21]*1
32 (16M: 512k × 16 bits × 2) × 2 a[20]*1
1 0 64 (16M: 1M × 8 bits × 2) × 8 a[23]*1
32 (16M: 1M × 8 bits × 2) × 4 a[22]*1
1 64 (16M: 1M × 8 bits × 2) × 8 a[22]*1
32 (16M: 1M × 8 bits × 2) × 4 a[21]*1
2 64 (64M: 1M × 16 bits × 4) × 4 a[24:23]*1
32 (64M: 1M × 16 bits × 4) × 2 a[23:22]*1
3 64 (64M: 2M × 8 bits × 4) × 8 a[25:24]*1
32 (64M: 2M × 8 bits × 4) × 4 a[24:23]*1
4 64 (64M: 512k × 32 bits × 4) × 2 a[23:22]*1
32 (64M: 512k × 32 bits × 4) × 1 a[22:21]*1
5 64 (64M: 1M × 32 bits × 2) × 2 a[23]*1
32 (64M: 1M × 32 bits × 2) × 1 a[22]*1
6 0 64 (128M: 4M × 8 bits × 4) × 8*2a[26:25]*1
1 64 (256M: 4M × 16 bits × 4) × 4*2a[26:25]*1
0 32 (128M: 4M × 8 bits × 4) × 4*3a[25:24]*1
1 32 (256M: 4M × 16 bits × 4) × 2*3a[25:24]*1
7 64 (16M: 256k × 32 bits × 2) × 2 a[21]*1
32 (16M: 256k × 32 bits × 2) × 1 a[20]*1
Notes: *1a[*]: Not an address pin but an external addres s
*2 Can only be set in the SH7750R.
*3 Can only be set in the SH7750S/SH7750R (Setting prohibited in the SH7750).
*4 For details on address multiplexing, refer to appendix F, Synchronous DRAM Address
Multiplexing Tables.
Bit 2—Refresh Control (RFSH): Specifies refresh control. Selects whether refreshing is
performed for DRAM and synchronous DRAM. When the refresh function is not used, the refresh
request cycle generation timer can be used as an interval timer.
Bit 2: RFSH Description
0 Refreshing is not performed (Initial value)
1 Refreshing is performed
Rev. 6.0, 07/02, page 359 of 986
Bit 1—Refresh Mode (RMODE): Specifies whether normal refreshing or self-refreshing is
performed when the RFSH bit is set to 1. Wh en the RFSH bit is 1 and this bit is cleared to 0, CAS-
before-RAS refreshing or auto-refreshing is performed for DRAM and synchronous DRAM, using
the cycle set by refresh-related registers RTCNT, RTCOR, and RTCSR. If a refresh request is
issued during an external bus cycle, the refr esh cycle is executed when the bus cycle ends. When
the RFSH bit is 1 and this bit is set to 1, the self-refresh state is set for DRAM and synchronous
DRAM, after waiting for the end of any currently executing external bus cycle. All refresh
requests for memory in the self-refresh state are ignored.
Bit 1: RMODE Description
0 CAS-before-RAS refreshing is performed (when RFSH = 1) (Initial value)
1 Self-refreshing is performed (when RFSH = 1)
Bit 0—EDO Mo de ( EDOMODE): Used to sp ecify the data samp ling timing for data reads when
using EDO mode DRAM in terface. The setting of this bit does not affect the operation timing of
memory other than DRAM. Set this bit to 1 on ly when DRAM is used.
13.2.9 PCMCIA Control Register (PCR)
The PCMCIA control register (PCR) is a 16-bit readable/writable register that specifies the OE
and WE signal assertion/negation timing for the PCMCIA interface connected to areas 5 and 6.
The OE and WE signa l assertion width is set by the wait contro l bits in the WCR2 register . For
details of access to PCMCIA, see section 13.3.7, PCMCIA Interface.
PCR is initialized to H'0000 by a power-on reset, but is not initialized by a manual reset or in
standby mode.
Bit: 15 14 13 12 11 10 9 8
Bit name: A5PCW1 A5PCW0 A6PCW1 A6PCW0 A5TED2 A5TED1 A5TED0 A6TED2
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Bit name: A6TED1 A6TED0 A5TEH2 A5TEH1 A5TEH0 A6TEH2 A6TEH1 A6TEH0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 360 of 986
Bits 15 and 14—PCMCIA Wait (A5PCW1, A5PCW0): These bits specify the number of waits
to be added to the number of waits specified by WCR2 in a low-speed PCMCIA wait cycle. The
setting of these bits is selected when the PCMCIA interface access TC bit is cleared to 0.
Bit 15: A5PCW1 Bit 14: A5PCW0 Waits Inserted
0 0 0 (Initial value)
115
1030
150
Bits 13 and 12—PCMCIA Wait (A6PCW1, A6PCW0): These bits specify the number of waits
to be added to the number of waits specified by WCR2 in a low-speed PCMCIA wait cycle. The
setting of these bits is selected when the PCMCIA interface access TC bit is set to 1.
Bit 13: A6PCW1 Bit 12: A6PCW0 Waits Inserted
0 0 0 (Initial value)
115
1030
150
Bits 11 to 9—Address-OE
OEOE
OE/WE
WEWE
WE Assertion Delay (A5TED2–A5TED0): These bits set the delay
time from add r ess output to OE/WE assertio n on the connected PCMCIA interface. The settin g of
these bits is selected when the PCMCIA interface access TC bit is cleared to 0.
Bit 11: A5TED2 Bit 10: A5 TED1 Bit 9: A5TED0 Waits Inserted
0 0 0 0 (Initial value)
11
102
13
1006
19
1012
115
Rev. 6.0, 07/02, page 361 of 986
Bits 8 to 6—Address-OE
OEOE
OE/WE
WEWE
WE Assertion Delay (A6TED2–A6TED0): These bits set th e delay
time from add r ess output to OE/WE assertio n on the connected PCMCIA interface. The settin g of
these bits is selected when the PCMCIA interface access TC bit is set to 1.
Bit 8: A6TED2 Bit 7: A6TED1 Bit 6: A6TED0 Waits Inserted
0 0 0 0 (Initial value)
11
102
13
1006
19
1012
115
Bits 5 to 3—OE
OEOE
OE/WE
WEWE
WE Negation-Address Delay (A5TEH2–A5TEH0): These bits set the address
hold delay time from OE/WE negation in a write on th e connected PCMCIA interface or in an I/O
card read. The setting of these bits is selected when the PCMCIA interface access TC bit is cleared
to 0.
Bit 5: A5TEH2 Bit 4: A5TEH1 Bit 3: A5TEH0 Waits Inserted
0 0 0 0 (Initial value)
11
102
13
1006
19
1012
115
Bits 2 to 0—OE
OEOE
OE/WE
WEWE
WE Negation-Address Delay (A6TEH2–A6TEH0): These bits set the address
hold delay time from OE/WE negation in a write on th e connected PCMCIA interface or in an I/O
card read. In the case of a memory card read, the address hold delay time from the data sampling
timing is set. The setting of these bits is selected when the PCMCIA interface access TC bit is set
to 1.
Rev. 6.0, 07/02, page 362 of 986
Bit 2: A6TEH2 Bit 1: A6TEH1 Bit 0: A6TEH0 Waits Inserted
0 0 0 0 (Initial value)
11
102
13
1006
19
1012
115
13.2.10 Synchronous DRAM Mode Register (SDMR)
The synchronous DRAM mode register (SDMR) is a write-only virtual 16-bit register that is
written to via the synchronous DRAM address bus, and sets the mode of the area 2 and area 3
synchronous DRAM.
Settings for the SDMR register must be made before accessing synchronous DRAM.
Bit: 15 14 13 12 11 10 9 8
Bit name:
Initial value:————————
R/W:WWWWWWWW
Bit:76543210
Bit name:
Initial value:————————
R/W:WWWWWWWW
Since the address bus, not the data bus, is used to write to the synchronous DRAM mode register,
if the value to be set is “X” and the SDMR register address is “Y”, value “X” is wr itten to the
synchronous DRAM mode register by performing a write to address X + Y. When the
synchronous DRAM bus width is set to 32 bits, as A0 of the synchronous DRAM is connected to
A2 of the SH7750 Series, and A1 of the synchronous DRAM is connected to A3 of the SH7750
Series, the value actu a lly written to the synchron ous DRAM is th e value of “X” shifted 2 bits to
the right.
Rev. 6.0, 07/02, page 363 of 986
For example, to write H'0230 to the area 2 SDMR register, arbitrary data is written to address
H'FF900000 (address “Y”) + H'08 C0 (value “X”) (= H'FF9008C0). As a result, H'0230 is written
to the SDMR register. The range of value “X” is H'0000 to H'0FFC.
Similarly, to write H'0230 to the area 3 SDMR register, arbitrary data is written to address
H'FF940000 (address “Y”) + H'08 C0 (value “X”) (= H'FF9408C0). As a result, H'0230 is written
to the SDMR register. The range of value “X” is H'0000 to H'0FFC.
The lower 16 bits of the address are set in the synchronous DRAM mode register.
When the bus width is 32 bits, the burst length is 4* and 8. When the bus width is 64 bits, the burst
length is fixed at 4. When a setting is made in SDMR, byte-size writes are performed at the
following addresses.
Bus Width Burst Length CAS Latency Area 2 Area 3
32 4*1
2
3
H'FF900048
H'FF900088
H'FF9000C8
H'FF940048
H'FF940088
H'FF9400C8
32 8 1
2
3
H'FF90004C
H'FF90008C
H'FF9000CC
H'FF94004C
H'FF94008C
H'FF9400CC
64 4 1
2
3
H'FF900090
H'FF900110
H'FF900190
H'FF940090
H'FF940110
H'FF940190
For a 32-bit bus:
17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Address 000000000LMO
DE2 LMO
DE1 LMO
DE0 WT BL2 BL1 BL0
←→
10 bits set in case of 32-bit bus width
For a 64-bit bus:
17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Address 00000000LMO
DE2 LMO
DE1 LMO
DE0 WT BL2 BL1 BL0
←→
10 bits set in case of 64-bit bus width
Rev. 6.0, 07/02, page 364 of 986
LMODE: RAS-CAS latency
BL: Burst length
WT: Wrap typ e (0: Sequential)
BL LMODE
000: Reserved 000: Reserved
001: Reserved 001: 1
010: 4 010: 2
011: 8 011: 3
100: Reserved 100: Reserved
101: Reserved 101: Reserved
110: Reserved 110: Reserved
111: Reserved 111: Reserved
Note: * SH7750R only.
13.2.11 Refresh Timer Control/Status Register (RTCSR)
The refresh timer control/status register (RTCS R) is a 16-bit readable/writable register that
specifies the refresh cycle and whether interrupts are to be generated.
RTCSR is initialized to H'0000 by a power-on reset, but is not initialized by a manual reset or in
standby mode.
Bit: 15 14 13 12 11 10 9 8
Bit name:————————
Initial value:00000000
R/W:————————
Bit:76543210
Bit name: CMF CMIE CKS2 CKS1 CKS0 OVF OVIE LMTS
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bits 15 to 8—Reserved: These bits are always read as 0. For the write values, see section 13.2.15,
Notes on Accessing Refresh Control Registers.
Rev. 6.0, 07/02, page 365 of 986
Bit 7—Compare-Match Flag (CMF): Status flag that indicates a match between the refresh
timer counter (RTCNT) and refresh time constant register (RTCOR) values.
Bit 7: CMF Description
0 RTCNT and RTCOR values do not match (Initial value)
[Clearing cond iti on]
When 0 is written to CMF
1 RTCNT and RTCOR values match
[Setting condition]
When RTCNT = RTCOR*
Note: *If 1 is written, the original value is retained.
Bit 6—Compare-Match Interrupt Enable (CMIE): Controls generation or suppression of an
interrup t r equ est when the CMF flag is set to 1 in RTCSR. Do not set this b it to 1 when CAS-
before-RAS refreshing or auto-refreshing is used.
Bit 6: CMIE Description
0 Interrupt requests initiated by CMF are disabled (Initial value)
1 Interrupt requests initiated by CMF are enabled
Bits 5 to 3—Clock Select Bits (CKS2–CKS0): These bits select the input clock for RTCNT. The
base clock is the external bus clock (CKIO). The RTCNT count clock is obtained by scaling CKIO
by the specified factor.
Bit 5: CKS2 Bit 4: CKS1 Bit 3: CKS0 Description
000Clock input disabled(Initial value)
1 Bus clock (CKIO)/4
10CKIO/16
1CKIO/64
100CKIO/256
1 CKIO/1024
1 0 CKIO/2048
1 CKIO/4096
Rev. 6.0, 07/02, page 366 of 986
Bit 2—Refresh Count Overflow Flag (OVF): Status flag that indicates that the number of
refresh requests indicated by the refresh count register (RFCR) has exceeded the number specified
by the LMTS bit in RTCSR.
Bit 2: OVF Description
0 RFCR has not overflowed the count limit indicated by LMTS (Initial value)
[Clearing cond iti on]
When 0 is written to OVF
1 RFCR has overflowed the count limit indicated by LMTS
[Setting condition]
When RFCR overflows the count limit set by LMTS*
Note: *If 1 is written, the original value is retained.
Bit 1—Refresh Count Overflow Interrupt Enable (OVIE): Controls generation or suppression
of an interrupt request when the OVF flag is set to 1 in RTCSR.
Bit 1: OVIE Description
0 Interrupt requests initiated by OVF are disabled (Initial value)
1 Interrupt requests initiated by OVF are enabled
Bit 0—Refresh Count Overflow Limit Select (LMTS): Specifies the count limit to be compared
with the refresh count indicated by the refresh count register (RFCR). If the RFCR register value
exceeds the value specified by LMTS, the OVF flag is set.
Bit 0: LMTS Description
0 Count limit is 1024 (Initial value)
1 Count limit is 512
Rev. 6.0, 07/02, page 367 of 986
13.2.12 Refresh Timer Counter (RTCNT)
The refresh tim er counter ( RTCNT) is an 8-bit readable/writab le co unter th at is incremented by
the input clock (selected by bits CKS2–CKS0 in the RTCSR register). When the RTCNT counter
value matches the RTCOR register value, the CMF bit is set in the RTCSR register and the
RTCNT counter is cleared.
RTCNT is initialized to H'0000 by a power-on reset, but continues to count when a manual reset is
performed. In stan dby mode, RTCNT is not initialized, and retains its con ten ts.
Bit: 15 14 13 12 11 10 9 8
Bit name:————————
Initial value:00000000
R/W:————————
Bit:76543210
Bit name:
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 368 of 986
13.2.13 Refresh Time Constant Register (RTCOR)
The refresh time constant register (RTCOR) is a readable/writable register that specifies the upper
limit of the RTCNT counter. Th e RTCOR register and RTCNT counter values (lower 8 bits) are
constantly co mpared, and when they match the CMF bit is set in the RTCSR r e gister and the
RTCNT counter is cleared to 0. If the refresh bit (RFSH) has been set to 1 in the memory control
register (MCR) and CAS-before-RAS has been selected as the refresh mode, a memory refresh
cycle is gener ated when the CMF bit is set.
RTCOR is initialized to H'0000 by a power-on reset, but is not initialized, and retains its contents,
in a manual reset and in standby mode.
Bit: 15 14 13 12 11 10 9 8
Bit name:————————
Initial value:00000000
R/W:————————
Bit:76543210
Bit name:
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 369 of 986
13.2.14 Refresh Count Register (RFCR)
The refresh count register (RFCR) is a 10-bit readable/writable counter that counts the number of
refreshes by being incremented each time the RTCOR register and RTCNT counter values match.
If the RFCR register value exceeds the count limit specified by the LMTS bit in the RTCSR
register, the OVF flag is set in the RTCSR register and the RFCR register is cleared.
RFCR is initialized to H'0000 by a power-on reset, bu t is not initialized, and retains its contents, in
a manual reset and in standby mode.
Bit: 15 14 13 12 11 10 9 8
Bit name:——————
Initial value:00000000
R/W:——————R/WR/W
Bit:76543210
Bit name:
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
13.2.15 Notes on Accessing Refresh Control Registers
When the refresh timer control/status register (RTCSR), refresh timer counter (RTCNT), refresh
time constant register (RTCOR), and refresh count register (RFCR) are written to, a special code
is added to the data to prevent inadvertent rewriting in the event of program runaway, etc. The
following procedures should be used for read/write operations.
Writing to RTCSR, RTCNT, RTCOR, and RFCR: A word transfer instruction must always be
used when writing to RTCSR, RTCNT, RTCOR, or RFCR. A write cannot be performed with a
byte transfer instruction.
When writing to RTCSR, RTCNT, or RTCOR, set B'10100101 in the upper byte and the write
data in the lower byte, as shown in figure 13.5. When writing to RFCR, set B'101001 in the 6 bits
starting from the MSB in the upper by te, and the write data in the remaining bits.
Rev. 6.0, 07/02, page 370 of 986
15 14 13 12 11 10 9 8
10100101
76543210
15 14 13 12 11 10 9 8
101001
76543210
Write data
Write data
RTCSR,
RTCNT,
RTCOR
RFCR
Figure 13.5 Writing to RTCSR, RTCNT, RTCOR, and RFCR
Reading RTCSR, RTCNT, RTCOR, and RFCR: A 16-bit access must always be used when
reading RT CSR, RTCNT, RTC OR, or RFCR. Undefi ned b its are re ad as 0.
13.3 Operation
13.3.1 Endian/Acces s Size and Data Alignment
The SH7750 Series supports both big-endian mode, in which th e most significant byte (MSByte)
is at the 0 addr ess end in a string of byte data, and little-end ian mode, in which the least significant
byte (LSByte) is at the 0 address end. The mode is set by means of the MD5 external pin in a
power-on reset by the RESET pin, big-endian mode b eing set if the MD5 pin is low, and little-
endian mode if it is high.
A data bus width of 8, 16, 32, or 64 bits can be selected for normal memory, 16, 32, or 64 bits for
DRAM, 32 or 64 bits for synchronous DRAM, and 8 or 16 bits for the PCMCIA interface. Data
alignment is carried out according to the data bus width and endian mode of each device. If the
data bus width is smaller th an the access size, a number of bus cycles will be generated
automatically un til the access size is reached. In this case, address in crementing is performed
automatically according to the bus width as access is performed. For example, if longword access
is performed in an 8-bit bus width area using the SRAM interface, four accesses are executed,
with the address automatically incremented by 1 each time. In 32-byte transfer, a total of 32 by tes
of data are transferred consecutively according to the set bus width. The first access is performed
on the data for which there was an access request, and th e remaining accesses are performed on
32-byte boundary data using wraparound. Bus release or refresh operations are not performed
between these transfers. Data alignment and data length conversion between the different
interfaces is performed automatically. Quadword access is used only in transfer by the DMAC.
The relationship between the endian mode, device data leng th, and access unit, is shown in tables
13.7 to 13.14.
Rev. 6.0, 07/02, page 371 of 986
Data Configurat ion
MSB LSB
Byte Data 7 to 0
MSB LSB
Word Data 15 to 8 Data 7 to 0
MSB LSB
Longword Data 31 t o 24 Data 23 to 16 Data 15 t o 8 Data 7 to 0
MSB LSB
Quadword Data
63 to 56 Data
55 to 48 Data
47 to 40 Data
39 to 32 Data
31 to 24 Data
23 to 16 Data
15 to 8 Data
7 to 0
Rev. 6.0, 07/02, page 372 of 986
Table 13.7 (1) 64-Bit External Device/Big-Endian Access and Data Alignment
Operation Data Bus
Access
Size Address No. D63–56 D55–48 D47–40 D39–32 D31–24 D23–16 D15–8 D7–0
Byte 8n 1 Data
7–0 ———————
8n+1 1 Data
7–0 ——————
8n+2 1 Data
7–0 —————
8n+31———Data
7–0 ————
8n+41————Data
7–0 ———
8n+51—————Data
7–0 ——
8n+61——————Data
7–0
8n+71———————Data
7–0
Word 8n 1 Data
15–8 Data
7–0 ——————
8n+2 1 Data
15–8 Data
7–0 ————
8n+41————Data
15–8 Data
7–0 ——
8n+61——————Data
15–8 Data
7–0
Long-
word 8n 1 Data
31–24 Data
23–16 Data
15–8 Data
7–0 ————
8n+41————Data
31–24 Data
23–16 Data
15–8 Data
7–0
Quad-
word 8n 1 Data
63–56 Data
55–48 Data
47–40 Data
39–32 Data
31–24 Data
23–16 Data
15–8 Data
7–0
Rev. 6.0, 07/02, page 373 of 986
Table 13.7 (2) 64-Bit External Device/Big-Endian Access and Data Alignment
Operation Strobe Signals
Access
Size Address No.
WE7
WE7WE7
WE7,
CAS7
CAS7CAS7
CAS7,
DQM7
WE6
WE6WE6
WE6,
CAS6
CAS6CAS6
CAS6,
DQM6
WE5
WE5WE5
WE5,
CAS5
CAS5CAS5
CAS5,
DQM5
WE4
WE4WE4
WE4,
CAS4
CAS4CAS4
CAS4,
DQM4
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 8n 1 Asserted
8n+1 1 Asserted
8n+2 1 Asserted
8n+3 1 Asserted
8n+4 1 Asserted
8n+5 1 Asserted
8n+6 1 Asserted
8n+7 1 Asserted
Word 8n 1 Asserted Asserted
8n+2 1 Asserted Asserted
8n+4 1 Asserted Asserted
8n+6 1 Asserted Asserted
8n 1 Asserted Asserted Asserted Asserted
Long-
word 8n+4 1 Asserted Asserted Asserted Asserted
Quad-
word 8n 1 Asserted Asserted Asserted Asserted Asserted Asserted Asserted Asserted
Rev. 6.0, 07/02, page 374 of 986
Table 13.8 32-Bit External Device/Big-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 4n 1 Data
7–0 ———Asserted
4n+1 1 Data
7–0 —— Asserted
4n+2 1 Data
7–0 —Asserted
4n+3 1 Data
7–0 Asserted
Word 4n 1 Data
15–8 Data
7–0 Asserted Asserted
4n+2 1 Data
15–8 Data
7–0 Asserted Asserted
Long-
word 4n 1 Data
31–24 Data
23–16 Data
15–8 Data
7–0 Asserted Asserted Asserted Asserted
Quad-
word 8n 1 Data
63–56 Data
55–48 Data
47–40 Data
39–32 Asserted Asserted Asserted Asserted
8n+4 2 Data
31–24 Data
23–16 Data
15–8 Data
7–0 Asserted Asserted Asserted Asserted
Rev. 6.0, 07/02, page 375 of 986
Table 13.9 16-Bit External Device/Big-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 2n 1 Data
7–0 —Asserted
2n+1 1 Data
7–0 Asserted
Word 2n 1 Data
15–8 Data
7–0 Asserted Asserted
Long-
word 4n 1 Data
31–24 Data
23–16 Asserted Asserted
4n+2 2 Data
15–8 Data
7–0 Asserted Asserted
Quad-
word 8n 1 Data
63–56 Data
55–48 Asserted Asserted
8n+2 2 Data
47–40 Data
39–32 Asserted Asserted
8n+4 3 Data
31–24 Data
23–16 Asserted Asserted
8n+6 4 Data
15–8 Data
7–0 Asserted Asserted
Rev. 6.0, 07/02, page 376 of 986
Table 13.10 8-Bit External Device/Big-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte n 1 Data
7–0 Asserted
Word2n1———Data
15–8 Asserted
2n+1 2 Data
7–0 Asserted
Long-
word 4n1———Data
31–24 Asserted
4n+1 2 Data
23–16 Asserted
4n+2 3 Data
15–8 Asserted
4n+3 4 Data
7–0 Asserted
Quad-
word 8n1———Data
63–56 Asserted
8n+1 2 Data
55–48 Asserted
8n+2 3 Data
47–40 Asserted
8n+3 4 Data
39–32 Asserted
8n+4 5 Data
31–24 Asserted
8n+5 6 Data
23–16 Asserted
8n+6 7 Data
15–8 Asserted
8n+7 8 Data
7–0 Asserted
Rev. 6.0, 07/02, page 377 of 986
Table 13.11 (1) 64-Bit External Device/Little-Endian Access and Data Alignment
Operation Data Bus
Access
Size Address No. D63–56 D55–48 D47–40 D39–32 D31–24 D23–16 D15–8 D7–0
Byte8n1———————Data
7–0
8n+11——————Data
7–0
8n+21—————Data
7–0 ——
8n+31————Data
7–0 ———
8n+41———Data
7–0 ————
8n+5 1 Data
7–0 —————
8n+6 1 Data
7–0 ——————
8n+7 1 Data
7–0 ———————
Word8n1——————Data
15–8 Data
7–0
8n+2 1 Data
15–8 Data
7–0 ——
8n+4 1 Data
15–8 Data
7–0 ————
8n+6 1 Data
15–8 Data
7–0 ——————
Long-
word 8n1————Data
31–24 Data
23–16 Data
15–8 Data
7–0
8n+4 1 Data
31–24 Data
23–16 Data
15–8 Data
7–0 ————
Quad-
word 8n 1 Data
63–56 Data
55–48 Data
47–40 Data
39–32 Data
31–24 Data
23–16 Data
15–8 Data
7–0
Rev. 6.0, 07/02, page 378 of 986
Table 13.11 (2) 64-Bit External Device/Little-Endian Access and Data Alignment
Operation Strobe Signals
Access
Size Address No.
WE7
WE7WE7
WE7,
CAS7
CAS7CAS7
CAS7,
DQM7
WE6
WE6WE6
WE6,
CAS6
CAS6CAS6
CAS6,
DQM6
WE5
WE5WE5
WE5,
CAS5
CAS5CAS5
CAS5,
DQM5
WE4
WE4WE4
WE4,
CAS4
CAS4CAS4
CAS4,
DQM4
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 8n 1 Asserted
8n+1 1 Asserted
8n+2 1 Asserted
8n+3 1 Asserted
8n+4 1 Asserted
8n+5 1 Asserted
8n+6 1 Asserted
8n+7 1 Asserted
Word 8n 1 Asserted Asserted
8n+2 1 Asserted Asserted
8n+4 1 Asserted Asserted
8n+6 1 Asserted Asserted
8n 1 Asserted Asserted Asserted AssertedLong-
word 8n+4 1 Asserted Asserted Asserted Asserted
Quad-
word 8n 1 Asserted Asserted Asserted Asserted Asserted Asserted Asserted Asserted
Rev. 6.0, 07/02, page 379 of 986
Table 13.12 32-Bit External Device/Little-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 4n 1 Data
7–0 Asserted
4n+1 1 Data
7–0 —Asserted
4n+2 1 Data
7–0 —— Asserted
4n+3 1 Data
7–0 ——Asserted
Word 4n 1 Data
15–8 Data
7–0 Asserted Asserted
4n+2 1 Data
15–8 Data
7–0 Asserted Asserted
Long-
word 4n 1 Data
31–24 Data
23–16 Data
15–8 Data
7–0 Asserted Asserted Asserted Asserted
Quad-
word 8n 1 Data
31–24 Data
23–16 Data
15–8 Data
7–0 Asserted Asserted Asserted Asserted
8n+4 2 Data
63–56 Data
55–48 Data
47–40 Data
39–32 Asserted Asserted Asserted Asserted
Rev. 6.0, 07/02, page 380 of 986
Table 13.13 16-Bit External Device/Little-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte 2n 1 Data
7–0 Asserted
2n+1 1 Data
7–0 —Asserted
Word 2n 1 Data
15–8 Data
7–0 Asserted Asserted
Long-
word 4n 1 Data
15–8 Data
7–0 Asserted Asserted
4n+2 2 Data
31–24 Data
23–16 Asserted Asserted
Quad-
word 8n 1 Data
15–8 Data
7–0 Asserted Asserted
8n+2 2 Data
31–24 Data
23–16 Asserted Asserted
8n+4 3 Data
47–40 Data
39–32 Asserted Asserted
8n+6 4 Data
63–56 Data
55–48 Asserted Asserted
Rev. 6.0, 07/02, page 381 of 986
Table 13.14 8-Bit External Device/Little-Endian Access and Data Alignment
Operation Data Bus Strobe Signals
Access
Size Address No. D31–D24 D23–D16 D15–D8 D7–D0
WE3
WE3WE3
WE3,
CAS3
CAS3CAS3
CAS3,
DQM3
WE2
WE2WE2
WE2,
CAS2
CAS2CAS2
CAS2,
DQM2
WE1
WE1WE1
WE1,
CAS1
CAS1CAS1
CAS1,
DQM1
WE0
WE0WE0
WE0,
CAS0
CAS0CAS0
CAS0,
DQM0
Byte n 1 Data
7–0 Asserted
Word2n1———Data
7–0 Asserted
2n+1 2 Data
15–8 Asserted
Long-
word 4n1———Data
7–0 Asserted
4n+1 2 Data
15–8 Asserted
4n+2 3 Data
23–16 Asserted
4n+3 4 Data
31–24 Asserted
Quad-
word 8n1———Data
7–0 Asserted
8n+1 2 Data
15–8 Asserted
8n+2 3 Data
23–16 Asserted
8n+3 4 Data
31–24 Asserted
8n+4 5 Data
39–32 Asserted
8n+5 6 Data
47–40 Asserted
8n+6 7 Data
55–48 Asserted
8n+7 8 Data
63–56 Asserted
Rev. 6.0, 07/02, page 382 of 986
13.3.2 Areas
Area 0: For area 0, external address bits A28 to A26 are 000.
SRAM, MPX, and burst ROM can be set to this area.
A bus width of 8, 16, 32, or 64 bits can be selected in a power-on reset by means of external pins
MD4 and MD3. For details, see Memory Bus Width in section 13.1.5.
When area 0 is accessed, the CS0 signal is asserted. In ad d ition, the RD signal, which can be used
as OE, and write control signals WE0 to WE7, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A0W2 to A0W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
When the burst ROM interface is used, the number of burst cycle transfer states is selected in the
range 2 to 9 according to the number of waits.
The read/write strobe signal address and the CS setup/hold time can be set, respectively, to 0 or 1
and to 0 to 3 cycles using the A0S0, A0H1, and A0H0 bits in the WCR3 register.
Area 1: For area 1, external address bits A28 to A26 are 001.
SRAM, MPX and byte control SRAM can be set to this area.
A bus width of 8, 16, 32, or 64 bits can be selected with bits A1SZ1 and A1SZ0 in the BCR2
register. When MPX interface is set, a bus width of 32 or 64 bits should be selected with bits
A1SZ1 and A1SZ0 in the BCR2 register. When byte con tr ol SRAM interface is set, select a bus
width of 16, 32, or 64 bits.
When area 1 is accessed, the CS1 signal is asserted. In ad d ition, the RD signal, which can be used
as OE, and write control signals WE0 to WE7, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A1W2 to A1W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A1S0 and bits A1H1 and A1H0 in the WCR3
register.
Rev. 6.0, 07/02, page 383 of 986
Area 2: For area 2, external address bits A28 to A26 are 010.
SRAM, MPX, DRAM, and synchronous DRAM can be set to this area.
When SRAM interface is set, a bus width of 8, 16, 32, or 64 bits can be selected with bits A2SZ1
and A2SZ0 in the BCR2 register. When MPX interface is set, a b us width of 32 or 64 bits should
be selected with bits A2SZ1 and A2SZ0 in the BCR2 register. When synchronous DRAM
interface is set, select 32 or 64 bits with the SZ bits in the MCR register. When DRAM is
connected to area 2, select a bus width of 16 or 32 bits with the SZ bits in MCR. For details, see
Memory Bus Width in section 13.1.5.
When area 2 is accessed, the CS2 signal is assert e d.
When SRAM interface is set, the RD signal, which can be used as OE, and write control signals
WE0 to WE7, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A2W2 to A2W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A2S0 and bits A2H1 and A2H0 in the WCR3
register.
When synchronous DRAM interface is set, the RAS and CAS signals, RD/WR signal, and byte
control sig nals DQM0 to DQM7 are asserted, and address multiplex ing is p e r f orm ed. RAS, CAS,
and data timing control, and address multiplex ing control, can be set using the MCR register.
When DRAM is connected, the RAS2 signal, CAS4 to CAS7 signals, and RD/WR signal are
asserted, and ad dress multiplexing is performed. RAS2, CAS, and data timing control, and address
multiplexing control, can be set usin g the MCR register.
Area 3: For area 3, external address bits A28 to A26 are 011.
SRAM, MPX, DRAM, and synchronous DRAM can be set to this area.
When SRAM interface is set, a bus width of 8, 16, 32, or 64 bits can be selected with bits A3SZ1
and A3SZ0 in the BCR2 register. When MPX interface is set, a b us width of 32 or 64 bits should
be selected with bits A3SZ1 and A3SZ0 in the BCR2 register. When DRAM interface is set, 16,
32, or 64 bits can be selected with the SZ bits in the MCR register. When synchronous DRAM
interface is set, select 32 or 64 bits with the SZ bits in MCR. For details, see Memory Bus Width
in section 13.1.5.
When area 3 is accessed, the CS3 signal is assert e d.
Rev. 6.0, 07/02, page 384 of 986
When SRAM interface is set, the RD signal, which can be used as OE, and write control signals
WE0 to WE7, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A3W2 to A3W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A3S0 and bits A3H1 and A3H0 in the WCR3
register.
When synchronous DRAM interface is set, the RAS and CAS signals, RD/WR signal, and byte
control sig nals DQM0 to DQM7 are asserted, an d address multiplex ing is p e r f orm ed. When
DRAM interface is set, the RAS signal, CAS0 to CAS7 signals, and RD/WR signal are asserted,
and address multiplexing is performed. RAS, CAS, and data timing control, and address
multiplexing control, can be set usin g the MCR register.
Area 4: For area 4, external address bits A28 to A26 are 100.
SRAM, MPX, and byte control SRAM can be set to this area.
A bus width of 8, 16, 32, or 64 bits can be selected with bits A4SZ1 and A4SZ0 in the BCR2
register. When MPX interface is set, a bus width of 32 or 64 bits should be selected with bits
A4SZ1 and A4SZ0 in the BCR2 register. When byte con tr ol SRAM interface is set, select a bus
width of 16, 32 , or 64 bits. For details, see Memory Bus Width in section 13.1.5.
When area 4 is accessed, the CS4 signal is asserted, and the RD signal, which can be used as OE,
and write control signals WE0 to WE7, are also asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A4W2 to A4W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A4S0 and bits A4H1 and A4H0 in the WCR3
register.
Rev. 6.0, 07/02, page 385 of 986
Area 5: For area 5, external address bits A28 to A26 are 101.
SRAM, MPX, burst ROM, and a PCMCIA interface can be set to this area.
When SRAM interface is set, a bus width of 8, 16, 32, or 64 bits can be selected with bits A5SZ1
and A5SZ0 in the BCR2 register. Wh en burst ROM inter f ace is set, a bus width of 8, 16 or 32 bits
can be selected with bits A5SZ1 and A5SZ0 in BCR2. When MPX interface is set, a bus width of
32 or 64 bits should be selected with bits A5SZ1 and A5SZ0 in BCR2. When a PCMCIA interface
is set, either 8 or 16 bits should be selected with bits A5SZ1 and A5SZ0 in BCR2. For details, see
Memory Bus Width in section 13.1.5.
When area 5 set is accessed with SRAM interface set, the CS5 signal is asser ted . In addition, the
RD signal, which can be used as OE, and write control signals WE0 to WE7, are asserted. When a
PCMCIA interface is connected, the CE1A and CE2A sig nals, the RD signal, which can be used
as OE, and the WE1, WE2, WE3, and WE7 signals, which can be used as WE, ICIORD,
ICIOWR, and REG, respectively, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A5W2 to A5W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
When the burst function is used, the nu mber of burst cycle transfer states is determined in the
range 2 to 9 according to the number of waits.
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A5S0 and bits A5H1 and A5H0 in the WCR3
register.
When a PCMCIA interface is used, the address/CE1A/CE2A setup and hold times with respect to
the read/write stro be signals can be set in the range of 0 to 15 cycles with bits AnTED1 and
AnTED0, and bits AnTEH1 and AnTEH0, in the PCR register. In addition, the number of wait
cycles can be set in the range 0 to 50 with bits AnPCW1 and AnPCW0. Th e number of waits set in
PCR is added to the numb er of waits set in WCR2.
Rev. 6.0, 07/02, page 386 of 986
Area 6: For area 6, external address bits A28 to A26 are 110.
SRAM, MPX, burst ROM, and a PCMCIA interface can be set to this area.
When SRAM interface is set, a bus width of 8, 16, 32, or 64 bits can be selected with bits A6SZ1
and A6SZ0 in the BCR2 register. Wh en burst ROM inter f ace is set, a bus width of 8, 16 or 32 bits
can be selected with bits A6SZ1 and A6SZ0 in BCR2. When MPX inter f ace is set, a bus width of
32 or 64 bits should be selected with bits A6SZ1 and A6SZ0 in BCR2. When a PCMCIA interface
is set, either 8 or 16 bits should be selected with bits A6SZ1 and A6SZ0 in BCR2. For details, see
Memory Bus Width in section 13.1.5.
When area 6 is accessed with SRAM interface set, the CS6 signal is asserted . In addition, the RD
signal, which can be used as OE, and write control signals WE0 to WE7, are asserted. When a
PCMCIA interface is set, the CE1B and CE2B signals, the RD signal, which can be used as OE,
and the WE1, WE2, WE3, and WE7 signals, which can be used as WE, ICIORD, ICIOWR, and
REG, respectively, are asserted.
As regards the number of bus cycles, from 0 to 15 waits can be selected with bits A6W2 to A6W0
in the WCR2 register. In addition, any number of waits can be inserted in each bus cycle by means
of the external wait pin (RDY).
When the burst function is used, the nu mber of burst cycle transfer states is determined in the
range 2 to 9 according to the number of waits.
The read/write strobe signal address and CS setup and hold times can be set within a range of 0–1
and 0–3 cycles, respectively, by means of bit A6S0 and bits A6H1 and A6H0 in the WCR3
register.
When a PCMCIA interface is used, the address/CE1B/CE2B setup and hold times with respect to
the read/write stro be signals can be set in the range of 0 to 15 cycles with bits AnTED1 and
AnTED0, and bits AnTEH1 and AnTEH0, in the PCR register. In addition, the number of wait
cycles can be set in the range 0 to 50 with bits AnPCW1 and AnPCW0. Th e number of waits set in
PCR is added to the numb er of waits set in WCR2.
Rev. 6.0, 07/02, page 387 of 986
13.3.3 SRAM Interface
Basic Ti ming: The SRAM interface of the SH7750 Series uses strobe signal output in
consideration of the fact that mainly SRAM will be connected. Figure 13.6 shows the basic timing
of normal space accesses. A no-wait normal access is completed in two cycles. The BS signal is
asserted for one cycle to indicate the start of a bus cycle. The CSn signal is asserted on the T1
rising edge, and negated on the next T2 clock rising edge. Therefore, there is no negation period in
case of access at minimum pitch.
There is no access size specification when reading. The correct access address is output to the
address pins (A[25:0]), but since there is no access size specification, 32 bits are always read in
the case of a 32-bit device, and 16 bits in the case of a 16-bit device. When writing, only the WE
signal for the byte to be written is asserted. For details, see section 1 3.3.1, Endian/Access Size and
Data Alignment.
In 32-byte transfer, a total of 32 bytes are transferred consecutively according to the set bus width.
The first access is performed on the data for which there was an access request, and the remaining
accesses are performed in wrap around mode on the data at the 32-byte boundary. The bus is not
released during this transfer.
Rev. 6.0, 07/02, page 388 of 986
T1
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
T2
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
DACKn
(DA)
SA: Single address DMA
DA: Dual address DMA
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.6 Basic Timing of SRAM Interface
Rev. 6.0, 07/02, page 389 of 986
Figures 13.7, 13.8, 13.9, and 13.10 show examples of connection to 64-, 32-, 16-, and 8-bit data
width SRAM.
A19–A3
D63–D56
SH7750 Series
128k
×
8-bit
SRAM
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
D55–D48
D47–D40
D39–D32
D31–D24
D23–D16
D15–D8
D7–D0
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
A16–A0
I/O7–I/O0
Figure 13.7 Example of 64-Bit Data Width SRAM Connection
Rev. 6.0, 07/02, page 390 of 986
••••••••••••••••••••
A16
A0
I/O7
I/O0
••••••••
••••••••
A18
A2
D31
D24
D23
D16
D15
D8
D7
D0
SH7750 Series 128k × 8-bit
SRAM
••••
A16
A0
I/O7
I/O0
••••
••••••••
••••••••
••••
A16
A0
I/O7
I/O0
••••••••
••••••••
A16
A0
I/O7
I/O0
••••
••••••••
••••
••••••••
Figure 13.8 Example of 32-Bit Data Width SRAM Connection
Rev. 6.0, 07/02, page 391 of 986
A16
A0
I/O7
I/O0
A17
A1
D15
D8
D7
D0
SH7750 Series 128k × 8-bit
SRAM
A16
A0
I/O7
I/O0
••••
••••
••••••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
Figure 13.9 Example of 16-Bit Data Width SRAM Connection
Rev. 6.0, 07/02, page 392 of 986
A16
A0
D7
D0
SH7750 Series 128k × 8-bit
SRAM
A16
A0
I/O7
I/O0
••••
••••
••••
••••
••••
••••
••••
••••
Figure 13.10 Example of 8-Bit Data Width SRAM Connection
Wait State Control: Wait state insertion on the SRAM interface can be controlled by the WCR2
settings. If the WCR2 wait specification bits corresponding to a particular area are not zero, a
software wait is inserted in accordance with that specification. For details, see section 13.2.6, Wait
Control Register 2 (WCR2).
The specified number of Tw cycles are inserted as wait cycles using the SRAM interface wait
timing shown in figure 13.11.
Rev. 6.0, 07/02, page 393 of 986
T1
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
Tw T2
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.11 SRAM Interface Wait Timing (Software Wait Only)
Rev. 6.0, 07/02, page 394 of 986
When softwar e wait insertion is specif ied by WCR2, the external wait input RDY signal is also
sampled. RDY signal sampling is shown in figure 13.12. A single-cycle wait is specified as a
software wait. Sampling is performed at the transition from the Tw state to the T2 state; therefor e,
the RDY signal has no effect if asserted in the T1 cycle or the first Tw cycle. The RDY signal is
sampled on the rising edge of the clock.
T1 Tw Twe T2
CKIO
A25–A0
RD/
(read)
D63–D0
(read)
(write)
D63–D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.12 SRAM Interface Wait State Timing (Wait State Insertion by RDY
RDYRDY
RDY Signal)
Rev. 6.0, 07/02, page 395 of 986
Read-Strobe Negate Timing (Setting Only Possible in the SH7750R): When the SRAM
interface is used, timing for the negation of the strobe during read operations can be specified by
the setting of the A1RDH and A4RDH bits of the WCR3 reg ister. For information about this
setting, see the description of the WCR3 register. When a byte control SRAM setting is made,
AnRDH should be cleared to 0.
TS1
CKIO
A25ÐA0
CSn
RD/WR
D63ÐD0
BS
T1 Tw Tw TwTw T2 TH1 TH2
*
TS1: Setup wait
WCR3.AnS
(0 to 1)
Tw: Access wait
WCR2.AnW
(0 to 15)
TH1, TH2: Hold wait
WCR3.AnH
(0 to 3)
Note: * When AnRDH is set to 1
Figure 13.13 SRAM Interface Read-Strobe Negate Timing
(AnS = 1, AnW = 4, AnH = 2)
13.3.4 DRAM Interface
Direct Connection of DRAM: When the memory type bits (DRAMTP2–0) in BCR1 are set to
100, area 3 becomes DRAM space; when set to 101, area 2 and area 3 become DRAM space. The
DRAM interface function can then be used to connect DRAM to the SH7750.
16, 32, or 64 bits can be selected as the interface data width for area 3 when bits DRAMTP2–0 are
set to 100, and 16 or 32 bits can be used for both area 2 and area 3 when bits DRAMTP2–0 are set
to 101.
2-CAS 16-bit DRAMs can be connected, since CAS is used to control byte access.
Rev. 6.0, 07/02, page 396 of 986
Signals used for connection when DRAM is connected to area 3 are RAS, CAS0 to CAS7, and
RD/WR. CAS2 to CAS7 are not used when the data width is 16 bits. When DRAM is connected
to areas 2 and 3, the signals for area 2 DRAM connection are RAS2, CAS4 to CAS7, and RD/WR,
and those for area 3 DRAM connection are RAS, CAS0 to CAS3, and RD/WR.
In addition to normal read and write access modes, fast pag e mode is supported for burst access.
For DRAM connected to areas 2 and 3, EDO mode, which enables the DRAM access time to be
increased, is supported.
A12–A3
RD/
D63–D48
SH7750 Series 1M × 16-bit
DRAM
A9–A0
I/O15–I/O0
D15–D0
A9–A0
I/O15–I/O0
A9–A0
I/O15–I/O0
A9–A0
I/O15–I/O0
D31–D16
D47–D32
Figure 13.14 Example of DRAM Connection (6 4 - Bit Data Width, Area 3)
Rev. 6.0, 07/02, page 397 of 986
A10
A2
RD/D31
D16
D15
D0
SH7750 Series 256k × 16-bit
DRAM
A8
A0
I/O15
I/O0
A8
A0
I/O15
I/O0
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
Figure 13.15 Example of DRAM Connection (3 2 - Bit Data Width, Area 3)
Rev. 6.0, 07/02, page 398 of 986
A9
A1
RD/D15
D0
SH7750 Series
256k × 16-bit
DRAM
A8
A0
I/O15
I/O0
A8
A0
I/O15
I/O0
Area 3
Area 2
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
••••
Figure 13.16 Example of DRAM Connectio n (16-Bit Data Width, Areas 2 and 3)
Rev. 6.0, 07/02, page 399 of 986
Address Multiplexing: When area 2 or area 3 is designated as DRAM space, address
multiplexing is always performed in accesses to DRAM. This enables DRAM, wh ich requires row
and column address multiplexing, to be connected to the SH7750 Series without using an external
address mu ltiplexer circuit. Any of the five m ultiplexing methods sho wn below can be selected,
by setting bits AMXEXT and AMX2–0 in MCR for area 2 or 3 DRAM. The relationship between
the AMXEXT and AMX2– 0 bits an d address multiplexin g is sho wn in table 13.15. The address
output p ins subject to address multiplexing are A17 to A1. The address signals output by pins A25
to A18 are undefined.
Table 13.15 Relationship between AMXEXT and AMX2–0 Bits and Address Multiplexing
Setting External Address Pins
AMXEXT AMX2 AMX1 AMX0
Number
of Column
Address
Bits Output Timing A1–A13 A14 A15 A16 A17
0 0 0 0 8 bits Column address A 1–A13 A14 A15 A 16 A17
Row address A9–A21 A22 A23 A24 A25
1 9 bits Column address A1–A 13 A14 A15 A16 A17
Row address A10–A22 A23 A24 A 25 A17
1 0 10 bits Colum n address A 1–A 13 A14 A15 A16 A17
Row address A11–A23 A24 A25 A 16 A17
1 11 bits Column address A1–A13 A14 A15 A16 A17
Row address A12–A24 A25 A15 A 16 A17
1 0 0 12 bits Column address A1–A 13 A14 A15 A16 A17
Row address A13–A25 A14 A15 A 16 A17
Other settings Reserved
Rev. 6.0, 07/02, page 400 of 986
Basic Ti ming: The basic timing for DRAM access is 4 cycles. This basic timing is shown in
figure 13.17. Tpc is the precharge cycle, Tr the RAS assert cycle, Tc1 the CAS assert cycle, and
Tc2 the read data latch cycle.
Tr1 Tr2 Tc1 Tc2 Tpc
Row
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
Column
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.17 Basic DRAM Access Timing
Rev. 6.0, 07/02, page 401 of 986
Wait State Control: As the clock frequency increases, it becomes impossible to complete all
states in one cycle as in basic access. Therefore, provision is made for state extension by using the
setting bits in WCR2 and MCR. The timing with state extension using these settings is shown in
figure 13.18. Add itional Tpc cycles (cycles used to secure the RAS precharge time) can be
inserted by mean s of the TPC bit in MCR, giving from 1 to 7 cycles. The number of cycles from
RAS assertio n to CAS assertion can be set to between 2 and 5 by inserting Trw cycles by means of
the RCD bit in MCR. Also, the number of cycles from CAS assertion to the end of the access can
be varied between 1 and 16 according to the setting of A2W2 to A2W0 or A3W2 to A3W0 in
WCR2.
Tr1
CKIO
A25–A0
RD/
D63D0
(read)
D63D0
(write)
Tr2 Trw Tc1 Tcw Tc2 Tpc Tpc
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
ColumnRow
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.18 DRAM Wait State Timing
Rev. 6.0, 07/02, page 402 of 986
Burst Access: In addition to the no rmal DRAM access mode in which a row address is output in
each data access, a fast page mode is also provided for the case where consecutive accesses are
made to the same row. This mode allows fast access to data by outpu ttin g the row ad d ress only
once, then changing only the column address for each subsequent access. Normal access or bu rst
access using fast page mode can be selected by means of the burst enable (BE) bit in MCR. The
timing for burst access using fast page mode is shown in figure 13.19.
If the access size exceeds the set bus width, burst access is performed. In a 32-byte burst transfer
(cache fill), the first access comprises a longword that includes the data requiring access. The
remaining accesses are performed on 32-byte boundary data that includes the relevant data. In
burst transf er (cache write-back), wraparound writin g is per formed for 32-byte data.
Tr2 Tc1 Tc2 Tc1 Tc2 Tc2Tr1
rc1c2c3c4
Tc1 TpcTc2Tc1
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
d4
d3d2
d2d1 d3 d4
d1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.19 DRAM Burst Access Timing
Rev. 6.0, 07/02, page 403 of 986
EDO Mode : With DRAM, in ad dition to the mode in which data is output to the data bus only
while the CAS signal is asserted in a data read cycle, an EDO (extended data out) mode is also
provided in which, once the CAS signal is asserted while the RAS signal is asserted , even if the
CAS sign a l is negated, data is output to the data bus until the CAS signal is next asserted. In the
SH7750, the EDO mode bit (EDOMODE) in MCR enables either normal access/burst access
using fast page mode, or EDO mode no rmal access/burst access, to be selected for DRAM. When
EDO mode is set, BE must be set to 1 in MCR. EDO mode normal access is shown in figure
13.20, and burst access in figure 13 .21.
CAS Negation Period: The CAS negation period can be set to 1 or 2 by means of the TCAS bit in
the MCR register.
Tr1
Row
Tc1 Tc2 Tce TpcTr2
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
Column
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.20 DRAM Bus Cycle (EDO Mode, RCD = 0, AnW = 0, TPC = 1)
Rev. 6.0, 07/02, page 404 of 986
Tr1
r c1c2c3 c4
Tc1 Tc2 Tc1 Tc2 Tc1 Tc1Tr2 Tc2 Tc2 TpcTce
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
d4d3d2d1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.21 Burst Access Timing in DRAM EDO Mode
RAS Down Mode: The SH7750 Series has an address comparator for detecting row address
matches in burst mode. By using this address comparator, and also setting RAS down mode
specification bit RASD to 1, it is po ssible to select RAS down mode, in wh ich RAS remains
asserted after the end of an access. When RAS down mode is used, if the refresh cycle is longer
than the max imum DRAM RAS assert time, the refresh cycle must be decreased to or below the
maximum value of tRAS.
RAS down mode can only be used when DRAM is connected in area 3.
In RAS down mode, in the event of an access to an address with a different row address, an access
to a different area, a refresh request, or a bus request, RAS is negated and th e necessary operation
is performed. When DRAM access is resumed after this, since this is the start of RAS down mode,
the operation starts with row address output. Timing charts are shown in figures 13.22 (1), (2), (3),
and (4).
Rev. 6.0, 07/02, page 405 of 986
Tr1 Tr2 Tc1 Tc2 Tc1 Tc1Tpc
rc1c2c3c4
Tc2 Tc2Tc1Tc2
CKIO
A25A0
RD/
D63D0
(read)
D63D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
d4d3d2d1
d4d3d2d1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.22 (1) DRAM Burst Bus Cycle, RAS Down Mode Start
(Fast Page Mode, RCD = 0, AnW = 0)
Rev. 6.0, 07/02, page 406 of 986
Tnop Tc1 Tc2 Tc1 Tc2 Tc1 Tc1
Tc2 Tc2
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
c0 c1 c2 c3
d0
d0 d1 d2 d3
d1 d2 d3
End of RAS down mode
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.22 (2) DRAM Burst Bus Cycle, RAS Down Mode Continua tion
(Fast Page Mode, RCD = 0, AnW = 0)
Rev. 6.0, 07/02, page 407 of 986
Tpc Tr2 Tc1 Tc2 Tc1 Tc2 Tc2Tr1
rc1c2c3 c4
Tc1 Tc1 TceTc2
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
d4d3d2d1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.22 (3) DRAM Burst Bus Cycle, RAS Down Mode Start
(EDO Mode, RCD = 0, AnW = 0)
Rev. 6.0, 07/02, page 408 of 986
Tc2 Tc1 Tc2 Tc1 Tc2 Tc2Tc1
c1 c2 c3 c4
Tc1 Tce
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
d4d3d2d1
End of RAS down mode
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.22 (4) DRAM Burst Bus Cycle, RAS Down Mode Continua tion
(EDO Mode, RCD = 0, AnW = 0)
Rev. 6.0, 07/02, page 409 of 986
Refresh Timing: The bus state controller includes a function for controlling DRAM refreshing.
Distributed refreshing using a CAS-before-RAS cycle can be performed for DRAM by clearing
the RMODE bit to 0 and setting the RFSH bit to 1 in MCR. Self-refresh mode is also supported.
CAS-before-RAS Refresh
When CAS-before-RAS refresh cycles are executed, refreshing is performed at intervals
determined by the input clock selected by bits CKS2–CKS0 in RTCSR, and the value set in
RTCOR. The value of bits CKS2–CKS0 in RTCOR should be set so as to satisfy the
specification for the DRAM refresh in terval. First make th e settings for RTCOR, RTCNT, and
the RMODE and RFSH bits in MCR, then make the CKS2–CKS0 setting. When the clock is
selected by CKS2–CKS0, RTCNT starts counting up from the value at that time. The RTCNT
value is constantly compared with the RTCOR value, and if the two values are th e same, a
refresh r e quest is generated an d the BACK pin goes high. If the SH7750 Series’ external bus
can be used, CAS-before-RAS refreshing is performed. At the same time, RTCNT is cleared to
zero and the count-up is restarted. Figure 13.23 shows the operation of CAS-before-RAS
refreshing.
RTCNT value
RTCOR-1
H'00000000
RTCSR.CKS2–0
External bus
Refresh request cleared
by start of refresh cycle
= 000 000
RTCNT cleared to 0 when
RTCNT = RTCOR
CAS-before-RAS refresh cycle
Time
Refresh
request
Figure 13.23 CAS-Before-RAS Refresh Operation
Figure 13.24 shows the timing of the CAS-before-RAS refresh cycle.
The number of RAS assert cycles in the refresh cycle is specified by bits TRAS2–TRAS0 in
MCR. The specification of the RAS precharge time in the refresh cycle is determined by the
setting of bits TRC2–TRC0 in MCR.
Rev. 6.0, 07/02, page 410 of 986
TRr2 TRr3 TRr4 TRr5 TrcTRr1 Trc Trc
CKIO
A25–A0
RD/
D63–D0
Figure 13.24 DRAM CAS-Before-RAS Refresh Cycle Timi ng (TRAS = 0, TRC = 1)
Self-Refresh
The self-refreshing supported by the SH7750 Series is shown in figure 13.25.
After the self-refresh is cleared, the refresh controller immediately generates a refresh request.
The RAS precharge time immediately after the end of the self-refreshing can be set by bits
TRC2–TRC0 in MCR.
DRAMs include low-power products (L versions) with a long refresh cycle time (for example,
the HM51W4160AL L version has a refresh cycle of 1024 cycles/128 ms compared with 1024
cycles/16 ms for the normal version). With these DRAMs, however, the same refresh cycle as
for the normal version is requested only in the case of refreshing immediately following self-
refreshing. To ensure efficient DRAM refreshing, therefore, processing is needed to generate
an overflow interrupt and restore the refresh cycle to the proper value, after the necessary
CAS-before-RAS refreshing has been performed following self-refreshing of an L-version
DRAM, using the OVF, OVIE, and LMTS bits in RTCSR and the refresh controller’s refresh
count register (RFCR). The necessary procedure is as follows.
Rev. 6.0, 07/02, page 411 of 986
1. Normally, set the refresh counter count cycle to the optimum value for the L version (e.g.
1024 cycles/128 ms).
2. When a transition is made to self-refre shing:
a. Pr ovide an interrupt handler to restore the refresh counter count value to the optimum
value for the L version (e.g. 1024 cycles/128 ms) when a refresh counter overflow
interrupt is generated.
b. Re-set the refresh counter count cycle to the requested short cycle (e.g. 1024 cycles/16
ms), set refresh controller overflow interruption, and clear the refresh contr oller’s
refresh count register (RFCR) to 0.
c. Set self-refresh mode.
By using th is procedure, the refre shing immediately follo wing a self-refresh will be performed
in a short cycle, and when adequate refreshing ends, an interrup t is g enerated and the setting
can be restored to the original refresh cycle.
CAS-before-RAS refreshing is performed in normal operation, in sleep mode, and in the case
of a manual reset.
Self-refreshing is performed in normal operation, in sleep mode, in standby mode, and in the
case of a manual reset.
When the bus has been released in response to a bus arbitration request, or when a transition is
made to standby mode, signals generally become high-impedance, but whether the RAS and
CAS signals become high-impedance or continue to be output can be controlled by the
HIZCNT bit in BCR1. This enables the DRAM to be kept in the self-r efresh in g state.
As the DRAM CAS signal is multiplex e d with WEn for normal memory (SRAM, etc.), access
to memory that uses the WEn signals must be disabled during self-refreshing.
Relationship between Refresh Requests and Bus Cycle Requests
If a refresh request is generated during execution of a bus cycle, execution of th e refresh is
deferred un til the bus cycle is completed. Refresh operations are deferred during multip le bus
cycles generated because the data bus width is smaller than the access size (for example, when
performing longword access to 8-bit bus width memory) and during a 32-byte transfer such as
a cache fill or write-back, and also between read and write cycles during executio n of a TAS
instruction, and between read and write cycles when DMAC dual address transfer is executed.
If a refresh request occurs when the bus has been released by the bus arbiter, refresh execution
is deferred until th e bus is acquired. If a match between RTCNT and RTCOR occurs while a
refresh is waiting to be executed, so that a new refresh request is generated, the previous
refresh request is eliminated. In order for refreshing to be performed normally, care must be
taken to ensure that no bus cycle or bus mastership occurs that is longer than the refresh
interval. When a refresh request is gener a ted, the BACK pin is negated (driven high).
Therefore, normal refreshing can be performed by having the BACK pin monitored by a bus
Rev. 6.0, 07/02, page 412 of 986
master other than the SH7750 Series requesting the bus, or the bus arbiter, and returning the
bus to the SH7750 Series.
TRr2 TRr3 TRr4 TRr5 TrcTRr1 Trc Trc
CKIO
A25–A0
RD/
D63–D0
Figure 13.25 DRAM Self-Refresh Cycle Timing
Power-On Sequence: Regarding use of DRAM after powering on, it is requested that a wait time
(at least 100 µs or 200 µs) during which no access can be performed be provided, followed by at
least the prescribed number (usually 8) of dummy CAS-before-RAS refresh cycles. As the bus
state controller does not perform any special operations for a power-on reset, the necessary power-
on sequen c e must b e carried out by the initialization program executed after a power- on reset.
Rev. 6.0, 07/02, page 413 of 986
13.3.5 Synchronous DRAM Interface
Connection of Synchronous DRAM: Since synchronous DRAM can be selected by the CS
signal, it can be connected to physical space areas 2 and 3 using RAS and other control signals in
common. If the memory type bits (DRAMTP2–0) in BCR1 are set to 010, area 2 is normal
memory space and ar ea 3 is synchronous DRAM space; if set to 011, areas 2 and 3 are both
synchronous DRAM space.
With the SH7750 Series, burst read/burst write mode is supported as the synchronous DRAM
operating mode. The data bus width is 32 or 64 bits, and the SZ size bits in MCR must be set to 00
or 11. The burst enable bit (BE) in MCR is ignored, a 32-byte burst transfer is performed in a
cache fill/copy-back cycle, and in a write-through area write or a non-cacheable area read/write,
32-byte data is read even in a single read in order to access synchronous DRAM with a burst
read/write access. 32-byte data transfer is also performed in a single write, but DQMn is not
asserted when unnecessary data is transferred. For details on the burst length, see section 13.2.10,
Synchronous DRAM Module Register (SDMR), and Power-On Sequence in section 13.3.5,
Synchronous DRAM Interface. For changing the burst length (a function only available in the
SH7750R) for a 32-bit bus, see Notes on Changing the Burst Length (SH7750R Only) in section
13.3.5, Synchronous DRAM Interface.
The control signals for connection of synchronous DRAM are RAS, CAS, RD/WR, CS2 or CS3,
DQM0 to DQM7, and CKE. All the signals other than CS2 and CS3 are common to all areas, and
signals other than CKE are valid and latched only when CS2 or CS3 is asserted. Synchronous
DRAM can therefore be connected in parallel to a number of areas. CKE is negated (driven low)
when the frequency is changed, when the clock is unstable after the clock supply is stopped and
restarted, or when self-refreshing is performed, and is always asserted (high) at other times.
Commands for synchronous DRAM are specified by RAS, CAS, RD/WR, and specific address
signals. The commands are NOP, auto-refresh (REF), self-refresh (SELF), precharge all banks
(PALL), precharge specified bank (PRE), row address strobe bank active (ACTV), read (READ),
read with precharge (READA), write (WRIT), write with precharge (WRITA), and mode register
setting (MRS).
Byte specification is performed by DQM0 to DQM7. A read/write is performed for the byte for
which the corresponding DQM signal is low. Wh en the bus width is 64 bits, in big-endian mode
DQM7 specifies an access to address 8n, and DQM0 specifies an access to address 8n + 7. In
little-endian mod e, DQM7 sp ecifies an access to address 8 n + 7, and DQM0 specifies an access to
address 8n.
Figures 13.26 and 13.27 show examples of the connection of 16M × 16-bit synchronous DRAMs.
Rev. 6.0, 07/02, page 414 of 986
A12–A3
CKIO
CKE
RD/
D63–D48
DQM7
DQM6
SH7750 Series 512k × 16-bit × 2-bank
synchronous DRAM
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
D47–D32
DQM5
DQM4
D31–D16
DQM3
DQM2
D15–D0
DQM1
DQM0
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
Figure 13.26 Example of 64-Bit Data Width Synchronous DRAM Connection (Area 3)
Rev. 6.0, 07/02, page 415 of 986
A11–A2
CKIO
CKE
RD/
D31–D16
DQM3
DQM2
SH7750 Series 512k × 16-bit × 2-bank
synchronous DRAM
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
D15–D0
DQM1
DQM0
A9–A0
CLK
CKE
I/O15–I/O0
DQMU
DQML
Figure 13.27 Example of 32-Bit Data Width Synchronous DRAM Connection (Area 3)
Address Multiplexing: Synchronous DRAM can be connected without external multiplexing
circuitry in accordance with the address multiplex specification bits AMXEXT and AMX2–
AMX0 in MCR. Table 13 . 16 shows the relationship between the address mu ltiplex specification
bits and the bits output at the address pins. See Appendix F, Synchronous DRAM Address
Multiplexing Tables.
Address pin output at A25–A18, A1, and A0 are undefined.
When A0, the LSB of the synchronous DRAM address, is connected to the SH7750 Series, with a
32-bit bus width it makes a longword address specification. Connection should therefore be made
in this order: connect pin A0 of the synchronous DRAM to pin A2 of the SH7750, then connect
pin A1 to pin A3.
With a 64-bit bus width, the LSB makes a quadword address specification. Connection should
therefore be made in this order: connect pin A0 of th e synchronous DRAM to pin A3 of the
SH7750, then connect pin A1 to pin A4.
Rev. 6.0, 07/02, page 416 of 986
Table 13.16 Example of Correspondence between SH7750 Series and Synchronous DRAM
Address Pins (64-Bit Bus Width, AMX2–AMX0 = 011, AMXEXT = 0)
SH7750 Series Address Pin Synchronous DRAM Address Pin
RAS Cycle CAS Cycle Function
A14 A22 A22 A11 BANK select bank address
A13 A21 H/L A10 Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
A2 A2 Not used
A1 A1 Not used
A0 A0 Not used
Burst Read: The timing chart for a burst read is shown in figure 13.28. In the following example
it is assumed that four 512k × 16-bit × 2-bank synchronous DRAMs are connected, and a 64-bit
data width is used. The burst length is 4. Following the Tr cycle in which ACTV command output
is performed, a READA command is issued in the Tc1 cycle, and the read data is accepted on the
rising edge of the ex ternal command clock (CKIO) from cycle Td1 to cycle Td4. The Tpc cycle is
used to wait for completion of auto-precharge based on the READA command inside th e
synchronous DRAM; no new access command can be issued to the same bank during this cycle. In
the SH7750 Series, the number of Tpc cycles is determined by the specification of bits TPC2–
TPC0 in MCR, and commands are not issued for synchronous DRAM during this interval.
The example in figure 13 .28 shows the basic cycle. To connect slower synchronous DRAM, the
cycle can be extended by setting WCR2 and MCR bits. The number of cycles from the ACTV
command output cycle, Tr, to the READA command output cycle, Tc1, can be specified by bits
RCD1 and RCD0 in MCR, with a value of 0 to 3 specifying 2 to 4 cycles, respectively. In the case
of 2 or more cycles, a Trw cycle, in which an NOP command is issued for the synchronous
DRAM, is inserted between the Tr cycle and the Tc cycle. The number of cycles from READA
command output cycle Tc1 to the first read data latch cycle, Td1, can be specified as 1 to 5 cycles
independently for areas 2 and 3 by means of bits A2W2–A2W0 and A3W2–A3W0 in WCR2.
This number of cycles corresponds to the number of synchronous DRAM CAS latency cycles.
Rev. 6.0, 07/02, page 417 of 986
Tr Trw Tc1 Tc2 Tc3 Tc4/Td1 Td3
Td2 Td4
CKIO
Bank
Precharge-sel
Address
RD/
D63–D0
(read)
DQMn
DACKn
(SA: IO memory)
CKE
H/L
c0
d0 d1 d2 d3
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.28 Basic Timing for Synchronous DRAM Burst Read
In a synchronous DRAM cycle, the BS signal is asserted for one cycle at the start of the data
transfer cycle corresponding to the READ or READA command. The order of access is as
follows: in a fill op e ration in the event of a cache miss, 64-bit boundary data including the missed
data is read first, then 16-byte boundary data including the missed data is read in wraparound
mode. The remaining 16 bytes of the 32-byte boundary data are read by the READA command
issued next.
Rev. 6.0, 07/02, page 418 of 986
Single Read: With the SH7750 Series, as synchronous DRAM is set to burst read/burst write
mode, read data output continues after the required data has b een read . To preven t data collisions,
after the required data is read in Td1, empty read cycles Td2 to Td4 are performed, and the
SH7750 Series waits for the end of the synchronous DRAM operation. The BS signal is asserted
only in Td1.
When the data width is 64 bits, there are 4 burst transfers in a read. In cache-through and other
DMA read cycles, of cycles Td1 to Td4, BS is asserted and data latched only in the Td1 cycle.
Since such empty cycles increase the memory access time, and tend to reduce prog ram execution
speed and DMA transfer speed, it is important both to avoid unnecessary cache-through area
accesses, and to use a data stru cture that will allow data to be placed at a 32-byte boundary, and to
be transferred in 32-byte units, when carrying out DMA transfer with synchronous DRAM
specified as the source.
Tr Tc1 Tc2
c1
Tc3 Tc4/Td1 Td2 Td4Trw
H/L
c1
Td3 Tpc TpcTpc
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63D0
(read)
CKE
DACKn
(SA: IO memory)
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.29 Basic Timing for Synchronous DRAM Single Read
Rev. 6.0, 07/02, page 419 of 986
Burst Write: The timing chart for a burst write is show n in figure 13.30. In the SH7750 Series, a
burst write occurs only in the event of cache copy-back or a 32-byte tran sfer by the DMAC. In a
burst write operation, the WRITA co mmand is issued in th e Tc1 cycle following the Tr cycle in
which the ACTV com m and is output. In the write cycle, the write data is ou tput at the same time
as the write command. In the case of the write with auto-precharge command, precharging of the
relevant bank is performed in the synchronous DRAM after completion of the write command,
and therefore no comman d can b e issued for the same bank until p recharging is completed.
Consequently, in addition to the precharge wait cycle, Tpc, used in a read access, cycle Trwl is
also added as a wait interval until prech argin g is started following the write command. Issuance of
a new command for synchronous DRAM is postponed during this interval. The number of Trwl
cycles can be specified by bits TRWL2–TRWL0 in MCR. 32-byte boundary data is written in
wraparound mode. DACK is asserted two cycles before the data write cycle.
Tr Tc1 Tc2 Tc3 Tc4 Trw1 TpcTrw
H/L
c1
Trw1
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
DACKn
(SA: IO memory)
c1 c2 c3 c4
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.30 Basic Timing for Synchronous DRAM Burst Write
Rev. 6.0, 07/02, page 420 of 986
Single Write: The basic timing chart for write access is shown in figure 13.31. In a single write
operation, following the Tr cycle in which ACTV command output is performed, a WRITA
command that performs auto-precharge is issued in the Tc1 cycle. In the write cycle, the write data
is output at the same time as the write command. In the case of a write with auto-precharge,
precharging of the relevant bank is performed in the synchronous DRAM after completion of the
write command, and therefore no command can be issued for synchronous DRAM until
precharging is completed. Consequently, in addition to the precharge wait cycle, Tpc, used in a
read access, cycle Trwl is also add ed as a wait interval until precharging is started fo llo wing the
write command. Issuance of a new command for synchronous DRAM is postponed during this
interval. The number of Trwl cycles can be specified by bits TRWL2–TRWL0 in MCR. DACK is
asserted two cycles before the data write cycle.
As the SH7750 Series supports burst read/burst write operations for synchronous DRAM, there
are empty cycles in a single write operation.
Rev. 6.0, 07/02, page 421 of 986
Tr Tc1 Tc2 Tc3 Tc4 Trw1 TpcTrw
H/L
c1
Trw1
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
DACKn
(SA: IO memory)
c1
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13. 31 Basic Timing for Synchronous DRAM Single Write
Rev. 6.0, 07/02, page 422 of 986
RAS Down Mode: The synchronous DRAM bank function is used to support high-speed accesses
to the same row address. When the RASD bit in MCR is 1, read/write command accesses are
performed using commands without auto-precharge (READ, WRIT). In this case, precharging is
not performed when the access ends. When accessing the same row address in the same bank, it is
possible to issue the READ or WRIT command immediately, without issuing an ACTV command,
in the same way as in the DRAM RAS down state. As synchronous DRAM is internally divided
into two or four banks, it is possible to activate one row address in each bank. If the next access is
to a different row address, a PRE command is first issued to precharge the relevant bank, then
when precharging is completed, the access is performed by issuing an ACTV command followed
by a READ or WRIT command. If this is followed by an access to a different row address, the
access time will be longer because of the precharging performed after the access request is issued.
In a write, when auto-precharge is performed, a command cannot be issued for a period of Trwl +
Tpc cycles after issuance of the WRIT command. When RAS down mode is used, READ or
WRIT commands can be issued successively if the row address is the same. The number of cycles
can thus be reduced by Trwl + Tpc cycles for each write. The number of cycles between issuance
of the precharge command and the row address strobe command is determined by bits TPC2–
TPC0 in MCR.
There is a limit on tRAS, the time for placing each bank in the active state. If there is no gu arantee
that there will not b e a cache hit and anoth er row ad dress will b e accessed within the perio d in
which this va lue is maintained by pro gram execution, it is necessar y to set auto-refre sh and set the
refresh cycle to no more than the maximum value of tRAS. In this way, it is possible to observe the
restrictions on the maximum active state time for each bank. If auto-refresh is not used, measures
must be taken in the program to ensure that the banks do not remain active for longer th an the
prescribed time.
A burst read cycle without auto-precharge is shown in figure 13.32, a burst read cycle for the same
row address in figure 13.33, and a burst read cycle for different row addresses in figure 13.34.
Similarly, a burst write cycle without auto-precharge is shown in figure 13.35, a burst write cycle
for the same row address in figure 13.36, and a burst write cycle for different row addresses in
figure 13.37.
When synchronous DRAM is read, there is a 2-cycle latency fo r the DMQn signal that performs
the byte specification. As a result, when the READ command is issued in figure 13.32, if the Tc
cycle is executed immediately, the DMQn signal specification for Td1 cycle data output cannot be
carried out. Therefore, the CAS latency should not be set to 1.
When RAS down mode is set, if only accesses to the respective banks in area 3 are considered, as
long as accesses to the same row address continue, the operation starts with the cycle in figure
13.32 or 13.35, followed by repetition of the cycle in figure 13.33 or 13.36. An access to a
different area during this time has no effect. If there is an access to a different row address in the
bank active state, after this is detected the bus cycle in figure 13.34 or 13.37 is executed instead of
Rev. 6.0, 07/02, page 423 of 986
that in figure 13.33 or 13.36. In RAS down mode, too, a PALL command is issued before a refresh
cycle or before bus release due to bus arbitration.
c2 c3 c4
Tr Tc1 Tc2
c1
Tc3
Tc4/Td1
Td2 Td4Trw
H/L
c1
Td3
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
DACKn
(SA: IO memory)
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.32 Burst Read Timing
Rev. 6.0, 07/02, page 424 of 986
c2 c3 c4
Tc1 Tc3
Tc4/Td1
c1
Td2 Td3 Td4Tc2
H/L
c1
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
DACKn
(SA: IO memory)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.33 Burst Read Timing (RAS Down, Same Row Address)
Rev. 6.0, 07/02, page 425 of 986
Tpr Tr Trw
c1 c2 c3 c4
Tc1 Tc2 Tc3 Td2Tpc
H/L
c1
Tc4/Td1
Td3 Td4
CKIO
Bank
Precharge-sel Row
Row
Row
Address
DQMn
RD/
D63D0
(read)
CKE
DACKn
(SA: IO memory)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.34 Burst Read Timing (RAS Down, Different Row Addresses)
Rev. 6.0, 07/02, page 426 of 986
Tr Tc1 Tc2 Tc3 Tc4 Trw1Trw
H/L
c1
Trw1
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
c1 c2 c3 c4
Row
Row
Row
DACKn
(SA: IO memory)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.35 Burst Write Timing
Rev. 6.0, 07/02, page 427 of 986
Tnop Tc2 Tc3 Tc4 Trw1 Trw1Tc1
H/L
c1
Tncp
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
c1 c2 c3 c4
Row
DACKn
(SA: IO memory)
Single-address DMA
Normal write
Note: In the case of SA-DMA only, the (Tnop) cycle is inserted, and the DACKn signal is output as
shown by the solid line. In a normal write, the (Tnop) cycle is omitted and the DACKn signal
is output as shown by the dotted line. DACKn shows an example where DMAC, CHCRn,
and AL (acknowledge level) are 0.
Figure 13.36 Burst Write Timing (Same Row Address)
Rev. 6.0, 07/02, page 428 of 986
Tpr Tr Trw Tc1 Tc2 Tc3Tpc
H/L
c1
Tc4 Trw1 Trw1 Trw1
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63D0
(read)
CKE
DACKn
(SA: IO memory)
c1 c2 c3 c4
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.37 Burst Write Timing (Different Row Addresses)
Pipelined Access: When the RASD bit is set to 1 in MCR, pipelined access is performed between
an access by the CPU and an access by the DMAC, or in the case of consecutive accesses by the
DMAC, to provide faster access to synchronous DRAM. As synchronous DRAM is internally
divided into two or four banks, after a READ or WRIT command is issued for one bank it is
possible to issue a PRE, ACTV, or other command during the CAS latency cycle or data latch
cycle, or during the data write cycle, and so shorten the access cycle.
When a read access is followed by another read access to the same row address, after a READ
command has been issued, another READ command is issued before the end of the data latch
cycle, so that there is read data on the data bus continuously. When an access is made to another
Rev. 6.0, 07/02, page 429 of 986
row address and the bank is different, the PRE command or ACTV command can be issu ed during
the CAS latency cycle or data latch cycle. If there are consecutive access requests for different row
addresses in th e same bank, the PRE comm a nd can not be issued until the last-b ut-one data latch
cycle. If a read access is followed by a write access, it may be possible to issue a PRE or ACT
command, depending on the bank and row address, but since the write data is output at the same
time as the WRIT command, the PRE, ACTV, and WRIT command s are issued in such a way that
one or two empty cycles occur automatically on the data bus. Similarly, with a read access
following a write access, or a write access following a write access, the PRE, ACTV, READ, or
WRIT command is issued during the data write cycle for the preceding access; however, in the
case of different row addresses in the same bank, a PRE command cannot be issued, and so in this
case the PRE command is issued following the number of Trwl cycles specified by the TRWL bits
in MCR, after the end of the last data write cycle.
Figure 13.38 shows a burst read cycle for a different bank and row address following a preceding
burst read cycle.
Pipelined access is enabled only for consecutiv e access to area 3, and will be discontinued in the
event of an access to another area. Pipelined access is also discontinued in the event of a refresh
cycle, or bus release due to bus arbitration. The cases in which pipelined access is available are
shown in table 13.17. In this table, “DMAC dual” indicates transfer in DMAC dual address mode,
and “DMAC single”, transfer in DMAC single address mode.
Rev. 6.0, 07/02, page 430 of 986
Tc1_A Tc1_B
H/L
c_B
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D63–D0
(read)
CKE
a1 a2 a3 a4 b1 b2
c_A
H/L
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.38 Burst Read Cycle for Different Bank and Row Address Following Preceding
Burst Read Cycle
Rev. 6.0, 07/02, page 431 of 986
Table 13.17 Cycles for which Pipeline Acce ss is Possible
Succeeding Access
CPU DMAC Dua l DMAC Single
Preceding Access Read Write Read Write Read Write
CPU Read X X O X O O
Write X X O X O O
DMAC dual Read X X X X X X
Write O O O X O O
DMAC single Read O O X X O O
Write O O O X O O
O: Pipeline access pos sib le
X: Pipeline access not possible
Refreshing: The bus state controller is p rov ided with a function for controllin g synchronous
DRAM refreshin g. Auto-refreshing can be performed by clearing the RMODE bit to 0 and setting
the RFSH bit to 1 in MCR. If synchronous DRAM is not accessed for a long period, self-refresh
mode, in which th e power consumption for data retention is low, can be activated by setting both
the RMODE bit and the RFSH bit to 1.
Auto-Refreshing
Refreshing is performed at intervals determined by the input clock selected by bits CKS2–
CKS0 in RTCSR, and the value set in RTCOR. The value of b its CKS2–CKS0 in RTCO R
should be set so as to satisfy the refresh interval specification for the synchronous DRAM
used. First make the settings for RTCOR, RTCNT, and the RMODE and RFSH bits in MCR,
then make the CKS2–CKS0 setting last of all. When the clock is selected by CKS2–CKS0,
RTCNT starts coun tin g up from the value at that time. The RTCNT value is co nstantly
compared with the RTCOR value, and if the two values are the same, a refresh request is
generated and an auto-r efresh is performed. At the same time, RTCNT is cleared to zero and
the count-up is restarted. Figure 13.40 shows the auto-refresh cycle timing.
First, an REF command is issued in the TRr cycle. After the TRr cycle, new command output
cannot be performed for the duration of the number of cycles specified by bits TRAS2–TRAS0
in MCR plus the number of cycles specified by bits TRC2–TRC0 in MCR. The TRAS2–
TRAS0 and TRC2–TRC0 bits must be set so as to satisfy the synchronous DRAM refresh
cycle time specification (active/active command delay time).
Auto-refreshing is performed in normal operation, in sleep mode, and in the case of a manual
reset.
When both areas 2 and 3 are set to the synchronous DRAM, auto-refreshing of area 2 is
performed subsequent to area 3.
Rev. 6.0, 07/02, page 432 of 986
RTCNT value
RTCOR-1
H'00000000
RTCSR.CKS2–0
External bus
Refresh request cleared
by start of refresh cycle
= 000 000
RTCNT cleared to 0 when
RTCNT = RTCOR
Auto-refresh cycle
Time
Refresh
request
Figure 13.39 Auto-Refresh Operation
TRr2 TRr3 TRr4 TRr5 TrcTRr1 TrcTRrw Trc
CKIO
RD/
DQMn
CKE
D63–D0
Figure 13.40 Synchro no us DRAM Auto-Refresh Timing
Rev. 6.0, 07/02, page 433 of 986
Self-Refreshing
Self-refresh mode is a kind of standby mode in wh ich the refresh timing and refresh addresses
are generated within the synchronous DRAM. Self-refreshing is activated by setting both the
RMODE bit and the RFSH bit to 1. The self-refresh state is maintained while the CKE signal
is low. Synchronous DRAM cannot be accessed while in the self-refresh state. Self-refresh
mode is cleared by clearing the RMODE bit to 0. After self-refresh mode has been cleared,
command issuance is disabled for the number of cycles specified by bits TRC2–TRC0 in
MCR. Self-refresh timing is shown in figure 13.41. Settings must be made so that self-refresh
clearing and data retention are performed correctly, and auto-refreshing is performed at the
correct intervals. When self-refreshing is activated from the state in which auto-refreshing is
set, or when ex iting stan dby mode other than through a power -on reset, auto-refreshing is
restarted if RFSH is set to 1 and RMODE is cleared to 0 when self-refresh mode is cleared. If
the transition from clearing of self - refresh mode to the start of auto-refreshing takes time, this
time should be taken into consideration when setting the initial value of RTCNT. Making the
RTCNT value 1 less th an the RTCOR value will enable refr eshing to be started immediately.
After self-refreshing has been set, the self-refresh state continues even if the chip standby state
is entered using the SH7750 Series’ standby function, and is maintained even after recovery
from standby mode other than through a power-on reset.
In the case of a power-o n reset, th e bus state controller’s re gisters are initialized, and therefore
the self-refresh state is cleared.
Self-refreshing is performed in normal operation, in sleep mode, in standby mode, and in the
case of a manual reset.
Rev. 6.0, 07/02, page 434 of 986
TRs2 TRs3 TRs4 TRs5 TrcTRs1 Trc Trc
CKIO
RD/
DQMn
CKE
D63–D0
Figure 13.41 Synchro no us DRAM Self-Refresh Timing
Relationship between Refresh Requests and Bu s Cycle Requests
If a refresh request is generated during execution of a bus cycle, execution of the refresh is
deferred un til the bus cycle is completed. Refresh operations are deferred during multip le bus
cycles generated because the data bus width is smaller than the access size (for example, when
performing longword access to 8-bit bus width memory) and during a 32-byte transfer such as
a cache fill or write-back, and also between read and write cycles during executio n of a TAS
instruction, and between read and write cycles when DMAC dual address transfer is executed.
If a refresh request occurs when the bus has been released by the bus arbiter, refresh execution
is deferred until th e bus is acquired. If a match between RTCNT and RTCOR occurs while a
refresh is waiting to be executed, so that a new refresh request is generated, the previous
refresh request is eliminated. In order for refreshing to be performed normally, care must be
taken to ensure that no bus cycle or bus mastership occurs that is longer than the refresh
interval. When a refresh request is generated, the BACK pin is negated (driven high).
Therefore, normal refreshing can be performed by having the BACK pin monitored by a bus
master other than the SH7750 Series requesting the bus, or the bus arbiter, and returning the
bus to the SH7750 Series.
Rev. 6.0, 07/02, page 435 of 986
Power-On Sequence: In order to use synchronous DRAM, mode setting must first be performed
after powering on. To perform synchronous DRAM initialization correctly, the bus state controller
registers must first be set, followed by a write to the synchronous DRAM mode register. In
synchronous DRAM mode register setting, the address signal value at that time is latched by a
combination of the RAS, CAS, and RD/WR signals. If the value to be set is X, the bus state
controller provides for value X to be written to the synchronous DRAM mode register by
performing a write to address H'FF900000 + X for area 2 synchronous DRAM, and to address
H'FF940000 + X for area 3 synchronous DRAM. In this operation the data is ignored, but the
mode write is performed as a byte-size access. To set burst read/write, CAS latency 1 to 3, wrap
type = sequential, and burst length 4* or 8, supported by the SH7750, arbitrary data is written by
byte-size access to the following addresses.
Bus Width Burst Length CAS Latency Area 2 Area 3
32 4*1
2
3
H'FF900048
H'FF900088
H'FF9000C8
H'FF940048
H'FF940088
H'FF9400C8
32 8 1 H'FF90004C H'FF94004C
2 H'FF90008C H'FF94008C
3 H'FF9000CC H'FF9400CC
64 4 1 H'FF900090 H'FF940090
2 H'FF900110 H'FF940110
3 H'FF900190 H'FF940190
Note: * SH7750R only.
The value set in MCR.MRSET is used to select whether a precharge all banks command or a
mode register setting command is issued. The timing for the precharge all banks command is
shown in figure 13.42 (1), and the timing for the mode register setting command in figure
13.42 (2).
Before mode register, a 200 µs idle time (depending on the memory manufacturer) must be
guaranteed after the power required for the synchronous DRAM is turned on. If the reset signal
pulse width is greater than this idle time, there is no problem in making the precharge all banks
setting immediately.
First, a precharge all banks (PALL) command is issued in the TRp1 cycle by performing a write to
address H'FF900000 + X or H'FF940000 + X while MCR.MRSET = 0. Next, the number of
dummy auto-refresh cycles specified by the manufacturer (usually 8) or more must be executed.
This is achieved automatically while v ariou s k inds o f initialization are being perform ed after auto-
refresh setting, but a way of carrying this out more dependably is to change the RTCOR register
value to set a short refresh request g eneration interval just while th ese dummy cycles are be ing
executed. With simple read or write access, the address counter in the synchronous DRAM used
for auto-refreshing is not initialized, and so the cycle must always be an auto- r efresh cy cle. After
Rev. 6.0, 07/02, page 436 of 986
auto-ref r e sh ing has been executed at least the pr escr ibed number of times, a mode re gister setting
command is issued in the TMw1 cycle by setting MCR.MRSET to 1 and perfor m ing a wr ite to
address H'FF900000 + X or H'FF940000 + X.
Synchronous DRAM mode register setting should be executed once only after power-on (reset)
and before synchronous DRAM access, and no subsequent changes should be made.
CKIO
Bank
Precharge-sel
Address
RD/
D31–D0
CKE
TRp1 TRp2 TRp3 TRp4 TMw1 TMw2 TMw3 TMw4
(High)
TMw5
Figure 13.42 (1) Synchronous DRAM Mode Write Timing (PALL)
Rev. 6.0, 07/02, page 437 of 986
CKIO
Bank
Precharge-sel
Address
RD/
D31–D0
CKE
TRp1 TRp2 TRp3 TRp4 TMw1 TMw2 TMw3 TMw4
(High)
TMw5
Figure 13.42 (2) Synchronous DRAM Mode Write Timing (Mode Reg ister Set)
Rev. 6.0, 07/02, page 438 of 986
Notes on Changing the Burst Length (SH7750R Only): In the SH7750R, when synchronous
DRAM is connected with a 32-bit memory bus, the burst length can be selected as either 4 or 8 by
the setting of the SDBL bit of the BCR3 register. For more details, see the description of the
BCR3 register.
Burst Read
Figure 13 .43 is the timing chart of a burst-read oper a tion with a burst length of 4. Following
the Tr cycle, during which an ACTV command is output, a READ command is issued during
cycle Tc1, and a READA command is issued fou r cycles later. Durin g the Td1 to Td8 cycles,
read data are accepted on the rising edges of the external command clock (CKIO). Tpc is the
cycle used to wait for the auto-precharging, which is triggered by the READA command, to be
completed in the synchronous DRAM. During this cycle, a new command for accessing the
same bank cannot be issued. In this LSI, the number of Tpc cycles is determined by the setting
of the TPC2 to TPC0 bits of MCR, and no command that operates on the synchronous DRAM
may be issued during these cycles.
Tr Trw Tc1 Tc2 Tc3 Tc4/Td1 Td3
Td2 Td4
CKIO
Bank
Precharge-sel
Address
RD/
D31D0 (read)
DQMn
CKE
H/L
c5
Td5 Td6 Td8
Td7 Tpc
H/L
c1
c1 c2 c3 c4 c7 c8c5 c6
DACKn
(SA: IO memory)
Row
Row
Row
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.43 Basic Timing of Synchronous DRAM Burst Read (Burst Length = 4)
Rev. 6.0, 07/02, page 439 of 986
In a synchronous DRAM cycle, the BS signal is asserted for one cycle at the beginning of each
data transfer cycle that is in response to a READ or READA command. Data are accessed in
the following sequen ce: in the fill operation for a cache miss, the data between 64-bit
boundaries that include the missing data are first read by the initial READ command; after
that, the data between 16-bit boundaries data that include the missing data are read in a
wraparound way. The subsequently issued READA command reads the 16 bytes of data,
which is the remainder of th e data between 32-byte boundaries, from the start of the 16-byte
boundary.
Burst Write
Figure 13.44 is the timing chart fo r a burst-write operation with a burst length of 4. In this LSI,
a burst write takes place when a 32-byte data transfer has occurred. In a burst-write operation,
subsequent to the Tr cycle, in which ACTV command output takes place, a WRIT command is
issued during the Tc1 cycle, and a WRITA command is issued four cycles later. During the
write cycle, write data is ou tput together with the write command. With a wr ite command that
includes an auto precharge, the precharge is performed on the relevant bank of the
synchronous DRAM on completion of the write comm and so no new command that accesses
the same bank can be issued until precharging is completed. For this reason, Trwl cycles,
which are a period of waiting for precharging to start after the write command, are added. This
is additional to the precharge-waiting cycle used in read access. These cycles delay the issuing
of new commands to the synchronous DRAM. The setting of the TRWL2 to TRWL0 bits of
MCR selects the number of Trwl cycles. The data between 16-byte boundaries is first
accessed, and the d ata between 3 2-by te boundaries are th en written in a wraparou nd way.
DACK is asserted for two cycles before the data-write cycle.
Rev. 6.0, 07/02, page 440 of 986
Tr Tc1 Tc2 Tc3 Tc4 Tc5 Tc7Trw
c1
Tc6
DACKn
(SA: IO memory)
c1 c2 c3 c4 c5 c6 c7 c8
Row
Row
Tc8 Trw1 TpcTrw1
H/L H/L
c5
Row
CKIO
Bank
Precharge-sel
Address
DQMn
RD/
D31–D0 (read)
CKE
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.44 Basic Timing of a Burst Write to Synchronous DRAM
Connecting a 128-Mbit/256-Mbit Synchronous DRAM with 64-bit Bus Width (SH7750R
Only): It is possible to connect 128-Mbit or 256-Mbit synchronous DRAMs with 64-bit bus width
to the SH7750R. RAS down mode is also available using a 128 Mbytes of external memory space
in area 2 or 3. Either eight 128-Mbit (4 M × 8 bit × 4 bank) DRAMs or four 256-Mbit (4 M × 8 bit
× 4 bank) DRAMs can be connected. Figure 13.45 shows an example in which four 256-Mbit
DRAMs are connected.
Notes on Usage:
BCR1.DRAMTP2DRAMTP0 = 011: Sets areas 2 and 3 as synchronous-DRAM-interface
spaces.
MCR.SZ = 00: Sets the bus width of the synchronous DRAM to 64 bits.
MCR.AMX = 6: Selects the 128-Mbit or 256-Mbit address-multiplex setting for the
synchronous DRAM.
In the auto-refresh operation, the REF command is issued twice in response to a single refresh
request. Set RTCOR and bits CKS2CKS0 so as to satisfy the refresh-interval rating of the
synchronous DRAM which you are using.
When setting the mode register of th e synchronous DRAM, set the address for area 2 first.
Rev. 6.0, 07/02, page 441 of 986
Control sig nals require d in this connection are RAS, CAS, RD/WR, CS3, DQM0DQM7, and
CKE. CS2 is not used.
Do not use partial-sharing mode. If you use this, correct operation is not guaranteed.
CKIO
CKE
CS3
RAS
CASS
RD/WR
A17
A16
A15–A3
D63–D48
DQM7
DQM6
D47–D32
DQM5
DQM4
D31–D16
DQM3
DQM2
D15–D0
DQM1
DQM0
SH7750R
CLK
CKE
CS
RAS
CAS
WE
BANK1
BANK0
A12–A0
I/O15–I/O0
DQMU
DQML
CLK
CKE
CS
RAS
CAS
WE
BANK1
BANK0
A12–A0
I/O15–I/O0
DQMU
DQML
CLK
CKE
CS
RAS
CAS
WE
BANK1
BANK0
A12–A0
I/O15–I/O0
DQMU
DQML
CLK
CKE
CS
RAS
CAS
WE
BANK1
BANK0
A12–A0
I/O15–I/O0
DQMU
DQML
Figure 13.45 Example of the Connection of Synchronous DRAM with 64-bit Bus Width
(256 Mbits)
13.3.6 Burst ROM Interface
Setting bits A0BST2–A0BST0, A5BST2–A5BST0, and A6BST2–A6BST0 in BCR1 to a non-
zero value allows burst ROM to be connected to areas 0, 5, and 6. The burst ROM interface
provides high-speed access to ROM that has a burst access function. The timing for burst access to
burst ROM is shown in figure 13.46. Two wait cycles are set. Basically, access is performed in the
same way as for SRAM interface, but when the first cycle ends, only the address is changed before
the next access is executed. When 8-bit ROM is connected, the number of consecutive accesses
can be set as 4, 8, 16, or 32 with bits A0BST2–A0BST0, A5BST2–A5BST0, or A6BST2–
A6BST0. When 16-bit ROM is connected, 4, 8, or 16 can be set in the same way. When 32-bit
ROM is connected, 4 or 8 can be set.
RDY pin sampling is always performed when one or more wait states are set.
The second and subsequent access cycles also comprise two cycles when a burst ROM setting is
made and the wait specification is 0. The timing in this case is shown in figure 13.47.
A write operation for the burst ROM interface is performed as if the SRAM interface is selected.
Rev. 6.0, 07/02, page 442 of 986
In 32-byte transfer, a total of 32 bytes are transferred consecutively according to the set bus width.
The first access is performed on the data for which there was an access request, and the remaining
accesses are performed on the data at the 32-byte boundary. The bus is not released during this
period.
Figure 13.48 shows the timing when a burst ROM setting is made, and setup/hold is specified in
WCR3.
T1 TB1 TB2 TB1 TB2 TB1TB2 T2
CKIO
A25A5
A4A0
RD/
D63D0
(read)
DACKn
(SA: IO memory)
Notes: 1. For a write cycle, a basic bus cycle (write cycle) is performed.
2. For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.46 Burst ROM Basic Access Timing
Rev. 6.0, 07/02, page 443 of 986
T1 Tw TB2 TB1 Tw TB2 TwTw TB1 TB2 Tw T2TB1
CKIO
A25–A5
A4–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
Notes: 1. For a write cycle, a basic bus cycle (write cycle) is performed.
2. For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.47 Burst ROM Wait Access Timing
Rev. 6.0, 07/02, page 444 of 986
CKIO
A25A5
A4A0
RD/
D63D0
(read)
DACKn
(SA: IO memory)
TS1 TB2 TH1 TS1 TB1 TB2 TS1T1 TH1 TB1 TH1 TS1 TB1 T2 TH1TB2
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.48 Burst ROM Wait Access Timing
13.3.7 PCMCIA Interface
In the SH7750 Series, setting the A56PCM bit in BCR1 to 1 makes the bus interface fo r external
memory space areas 5 and 6 an IC memory card interface or I/O card interface as stipulated in
JEIDA specification version 4.2 (PCMCIA2.1).
Figure 13.49 shows an example of PCMCIA card connection to the SH7750 Series. To enable
active insertion of the PCMCIA cards (i.e. insertion or removal while system power is being
supplied), a 3-state buffer must be connected between the SH7750 Series’ bus interface and the
PCMCIA cards.
As operation in big-endian mode is not explicitly stipulated in the JEIDA/PCMCIA specifications,
the SH7750 Series supports only a little-endian mode PCMCIA interface.
In the SH7750, the PCMCIA interface area can only be accessed when the MMU is used. The
PCMCIA interface memory space can be set in page units and there is a choice of 8-bit common
memory, 16-bit common memory, 8-bit attribute memory, 16-bit attribute memory, 8-bit I/O
space, 16-bit I/O space, or dynamic bus sizing, according to the accessed SA2 to SA0 bits.
The setting for wait cycles during a bus access can also be made in MMU page units. When the
TC bit to be accessed is cleared to 0, bits A5W2 to A5W0 in wait control register 2 (WCR2), and
bits A5PCW1 and A5PCW0, A5TED2 to A5TED0, and A5TEH2 to A5TEH0 in the PCMCIA
Rev. 6.0, 07/02, page 445 of 986
control register (PCR), are selected. When the TC bit to be accessed is set to 1, bits A6W2 to
A6W0 in wait control register 2 (WCR2), and bits A6PCW1 and A6PCW0, A6TED2 to A6TED0,
and A6TEH2 to A6TEH0 in the PCMCIA control register (PCR), are selected. For the method of
setting bits SA2 to SA0 and bit TC for the page to be accessed, see section 3, Memory
Management Unit (MMU).
In the SH7750S and SH7750R, the PCMCIA interface can be accessed even when the MMU is
not used. When the MMU is off (MMUCR.AT=0), access is always performed by means of bits
SA2 to SA0 and bit TC in the page table entry assistance register (PTEA). When the MMU is on
(MMUCR.AT=1), the situation is the same as for the SH7750.
In the SH7750 Series, access to a PCMCIA interface area by the DMAC is always performed
using the DMAC’s CHCRn.SSAn, CHCRn.DSAn, CHCRn.STC, and CHCRn.DTC values.
SA2 SA1 SA0 Description
000Reserved (Setting prohibited)
1 Dynamic I/O bus sizing
108-bit I/O space
1 16-bit I/O space
1008-bit common memory
1 16-bit common memory
1 0 8-bit attri bute memor y
1 16-bit attribute memory
AnPCW1–AnPCW0 specify the number of wait states to be inserted in a low-speed bus cycle; a
value of 0, 15, 30, or 50 can be set, and this value is added to the number of wait states for
insertion specified by WCR2. AnTED2–AnTED0 can be set to a value from 0 to 15, enabling the
address, CS, CE2A, CE2B, and REG setup tim es with respect to the RD and WE1 signals to be
secured. AnTEH2–AnTEH0 can also be set to a value from 0 to 15, enabling the address, CS,
CE2A, CE2B, and REG wr ite data hold times with r e spect to the RD and WE1 signals to be
secured.
Wait cycles between cycles are set with bits A5IW2–A5IW0 and A6IW2–A6IW0 in wait control
register 1 (WCR1). The inter-cycle write cycles selected depend only on the area accessed (area 5
or 6): when area 5 is accessed, bits A5IW2–A5IW0 are selected, and when area 6 is accessed, bits
A6IW2–A6IW0 are selected.
In 32-byte transfer, a total of 32 bytes are transferred consecutively according to the set bus width.
The first access is performed on the data for which there was an access request, and the remaining
accesses are performed on the data at the 32-byte boundary. The bus is not released during this
period.
Rev. 6.0, 07/02, page 446 of 986
Table 13.18 Relationship between Address and CE when Using PCMCIA Interface
Bus
Width
(Bits) Read/
Write
Access
Size
(Bits)*1Odd/
Even IOIS16 Access CE2 CE1 A0 D15D8 D7D0
8 Read 8 Even Don’t
care 100Invalid Read dat a
Odd Don’t
care 101Invalid Read dat a
16 Even Don’t
care First100Invalid Lower read data
Even Don’t
care Second101Invalid Upper read dat a
Odd Don’t
care ————
Write 8 Even Don’t
care 100Invalid Write data
Odd Don’t
care 101Invalid Write data
16 Even Don’t
care First100Invalid Lower write data
Even Don’t
care Second101Invalid Upper write data
Odd Don’t
care ————
16 Read 8 Even Don’t
care 100Invalid Read dat a
Odd Don’t
care 011Read data Invalid
16 Even Don’t
care 000Upper read data Lower read data
Odd Don’t
care ————
Write 8 Even Don’t
care 100Invalid Write data
Odd Don’t
care 011Write data Invalid
16 Even Don’t
care 000Upper write data Lower write dat a
Odd Don’t
care ————
Rev. 6.0, 07/02, page 447 of 986
Table 13.18 Relationship between Address and CE when Using PCMCIA Interface (cont)
Bus
Width
(Bits) Read/
Write
Access
Size
(Bits)*1Odd/
Even IOIS16 Access CE2 CE1 A0 D15D8 D7D0
Read 8 Even 0 100Invalid Read data
Odd 0 011Read data Invalid
Dynamic
bus
sizing*2
16 Even 0 000Upper read data Lower read data
Odd 0 ————
Write 8 Even 0 100Invalid Write data
Odd 0 011Write data Invalid
16 Even 0 000Upper write data Lower write data
Odd 0 ————
Read 8 Even 1 100Invalid Read data
Odd1 First011Ignored Invalid
Odd1 Second101Invalid Read data
16 Even1 First000Invalid Lower read data
Even1 Second101Invalid Upper read dat a
Odd 1 ————
Write 8 Even 1 100Invalid Write data
Odd1 First011Invalid Write data
Odd1 Second101Invalid Write data
16 Even1 First000Upper write data Lower write dat a
Even1 Second101Invalid Upper write data
Odd 1 ————
Notes: *1 In 32-bit/64-bit/32-byte transfer, the above accesses are repeated, with address
incrementing performed automatically according to the bus width, until the transfer data
size is reached.
*2 PCMCIA I/O card interface only
Rev. 6.0, 07/02, page 448 of 986
A25A0
D15–D0
CD1, CD2
/
( )
()
()
A25A0
D15–D0
CD1, CD2
/
A25–A0
SH7750 Series
D15–D0
RD/
/( )
/( ) DIR
D7–D0
D15
D8
DIR
DIR
DIR
D7–D0
D15
D8
Output
Port
PC card
(memory I/O)
PC card
(memory I/O)
Card
detection
circuit
Card
detection
circuit
Figure 13.49 Example of PCMCIA Interface
Rev. 6.0, 07/02, page 449 of 986
Memory Card Interface Basic Timing: Figure 13.50 shows the basic timing for the PCMCIA IC
memory card interface, and figure 13.51 shows the PCMCIA memory card interface wait timing.
CKIO
Tpcm1 Tpcm2
A25–A0
RD/
D15–D0
(read)
D15–D0
(write)
(read)
(write)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.50 Basic Timing for PCMCIA Memory Card Interface
Rev. 6.0, 07/02, page 450 of 986
CKIO
Tpcm0
A25–A0
RD/
(read)
D15D0
(read)
D15D0
(write)
(write)
DACKn
(DA)
Notes: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
* SH7750S, SH7750R only
Tpcm0w Tpcm1 Tpcm1w Tpcm1w Tpcm2 Tpcm2w
*
Figure 13.51 Wait Timing for PCMCIA Memory Card Interface
Rev. 6.0, 07/02, page 451 of 986
Common
memory 1
Common memory
(64 MB)
Attribute memory
(64 MB)
I/O space
(64 MB)
Attribute memory
I/O space 1
I/O space 2
Virtual
address space
Card 1
on CS5
Card 2
on CS6
Access
by CS5 wait
controller
Virtual
address space Physical I/O
addresses
IO 1
IO 1
Different virtual pages
mapped to the same
physical page
Example of I/O spaces with different cycle times
(less than 1 kB)
The page size can be 1 kB, 4 kB, 64 kB, or 1 MB.
Example of PCMCIA interface mapping
IO 2
IO 2
1 kB
page
1 kB
page
Common
memory 2
Access
by CS6 wait
controller
.
.
.
.
.
.
Figure 13.52 PCMCIA Space Allocation
I/O Card Interface Timing: Figures 13.53 and 13.54 show the timing for the PCMCIA I/O card
interface.
When an I/O card interface access is made to a PCMCIA card in little-endian mode, dynamic
sizing of the I/O bus width is possible using the IOIS16 pin. When a 16-bit bus width is set, if the
IOIS16 signal is high during a word-size I/O bus cycle, the I/O port is recognized as being 8 bits
in width. In this case, a data access for only 8 bits is performed in the I/O bus cycle being
executed, followed automatically by a data access for the remaining 8 bits. Dynamic bus sizing is
also performed in the case of byte-size access to address 2n + 1.
Figure 13.55 shows the basic timing for dynamic bus sizing.
Rev. 6.0, 07/02, page 452 of 986
CKIO
Tpci1 Tpci2
A25A0
RD/
(read)
D15D0
(read)
(write)
D15D0
(write)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.53 Basic Timing for PCMCIA I/O Card Interface
Rev. 6.0, 07/02, page 453 of 986
CKIO
A25–A0
RD/
(read)
(write)
DACKn
(DA)
D15–D0
(read)
D15–D0
(write)
Tpci0 Tpci0w Tpci1 Tpci1wTpci1w Tpci2 Tpci2w
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.54 Wait Timing for PCMCIA I/O Card Interface
Rev. 6.0, 07/02, page 454 of 986
TpciTpci0 Tpci1w Tpci2 Tpci2w Tpci0 Tpci Tpci2
Tpci1w Tpci2w
CKIO
A25A1
A0
RD/
( )
(read)
( )
(write)
D15D0
(write)
D15D0
(read)
( )
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.55 Dynamic Bus Sizing Timing for PCMCIA I/O Card Interface
Rev. 6.0, 07/02, page 455 of 986
13.3.8 MPX Interface
If the MD6 pin is set to 0 in a power-on reset by the RESET pin, the MPX interface for normal
memory is selected for area 0. The MPX interface is selected for areas 1 to 6 by means of the
MPX bit in BCR1 and the MEMMODE, A4MPX, and AIMPX bits in BCR3. The MPX interface
offers a multiplexed address/data type bus p r otocol, and permits easy connectio n to an external
memory con tr o ller chip that uses a single 32-b it multip lexed address/data b us. A bus cycle
consists of an address phase and a data phase. In the address phase, the address information is
output to D25 D0, and the access size to D63D61 and D31–D29*.
The BS signal which indicates the address phase is asserted for one cycle. The CSn signal is
asserted at the rise of Tm1, an d negated after the last data transfer in the data phase. Therefore, a
negate period does not exist for access with the minimum pitch. The FRAME signal is asserted at
the rise of Tm1, and negated when the cycle of the last data transfer starts in the data phase.
Therefore, in an external device supporting the MPX interface, the address information and access
size output in the address phase must be saved in the external device memory, and data
corresponding to the data phase must be input or output.
For details of access sizes and data alignment, see section 13.3.1, Endian/Access Size and Data
Alignment.
The address pins output at A25–A0 are undefined.
32-byte transfer performed consecutively for a total of 32 bytes according to the set bu s width.
The first access is performed on the data for which there was an access request, and the remaining
accesses are performed on the data at the 32-byte boundary. When the access size is larger than the
data bus width, as in this case, bu rst access is generated, with the address output once, followed by
multiple data cy cles. The bus is not released during this perio d.
Note: * SH7750R only.
D63 D62 D61 Access Size
000Byte
1Word
1 0 Longword
1 Quadword
1 X X 32-byte burst
X: Dont care
Rev. 6.0, 07/02, page 456 of 986
CKIO
RD/
D63–D0
SH7750 Series MPX device
CLK
I/O63–I/O0
Figure 13.56 Example of 64-Bit Data Width MPX Connection
The MPX interface timing is shown below.
When the MPX interface is used for areas 1 to 6, a bus size of 32 or 64 bits should be specified in
BCR2.
For wait contr ol, waits specified by WCR2 and wait insertion by means of th e RDY pin can be
used.
In a read, one wait cycle is automatically inserted after address output, even if WCR2 is cleared to
0.
Rev. 6.0, 07/02, page 457 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1w Tmd1
DACKn
(DA)
D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.57 MPX Interface Timing 1
(Single Read Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits)
Rev. 6.0, 07/02, page 458 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1w Tmd1w Tmd1
DACKn
(DA)
D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.58 MPX Interface Timing 2
(Single Read, AnW = 0, One External Wait Inserted, Bus Width: 64 Bits)
Rev. 6.0, 07/02, page 459 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1
DACKn
(DA)
D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.59 MPX Interface Timing 3
(Single Write Cycle, AnW = 0, No Wait, Bus Width: 64 Bits)
Rev. 6.0, 07/02, page 460 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1w Tmd1w Tmd1
DACKn
(DA)
D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.60 MPX Interface Timing 4
(Single Write, AnW = 1, One External Wait Inserted, Bus Width: 64 Bits)
Rev. 6.0, 07/02, page 461 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1w Tmd1 Tmd2 Tmd3 Tmd4
DACKn
(DA)
D1 D2 D3D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.61 MPX Interface Timing 5
(Burst Read Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 462 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd4w Tmd4
DACKn
(DA)
D3D1 D2D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.62 MPX Interface Timing 6
(Burst Read Cycle, AnW = 0, External Wait Control, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 463 of 986
Tm1
CKIO
A
/
RD/
D63–D0
Tmd1 Tmd2 Tmd3 Tmd4
DACKn
(DA)
D0 D1 D2 D3
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.63 MPX Interface Timing 7
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 464 of 986
D2
D1
Tm1
CKIO
A
/
RD/
D63D0
Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd4w Tmd4
DACKn
(DA)
D3D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.64 MPX Interface Timing 8
(Burst Write Cycle, AnW = 1, External Wait Control, Bus Width: 64 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 465 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1w Tmd1 Tmd2
DACKn
(DA)
D1D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.65 MPX Interface Timing 1
(Burst Read Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes)
Rev. 6.0, 07/02, page 466 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1w Tmd1w Tmd1 Tmd2
DACKn
(DA)
D1D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.66 MPX Interface Timing 2
(Burst Read Cycle, AnW = 0, One External Wait Inserted, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes)
Rev. 6.0, 07/02, page 467 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1 Tmd2
DACKn
(DA)
D0 D1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.67 MPX Interface Timing 3
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes)
Rev. 6.0, 07/02, page 468 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1w Tmd1w Tmd1 Tmd2
DACKn
(DA)
D0 D1
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.68 MPX Interface Timing 4
(Burst Write Cycle, AnW = 1, One External Wait Inserted, Bus Width: 32 Bits,
Transfer Data Size: 64 Bytes)
Rev. 6.0, 07/02, page 469 of 986
Tm1
CKIO
/
RD/
D31D0
Tmd1w Tmd1 Tmd2 Tmd3 Tmd4 Tmd5 Tmd6 Tmd7 Tmd8
DACKn
(DA)
D1 D2 D3D0 D5 D6 D7D4
A
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.69 MPX Interface Timing 5 (Burst Read Cycle, AnW = 0, No External Wait,
Bus Width: 32 Bits, Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 470 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd7 Tmd8w Tmd8
DACKn
(DA)
D6 D7D1 D2D0
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.70 MPX Interface Timing 6
(Burst Read Cycle, AnW = 0, External Wait Control, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 471 of 986
Tm1
CKIO
A
/
RD/
D31–D0
Tmd1 Tmd2 Tmd3 Tmd4 Tmd5 Tmd6 Tmd7 Tmd8
DACKn
(DA)
D0 D1 D2 D3 D4 D5 D6 D7
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.71 MPX Interface Timing 7
(Burst Write Cycle, AnW = 0, No External Wait, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 472 of 986
D2
D1
Tm1
CKIO
A
/
RD/
D31D0
Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd7 Tmd8w Tmd8
DACKn
(DA)
D0 D6 D7
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.72 MPX Interface Timing 8
(Burst Write Cycle, AnW = 1, External Wait Control, Bus Width: 32 Bits,
Transfer Data Size: 32 Bytes)
Rev. 6.0, 07/02, page 473 of 986
13.3.9 Byte Control SRAM Interface
The byte control SRAM interface is a memory interface that outputs a byte select strobe (WEn) in
both read and write bus cycles. It has 16 bit data pins, and can be conn ected to SRAM which has
an upper byte select strobe and lower byte select strobe function such as UB and LB.
Areas 1 and 4 can be designated as byte control SRAM interface. However, when these areas are
set to MPX mode, MPX mode has priority.
The byte control SRAM interface write timing is the same as for the normal SRAM interface.
In read operations, the WEn pin timing is different. In a read access, only the WE signal for the
byte being read is asser ted . Assertion is synch ronized with the fall of the CKI O clock, as for the
WE signal, while negation is synchronized with the rise of the CKIO clock, using the same timing
as the RD signal.
In 32-byte tr ansfer such as a cache fill or copy-back, a total of 32 bytes ar e tr ansferred
consecutively according to the set bus width. The first access is performed on the data for which
there was an access request, and the remaining accesses are perfor med on the data at the 32-byte
boundary. The bus is not released during this period.
Figure 13.73 shows an example of byte control SRAM connection to the SH7750, and figures
13.74 to 13.76 show examples of byte control SRAM read cycle.
Rev. 6.0, 07/02, page 474 of 986
A18–A3
RD/
D63–D48
SH7750 Series 64k × 16-bit
SRAM
A15–A0
I/O15–I/O0
D15
D0
A15–A0
I/O15–I/O0
A15–A0
I/O15–I/O0
A15–A0
I/O15–I/O0
D31
D16
D47
D32
Figure 13.73 Example of 64-Bit Data Width Byte Control SRAM
Rev. 6.0, 07/02, page 475 of 986
T1 T2
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.74 Byte Control SRAM Basic Read Cycle (No Wait)
Rev. 6.0, 07/02, page 476 of 986
T1 Tw T2
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.75 Byte Control SRAM Basic Read Cycle (One Internal Wait Cycle)
Rev. 6.0, 07/02, page 477 of 986
T1 Tw Twe T2
CKIO
A25–A0
RD/
D63–D0
(read)
DACKn
(SA: IO memory)
DACKn
(DA)
Note: For DACKn, an example is shown where CHCRn.AL (access level) = 0 for the DMAC.
Figure 13.76 Byte Control SRAM Basic Read Cycle (One Internal Wait + One External
Wait)
Rev. 6.0, 07/02, page 478 of 986
13.3.10 Waits between Access Cycles
A problem associated with higher external memory bus operating frequencies is that data buffer
turn-off on completio n of a read from a low-speed device may be too slow , cau sing a collision
with the data in th e next access, and so resulting in lower reliability or incorrect operation. To
avoid this p rob lem , a data collision prevention featur e has been provided. This memorizes th e
preceding access area and the kind of read/write, and if there is a possibility of a bu s collision
when the next access is started, inserts a wait cycle before the access cycle to prevent a data
collision. Wait cy cle insertion consists of inserting idle cycles between access cycles, as shown in
section 13.2.5, Wait Control Register (WCR1). When the SH7750 Series performs consecutive
write cycles, the data transfer direction is fixed (from the SH7750 Series to other memory) and
there is no problem. With read accesses to the same area, also, in principle data is output from the
same data buffer, and wait cycle insertion is not performed. If there is originally space between
accesses, according to the setting of bits AnIW2–AnIW0 (n = 0 to 6) in WCR1, the n umber of idle
cycles inserted is the specified number of idle cycles minus the number of empty cycles.
When bus arbitration is perfor med, the bus is released after waits are inserted between cycles.
In single address mode DMA transfer, when data transfer is performed from an I/O device to
memory the data on th e bus is de ter m ined by the speed of the I/O device. With a low- speed I/O
device, an inter-cycle idle wait equivalent to the output buffer turn - off time must be inserted. Even
with high-speed memory, when DMA transfer is co n sid er ed, it may be necessary to insert an inter-
cycle wait to adjust to the speed of a low-speed device, preventing the memo ry from being used at
full speed.
Bits DMAIW2–DMAIW0 in wait con tr ol register 1 (WCR1 ) allow an inter-cycle wait setting to
be made when transferring data from an I/O device to memory using single address mode DMA
transfer. From 0 to 15 waits can be inserted. The number of waits specified by DMAIW2–
DMAIW0 are inserted in single address DMA transfers to all areas.
In dual address mode DMA transfer, the normal inter-cycle wait sp ecified by AnIW2–AnIW0 (n =
0 to 6) is inserted.
Rev. 6.0, 07/02, page 479 of 986
T1
A25A0
RD/
D31D0
T2 Twait T1 T2 Twait T1 T2
Area m space read
Area m inter-access wait specification Area n inter-access wait specification
Area n space read Area n space write
Figure 13.77 Waits between Access Cycles
Rev. 6.0, 07/02, page 480 of 986
13.3.11 Bus Arbitration
The SH7750 Series is provided with a bus arbitration function that grants the bus to an external
device when it makes a bus request.
There are three bus arbitration modes: master mode, partial-sharing master mode, and slave mode.
In master mode the bus is held on a constant basis, and is released to another device in response to
a bus request. In slave mode the bus is not held on a constant basis; a bus request is issued each
time an external bus cycle occurs, and the bus is released again at the end of the access. In partial-
sharing master mode, only area 2 is shared with external devices; slave mode is in effect for area
2, while for other spaces, bus arbitration is not performed and the bus is held constantly. The area
in the master m o de chip to which area 2 in the partial-shar ing master mode chip is allocated is
determined by an external circuit.
Master mode and slave mode can be specified by the external mode pins. Partial-sharing master
mode is entered from master mode by means of a so ftware setting. See Appendix C, Mode Pin
Settings, for the external mode pin settings. In master mode and slave mode, the bus goes to the
high-impedance state when not being held. In partial-sharing master mode, the bus is constantly
driven, and therefore an external buffer is necessary for connection to the master bus. In master
mode, it is possible to connect an external device that issues bus requests instead of a slave mode
chip. In the following description, an external device that issues bus requests is also referred to as
a slave.
The SH7750 Series has two internal bus masters: the CPU and the DMAC. When synchronous
DRAM or DRAM is connected and refre sh control is performed, refr esh requests constitute a th ir d
bus master. In addition to these are bus requests from external devices in master mode. If requests
occur simultaneously, priority is given, in high-to-low order, to a bus request from an external
device, a refresh request, the DMAC, and the CPU.
To prevent incorrect operation of connected devices when the bus is transferred between master
and slave, all bus control signals are negated before the bus is released. When mastership of the
bus is received, also, bus control signals begin driving the bus from the negated state. Since
signals are driven to the same value by the master and slave exchanging the bus, output buffer
collisions can be av oided.
Bus transfer is executed between bus cycles.
When the bus release request signal (BREQ) is asserted, the SH7750 Series releases the bus as
soon as the currently executing bus cycle ends, and outputs the bus use permission signal (BACK).
However, bus release is not performed during mu ltiple bus cycles generated because the data bus
width is smaller than the access size (for example, when performing longword access to 8-bit bus
width memory) or during a 32-byte transfer such as a cache fill or write-back. In addition, bus
release is not performed between read and write cycles during execution of a TAS instruction, or
between read and write cycles when DMAC dual address transfer is executed. When BREQ is
Rev. 6.0, 07/02, page 481 of 986
negated, BACK is negated and use of the bus is resumed. See Appendix E, Pin Functions, for the
pin states when the bus is released.
When a refresh request is generated, the SH7750 Series performs a refresh operation as soon as
the currently execu ting b us cycle ends. However, refresh operations are deferred during multiple
bus cycles generated because the data bus width is smaller than the access size (for example, when
performing longword access to 8-bit bus width memory) and during a 32-byte transfer such as a
cache fill or write-back, and also between read and write cycles during executio n of a TAS
instruction, and between read and write cycles when DMAC dual address transfer is executed.
Refresh operations are also deferred in the bus-released state.
If the synchronous DRAM interface is set to the RAS down mode the PALL command is issued
before a refresh cycle occurs or before the bus is released by bus arbitration.
As the CPU in the SH7750 Series is connected to cache memory by a dedicated internal bus,
reading from cache memory can still be car r ied out wh en the bus is being used by another bus
master inside or outside the SH7750 Series. When writing from the CPU, an external write cycle
is generated when write-through has been set for the cache in the SH7750 Series, or when an
access is made to a cache-off area. There is consequ ently a d elay until the bus is returned.
When the SH7750 Series wants to take back the bus in response to an internal memo ry refresh
request, it negates BACK. On receiving the BACK negation, the device that asserted the external
bus release request negates BREQ to release the bus. The bus is thereby returned to the SH7750
Series, which then carries out the necessary processing.
Rev. 6.0, 07/02, page 482 of 986
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
CKIO
HiZ
A25–A0
RD/
D63–D0 (write)
/
/
A25–A0
RD/
D63–D0 (write)
Master access Slave access Master access
Asserted for at least 2 cycles
Negated within 2 cycles
HiZ
HiZ
HiZ
HiZ
HiZ
HiZ
Master mode device access
Must be asserted for
at least 2 cycles Must be negated within 2 cycles
Slave mode device access
Figure 13.78 Arbitration Sequence
Rev. 6.0, 07/02, page 483 of 986
13.3.12 Master Mode
The master mode processor holds the bus itself unless it receives a bus request.
On receiving an assertion (low level) of the bus request signal (BREQ) from off-chip, the master
mode processor releases the bus and asserts (drives low) the bus use permission signal (BACK) as
soon as the currently executing bus cycle ends. If a bus release request due to a refresh request has
not been issued, on receiving the BREQ negation (high level) indicating that the slave has released
the bus, the processor negates (drives high) the BACK signal and resumes use of the bus.
If a bus request is issued due to a memory refresh request in the bus-released state, the processor
negates the bus use permission signal (BACK), and on receiving the BREQ negation indicating
that the slave has released the bu s, resumes use of the bus.
When the bus is released, all bus interface related output signals and input/output signals go to the
high-impedance state, except for the synchronous DRAM interface CKE signal and bus arbitration
BACK sig nal, and DACK0 and DACK1 which control DMA transfers.
With DRAM, the bus is released after precharging is completed. With synchronous DRAM, also,
a precharge command is issued for the active bank and the bus is released after precharging is
completed.
The actual bus release sequence is as follows.
First, the bus use permission signal is asserted in synchronization with the rising edge of the clock.
The address bus and data bus go to the high-impedance state in synchronization with the next
rising edge of the clock after this BACK assertion. At the same time, th e bus control signals (BS,
CSn, RAS1, RAS2, WEn, RD, RD/WR, RD2, RD/WR2, CE2A, and CE2B) go to the high-
impedance state. These bus control signals are negated no later than one cycle before going to
high-impedance. Bu s request signal sampling is performed on the rising edge of the clock.
The sequence for re-acquiring the bus from the slave is as follows.
As soon as BREQ negation is detected on the rising edge of the clock, BACK is negated and bus
control signal driving is started. Driving of the address bus and data bus starts at the next rising
edge of an in-phase clock. The bus control signals are asserted and th e bus cycle is actually
started, at the earliest, at the clock rising edge at which the address and data signals are driven .
In order to reacquire the bus and start execution of a refresh operation or bus access, the BREQ
signal must be negated for at least two cycles.
If a refresh request is generated when BACK has been asserted and the bus has been released, the
BACK sig nal is negated ev en while the BREQ signa l is asser ted to reque st the slave to relinquish
the bus. When the SH7750 Series is used in master mode, consecutive bus accesses may be
attempted to reduce the overhead due to arbitration in the case of a slave designed independ ently
Rev. 6.0, 07/02, page 484 of 986
by the user. When connecting a slave for which the total duration of consecutive accesses exceeds
the refresh cycle, the design should provide for the bus to be released as soon as possible after
negation of the BACK signal is detected.
13.3.13 Slave Mode
In slave mode, the bu s is normally in the released state, and an external device cannot be accessed
unless the bus is acquired through execution of the bus arbitration sequence. In a reset, also, the
bus-released state is established and the bus arbitration sequence is started from the reset vector
fetch.
To acquire the bus, the slave device asserts (drives low) the BSREQ signal in synchronization
with the rising edge of the clock. The bus use permission BSACK signal is sampled for assertion
(low level) in synchronization with the rising edge of the clock. When BSACK assertion is
detected, the bus control signals and address bus are immediately driven at the negated level. The
bus cycle is started at the next rising edge of the clock. The last signal negated at the end of the
access cycle is synchronized with the rising edge of the clock. When the bus cycle ends, the
BSREQ signal is negated and the release of the bus is reported to the master. On the next rising
edge of the clock, the control signals are set to high-impedance.
In order for the slave mode processor to begin access, the BSACK signal must be assert e d for a t
least two cycles.
For a slave access cycle in DRAM or synchronous DRAM, the bus is released on completion of
precharging, as in the case of the master.
Refresh control is left to the master mode device, and any refresh control settings made in slave
mode are ignored.
Do not use DRAM/synchronous DRAM RAS down mode in slave mode.
Synchronous DRAM mode register settings should be made by the master mode device. Do not
use the DMAC’s DDT mode in slave mode.
Rev. 6.0, 07/02, page 485 of 986
13.3.14 Partial-Sharing Master Mode
In partial-sharing master mode, area 2 only is shared with other devices, and other areas can be
accessed at all times. Partial-sh aring master mode can be set by setting master mode with the
external mod e pins, and setting the PSHR bit to 1 in BCR1 in the in itialization proc edu re in a
power-on reset. In a manu al reset the bus state controller setting register values are retained, and
so need not be set again.
Partial-sharing master mode is designed for use in conjunction with a master mode chip. The
partial-sharing master can access a device on the master side via area 2, but the master cannot
access a device on the partial-sharing master side.
An address and control signal buffer and a data buffer must be located between the partial-sharing
master and the master, and controlled by a buffer control circuit.
The partial-sharing master mode processor uses the following procedure to access area 2. It asserts
the BSREQ signal on the rising edge of the clock, and issues a bus request to the master. It
samples BSACK on each rising edge of the clock, and on receiving BSACK assertion, starts the
access cycle on the next rising edge of the clock. At the end of the access, it negates BSREQ on
the rising edge of the clock. Buffer control in an access to an area 2 device by the partial-sharing
master is carried out by referencing the CS2 signal or BSREQ and BSACK sig nals on the p artial-
sharing master side. Permission to use the bus is reported by the BSACK line connected to the
partial-sharing master, but the master may also negate the BSACK signal even while the bus is
being used, if it needs the bus urgently in order to service a refresh, for example. Consequently,
the partial-sharing master ha s to monitor the BSREQ signal to see whether it can continue to use
the bus after detecting BSACK assertion. In the case of the address buffer, after the address buffer
is turned on when BSACK assertion is detected, the buffer is kept on u ntil BSREQ is negated, at
which poin t it is turned off. If the turning-off of the buffer used is late, resulting in a collision with
the start of an access cycle on the master side, the BSREQ signal output from the partial-sharing
master must be routed through a delay circuit as part of the buffer control circuit, and input to the
master BREQ signal.
In order for a partial-sharing master mode processor to begin area 2 access, the BSACK signal
must be asserted for at least two cycles.
When the bus is released after area 2 has been accessed in partial-sharing master mode, if area 2 is
synchronous DRAM, there is a wait of the period required for auto-precharge before bus release is
performed.
In partial-sharing master mode, refreshing is not performed for area 2 (refresh requests are
ignored).
Do not use DRAM/synchronous DRAM RAS down mode in partial-sharing master mode.
Rev. 6.0, 07/02, page 486 of 986
Area 2 synchronous DRAM mode register settings should be made by the master mode device. Set
partial-shar ing master mode ( by setting the PSHR bit to 1 in BCR1) after completion of the area 3
synchronous DRAM mode register settings.
In partial-sharing master mode, DMA transfer should not be performed on area 2, and the
DMAC’s DDT mode should not be used.
13.3.15 Cooperation between Master and Slave
To enable system resources to be controlled in a harmonious fashion by master and slave, their
respective roles must be clearly defined. Before DRAM or synchronous DRAM is used,
initialization operations must be carried out. Responsibility must also be assigned when a standby
operation is performed to implement the power-down state.
The design of th e SH7750 Series provides f or all co ntrol, including initialization, refreshing, and
standby control, to be carried out by the master mode device. In a dual-processor configuration
using direct master/slave connection, all processing except direct access to memory is handled by
the master. In a combination of master mod e and partial-sharing master mo de, the partial-sharing
master mod e pro cessor performs initializatio n, refreshing, and stan dby control for the areas
connected to it, with the exception of area 2, while the master performs initialization of the
memory connected to it.
If the SH7750 Series is specified as the master in a power-on reset, it will not accept bus requests
from the slave until the BREQ enab le bit (BCR1.BREQEN) is set to 1.
To ensure that the slave processor d oes not access memory requiring initialization before use, such
as DRAM and synchronous DRAM, until in itialization is completed , write 1 to the BREQ enable
bit after initializatio n ends.
Before setting self-refresh mode in standby mode, etc., write 0 to the BREQ enable bit to
invalidate the BREQ signal fr om the slave. Write 1 to the BREQ enable bit only after the master
has performed the necessary processing (refresh settings, etc.) for exiting self-refresh mode.
Rev. 6.0, 07/02, page 487 of 986
13.3.16 Notes on Usage
Refresh: Auto refresh operations stop when a transition is made to standby mode, hardware
standby mode or deep-sleep mode. If the memory system requires refresh operations, set the
memory in the self-refresh state prior to making the transition to standby mode, hardware standby
mode or deep-sleep mode.
Bus Arbitration: On transition to standby mode or deep-sleep mode, the processor in master
mode does not release bus privileges. In systems performing bus arbitration, make the transition to
standby mode or deep-sleep mode only after setting the bus privilege release enable bit
(BCR1.BREQEN) to 0 for the processor in master mode. If the bus privilege release enable bit
remains set to 1, operation cannot be guaranteed when the transition is made to standby mode or
deep-sleep mode.
Synchronous DRAM Mode Register Setting (SH7750, SH7750S Only): The following
conditions must be satisfied when setting the synchronous DRAM mode register.
The DMAC must not be activated until synch ron ous DRAM mode register setting is
completed.*1
Register setting for the on-chip peripheral modules*2 must not be performed until synchronous
DRAM mode register setting is completed.*3
Notes: *1 If a conflict occurs between synchronous DRAM mode register setting and memory
access using the DMAC, neither operation can be guaranteed.
*2 This applies to the fo llowing on-chip periph eral modules: CPG, RTC, INTC, TMU,
SCI, SCIF, and H-UDI.
*3 If synchronous DRAM mode register setting is performed immediately following write
access to the on-chip peripheral modules*2, the values written to the on-chip peripheral
modules cannot be guaranteed.
Rev. 6.0, 07/02, page 488 of 986
Rev. 6.0, 07/02, page 489 of 986
Section 14 Direct Memory Access Controller (DMAC)
14.1 Overview
The SH7750 and SH7750S include an on-chip four-channel direct memory access controller
(DMAC). The SH7750R includes an on-chip eight-channel DMAC. The DMAC can be used in
place of the CPU to perform high-speed data transfers among extern al devices equipped with
DACK (TMU, SCI, SCIF), external memories, memory-mapped external devices, and on-chip
peripheral modules (except the DMAC, BSC, and UBC). Using the DMAC reduces the burden on
the CPU and increases the operating efficiency of the chip. When using the SH7750R, see the
following sections:
Section 14.6, Configuration of DMAC (SH7750R);
Section 14.7, Register Descriptions (SH7750R);
Section 14.8, Operation (SH7750R).
14.1.1 Features
The DMAC has the following features.
Four channels (SH7750/SH7750S), eigh t channels (SH7750R)
Physical address space
Choice of 8-bit, 16-bit, 32-bit, 64-bit, or 32-byte transfer data length
Maximum of 16 M (16,777,216) transfers
Choice of single or dual address mode
Single address mode: Either the transfer source or the transfer destination (external device)
is accessed by a DACK signal while the other is accessed by address. One data transfer is
completed in one bus cycle.
Dual address mode: Both the transfer source and transfer destination are accessed by
address. Values set in DMAC internal registers indicate the accessed address for both the
transfer source and the transfer destination. Two bus cycles are requ ired for one data
transfer.
Choice of bus mode: Cycle steal mode or burst mode
Two types of DMAC channel priority rank ing:
Fixed pr iority mode: Chann el priorities are permanently fixed.
Round robin mode: Sets the lowest priority for the channel for which an execution request
was last accepted.
An interrupt request can be sent to the CPU on completion of the specified number of
transfers.
Rev. 6.0, 07/02, page 490 of 986
Transfer requests: The following three DMAC transfer activation requests are supported.
External request
(1) Normal DMA mode
From two DREQ pins. Either low level detection or falling edge detection can be
specified. External requests can be accepted on channels 0 and 1 only.
(2) On-demand data transfer mode (DDT mode)
In this mode of the SH7750 and SH7750S, interfacing between an external device and
the DMAC is perfo r m ed using the DBREQ, BAVL, TR, TDACK, ID [1:0], and D
[63:0] pins. External requests can be accepted on all four channels.
In the SH7750R, the DBREQ, BAVL, TR, TDACK, ID [2:0], and D [63:0] pins are
used as the interface between an external device and the DMAC. External requests can
be accepted on any of the eight channels.
For channel 0, data transfer can be carried out with the transfer mode, number of
transfers, transfer address (single only), etc., specified by the external device.
Although channel 0 has no request queue, there are four request queues for each of the
other channels: i.e., channels 1 to 3 in the SH7750 or SH7750S, and channels 1 to 7 in
the SH7750R.
In the SH7750R, request queues can be cleared on a channel-by-channel basis in DDT
mode in either of the following two ways.
Clearing a request queue by DTR format
The request queues of the relevant channel are cleared when it receives DTR.SZ =
110, DTR.ID = 00, DTR.MD = 11, and DTR.COUNT [7:4]* = [18].
Using software to clear the request queue
The request queues o f the re lev ant channel are clear ed by wr iting a 1 to the
CHCRn.QCL bit (request-queue clear bit) of each channel.
Note: * DTR.COUNT [7:4] (DTR [55:52]): Sets the por t as not u sed.
Requests from on-chip peripheral modules
Transfer requests from the SCI, SCIF, and TMU. These can be accepted on all channels.
Auto-request
The transfer r equ est is generated automatically within the DMAC.
Channel functions: Transfer modes that can be set are different for each channel.
Normal DMA mode
Channel 0: Single or dual address mode. External requests are accepted.
Channel 1: Single or dual address mode. External requests are accepted.
Channel 2: Dual address mode only.
Channel 3: Dual address mode only.
Channel 4 (SH7750R only): Dual address mode only.
Channel 5 (SH7750R only): Dual address mode only.
Rev. 6.0, 07/02, page 491 of 986
Channel 6 (SH7750R only): Dual address mode only.
Channel 7 (SH7750R only): Dual address mode only.
DDT mode channel function
Channel 0: Single address mode. External requests are accepted
Dual address mode (SH7750S, SH7750R)
Channel 1: Single or dual address mode. External requests are accepted.
Channel 2: Single or dual address mode. External requests are accepted.
Channel 3: Single or dual address mode. External requests are accepted.
Channel 4 (SH7750R only): Single or dual address mode. External requests are
accepted.
Channel 5 (SH7750R only): Single or dual address mode. External requests are
accepted.
Channel 6 (SH7750R only): Single or dual address mode. External requests are
accepted.
Channel 7 (SH7750R only): Single or dual address mode. External requests are
accepted.
Rev. 6.0, 07/02, page 492 of 986
14.1.2 Block Diagram (SH7750, SH7750S)
Figure 14.1 shows a block diagram of the DMAC.
SARn
DARn
DMATCRn
CHCRn
DMAOR
TMU
SCI, SCIF
DACK0, DACK1
DRAK0, DRAK1
DMAOR: DMAC operation register
SARn: DMAC source address
register
DARn: DMAC destination address register
DMATCRn: DMAC transfer count register
CHCRn: DMAC channel control register
(n: 0 to 3)
On-chip
peripheral
module
Peripheral bus
Internal bus
DMAC module
Count
control
Register
control
Activation
control
Request
priority
control
Bus
interface
32B data
buffer
Bus state
controller
CH0 CH1 CH2 CH3
Request controller
DTR command buffer
DDT module
SAR0, DAR0, DMATCR0,
CHCR0 only
External bus
ID[1:0]
D[63:0] DDTMODE
DBREQ
BAVL
Request
4
48 bits
tdack
id[1:0]
DDTD
,
External address/on-chip
peripheral module address
Figure 14.1 Block Diagram of DMAC
Rev. 6.0, 07/02, page 493 of 986
14.1.3 Pin Configuration (SH7750, SH7750S)
Tables 14.1 and 14.2 show the DMAC pins.
Table 14.1 DMAC Pins
Channel Pin Name Abbreviation I/O Function
0 DMA transfer
request DREQ0 Input DMA transfer request input from
external dev ice to cha nne l 0
DREQ acceptance
confirmation DRAK0 Output Acceptance of request for DMA
transfer from channel 0 to external
device
Notification to external device of start
of execution
DMA transfer end
notification DACK0 Output Strobe output to external device of
DMA transfer request from channel 0
to external device
1 DMA transfer
request DREQ1 Input DMA transfer request input from
external dev ice to cha nne l 1
DREQ acceptance
confirmation DRAK1 Output Acceptance of request for DMA
transfer from channel 1 to external
device
Notification to external device of start
of execution
DMA transfer end
notification DACK1 Output Strobe output to external device of
DMA transfer request from channel 1
to external device
Rev. 6.0, 07/02, page 494 of 986
Table 14.2 DMAC Pins in DDT Mode
Pin Name Abbreviation I/O Function
Data bus request DBREQ
(DREQ0)Input Data bus release request from external
device for DTR format input
Data bus available BAVL
(DRAK0) Output Data bus release notification
Data bus can be used 2 cycles after
BAVL is asserted
Transfer request signal TR
(DREQ1)Input If asserted 2 cycles after BAVL
assertion, DTR format is sent
Only TR asserted: DMA request
DBREQ and TR asserted
simultaneously: Direct request to
channel 2
DMAC strobe TDACK
(DACK0) Output Reply strobe signal for external dev ic e
from DMAC
Channel number
notification ID [1:0]
(DRAK1, DACK1) Output Notification of channel number to
external device at same time as TDACK
output
(ID [1] = DRAK1, ID [0] = DACK1)
14.1.4 Register Configuration (SH7750, SH7750S)
Table 14.3 summarizes the DMAC registers. The DMAC has a total of 17 registers: four registers
are allocated to each channel, and an additional control register is shared by all four channels.
Table 14.3 DMAC Registers
Chan-
nel Name Abbre-
viation Read/
Write Initial Value P4 Address Area 7
Address Access
Size
0 DMA source
address register 0 SAR0 R/W*2Undefined H'FFA00000 H'1FA00000 32
DMA destination
address register 0 DAR0 R/W*2Undefined H'FFA00004 H'1FA00004 32
DMA transfer
count register 0 DMATCR0 R/W*2Undefined H'FFA00008 H'1FA00008 32
DMA channel
control register 0 CHCR0 R/W*1 *2H'00000000 H'FFA0000C H'1FA0000C 32
Rev. 6.0, 07/02, page 495 of 986
Table 14.3 DMAC Registers (cont)
Chan-
nel Name Abbre-
viation Read/
Write Initial Value P4 Address Area 7
Address Access
Size
1 DMA source
address register 1 SAR1 R/W Undefined H'FFA00010 H'1FA00010 32
DMA destination
address register 1 DAR1 R/W Undefined H'FFA00014 H'1FA00014 32
DMA transfer
count register 1 DMATCR1 R/W Undefined H'FFA00018 H'1FA00018 32
DMA channel
control register 1 CHCR1 R/W*1H'00000000 H'FFA0001C H'1FA0001C 32
2DMA source
address register 2 SAR2 R/W Undefined H'FFA00020 H'1FA00020 32
DMA destination
address register 2 DAR2 R/W Undefined H'FFA00024 H'1FA00024 32
DMA transfer
count register 2 DMATCR2 R/W Undefined H'FFA00028 H'1FA00028 32
DMA channel
control register 2 CHCR2 R/W*1H'00000000 H'FFA0002C H'1FA0002C 32
3 DMA source
address register 3 SAR3 R/W Undefined H'FFA00030 H'1FA00030 32
DMA destination
address register 3 DAR3 R/W Undefined H'FFA00034 H'1FA00034 32
DMA transfer
count register 3 DMATCR3 R/W Undefined H'FFA00038 H'1FA00038 32
DMA channel
control register 3 CHCR3 R/W*1H'00000000 H'FFA0003C H'1FA0003C 32
Com-
mon DMA operation
register DMAOR R/W*1H'00000000 H'FFA00040 H'1FA00040 32
Notes: Longword access should be used for all control registers. If a different access width is
used, reads will return all 0s and writes will not be possible.
*1 Bit 1 of CHCR0–CHCR3 and bits 2 and 1 of DMAOR can only be written with 0 after
being read as 1, to clear the flags.
*2 In the SH7750, writes from the CPU are masked in DDT mode, while writes from
external I/O devices using the DTR format are possible. In the SH7750S, writes from
the CPU and writes from external I/O devices using the DTR format are possible In
DDT mode.
Rev. 6.0, 07/02, page 496 of 986
14.2 Register Descriptions (SH7750, SH7750S)
14.2.1 DMA Source Address Registers 0–3 (SAR0–SAR3)
Bit: 31 30 29 28 27 26 25 24
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 0
· · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · ·
Initial value: · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · ·
R/W: R/W · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · R/W
DMA source address registers 0–3 (SAR0–SAR3) are 32-bit readable/writable registers that
specify the source address of a DMA transfer. These registers have a counter feedback function,
and during a DMA transfer they indicate the next source address. In single address mode, the SAR
value is ignored when an external device with DACK has been specified as the transfer source.
Specify a 16-bit, 32-bit, 64-bit, or 32-byte boundary address when performing a 16-bit, 32-bit, 64-
bit, or 32-byte data transfer, respectively. If a different address is specified, an address error will
be detected and the DMAC will halt.
The initial value of these registers after a power-on or manual reset is undefined. They retain their
values in standby mode and deep sleep mode.
When transfer is performed from memory to an external device with DACK in DDT mode, DTR
format [31:0 ] is set in SAR0 [31 :0]. For details, see Data Transfer Reque st Format in section
14.5.2.
In the SH7750 , writes from the CPU are mask ed in DDT mode, while writes from external I/O
devices using the DTR format are possible. In the SH7750S, writes from the CPU and writes from
external I/O devices using the DTR format are possible In DDT mode.
Rev. 6.0, 07/02, page 497 of 986
14.2.2 DMA Destination Address Registers 0–3 (DAR0–DAR3)
Bit: 31 30 29 28 27 26 25 24
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 0
· · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · ·
Initial value: · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · ·
R/W: R/W · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · · R/W
DMA destination address registers 0–3 (DAR0–DAR3) are 32-bit readable/writable registers that
specify the destination address of a DMA transfer. These registers have a counter feedback
function, and during a DMA transfer they indicate the next destination address. In single address
mode, the DAR value is ignored when a device with DACK has been specified as the transfer
destination.
Specify a 16-bit, 32-bit, 64-bit, or 32-byte boundary address when performing a 16-bit, 32-bit, 64-
bit, or 32-byte data transfer, respectively. If a different address is specified, an address error will
be detected and the DMAC will halt.
The initial value of these registers after a power-on or manual reset is undefined. They retain their
values in standby mode and deep sleep mode.
When transfer is performed from an external device with DACK to memory in DDT mode, DTR
format [31:0 ] is set in DAR0 [31:0]. For details, see Data Tr ansfer Request Fo r m at in section
14.5.2.
Notes: 1. When a 16-bit, 32-bit, 64-bit, or 32-byte boundary address is specified, take care with
the setting of bit 0, bits 1–0, bits 2–0, or bits 4–0, respectively. If an address
specification that ignores boundary considerations is made, the DMAC will detect an
address error and halt operation on all channels (DMAOR: address error flag AE = 1).
The DMAC will also detect an address error and halt if an area 7 address is specified in
a data transfer employing the external bus, or if the address of a nonexistent on-chip
peripheral module is specified.
2. External addresses are 29-bit. As SAR[31:29] and DAR[31:29] are not used in DMA
transfers, settings of SAR[31:29] = 000 and DAR[31:29] = 000 are recommended.
Rev. 6.0, 07/02, page 498 of 986
14.2.3 DMA Transfer Count Registers 0–3 (DMATCR0–DMATCR3)
Bit: 31 30 29 28 27 26 25 24
Initial value:00000000
R/W:RRRRRRRR
Bit: 23 22 21 20 19 18 17 16
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
DMA transfer count registers 0–3 (DMATCR0–DMATCR3) are 32-bit readable/writable registers
that specify the transfer count for the corresponding channel (byte count, word count, longword
count, quadword count, or 32-byte count). Specifying H'000001 gives a transfer count of 1, while
H'000000 gives the maximum setting, 16,777,216 (16M) transfers. During DMAC operation, the
remaining number of transfers is shown.
Bits 31–24 of these registers are reserved; they are always read as 0, and should only be written
with 0.
The initial value of these registers after a power-on or manual reset is undefined. They retain their
values in standby mode and deep sleep mode.
In DDT mode, settings to DMATCR0[7:0] may be made from DTR format [55:48] as well. For
details, see Data Transfer Request Format in section 14.5.2.
Rev. 6.0, 07/02, page 499 of 986
14.2.4 DMA Channel Control Registers 0–3 (CHCR0–CHCR3)
Bit: 31 30 29 28 27 26 25 24
SSA2 SSA1 SSA0 STC DSA2 DSA1 DSA0 DTC
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
————DSRLAMAL
Initial value:00000000
R/W:RRRRR/W(R/W)R/W(R/W)
Bit: 15 14 13 12 11 10 9 8
DM1 DM0 SM1 SM0 RS3 RS2 RS1 RS0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
TM TS2 TS1 TS0 IE TE DE
Initial value:00000000
R/W: R/W R/W R/W R/W R R/W R/(W) R/W
Note: The TE bit can only be written with 0 after being read as 1, to clear the flag.
The RL, AM, AL, and DS bits may be absent, depending on the channel.
DMA channel control registers 0–3 (CHCR0–CHCR3) are 32-bit readable/writable registers that
specify the operating mode, transfer method, etc., for each channel. Bits 31–28 and 27–24 indicate
the source address and destination address, respectively; these settings are only valid when the
transfer involves the CS5 or CS6 space and the relevant space has been specified as a PCMCIA
interface space. In other cases, these bits should be cleared to 0. For details of the PCMCIA
interface, see section 13.3.7, PCMCIA Interface, in section 13, Bus State Controller (BSC).
In DDT mode, CHCR0 is set acco rd ing to the DTR format. (The following settings are fixed:
CHCR0 [31:24] = 0, [18:16] = 0, [15:14] = 01, [13:12] = 01, [2] = 0, [1] = 0, [0] = 1)
Bits 18 and 16 are not present in CHCR2 and CHCR3. In CHCR2 and CHCR3, these bits cannot
be modified (a write value of 0 should always be used) and are always read as 0.
These registers are in itialized to H'00000000 by a power-on or manual reset. They retain their
values in standby mode and deep sleep mode.
Rev. 6.0, 07/02, page 500 of 986
Bits 31 to 29—Source Address Space Attribute Specification (SSA2–SSA0): These bits specify
the space attribute for access to a PCMCIA interface area.
Bit 31: SSA2 Bit 30: SSA1 Bit 29: SSA0 Description
0 0 0 Reserved in PCMCIA access (Initial value)
1 Dynamic bus sizing I/O spac e
1 0 8-bit I/O space
1 16-bit I/O space
1 0 0 8-bit common memory space
1 16-bit common memory space
1 0 8-bit attribute memo ry space
1 16-bit attribute memory space
Bit 28—Source Address Wait Control Select (STC): Specifies CS5 or CS6 space wait cycle
control for access to a PCMCIA interface area. This bit selects the wait control register in the BSC
that performs area 5 and 6 wait cycle control.
Bit 28: STC Description
0 C5 space wait cy cle se lection (Initial val ue)
Settings of bits A5W2–A5W0 in wait control register 2 (WCR2), and bits
A5PCW1–A5PCW0, A5TED2–A5TED0, and A5TEH2–A5TEH0 in the
PCMCIA control register (PCR), are selected
1 C6 space wait cycle selection
Settings of bits A6W2–A6W0 in wait control register 2 (WCR2), and bits
A6PCW1–A6PCW0, A6TED2–A6TED0, and A6TEH2–A6TEH0 in the
PCMCIA control register (PCR), are selected
Note: For details, see section 13.3.7, PCMCIA Interface.
Rev. 6.0, 07/02, page 501 of 986
Bits 27 to 25—Destination Address Space Attribute Specification (DSA2–DSA0): These bits
specify the space attribute for access to a PCMCIA interface area.
Bit 27: DSA2 Bit 26: DSA1 Bit 25: DSA0 Description
0 0 0 Reserved in PCMCIA access (Initial value)
1 Dynamic bus sizing I/O spac e
1 0 8-bit I/O space
1 16-bit I/O space
1 0 0 8-bit common memory space
1 16-bit common memory space
1 0 8-bit attribute memo ry space
1 16-bit attribute memory space
Bit 24—Destination Addre ss Wait Control Select (DTC): Specifies CS5 or CS6 space wait
cycle control for access to a PCMCIA interface area. This bit selects the wait control register in
the BSC that performs area 5 and 6 wait cycle control.
Bit 24: DTC Description
0 C5 space wait cy cle se lection (Initial val ue)
Settings of bits A5W2–A5W0 in wait control register 2 (WCR2), and bits
A5PCW1–A5PCW0, A5TED2–A5TED0, and A5TEH2–A5TEH0 in the
PCMCIA control register (PCR), are selected
1 C6 space wait cycle selection
Settings of bits A6W2–A6W0 in wait control register 2 (WCR2), and bits
A6PCW1–A6PCW0, A6TED2–A6TED0, and A6TEH2–A6TEH0 in the
PCMCIA control register (PCR), are selected
Note: For details, see section 13.3.7, PCMCIA Interface.
Bits 23 to 20—Reserved: These bits are always read as 0, and should only be written with 0.
Rev. 6.0, 07/02, page 502 of 986
Bit 19—DREQ
DREQDREQ
DREQ Select (DS): Sp ecif ies either low level detection or falling edge d etection as the
sampling method for the DREQ pin used in external request mode.
In normal DMA mode, this bit is valid only in CHCR0 and CHCR1. In DDT mode, it is valid in
CHCR0–CHCR3.
Bit 19: DS Description
0 Low level detection (Initial value)
1 Falling edge detection
Note: Level detection burst mode when TM = 1 and DS = 0
Edge detection burst mode when TM = 1 and DS = 1
Bit 18—Request Check Level (RL): Selects whether the DRAK signal (that notif ies an external
device of the acceptance of DREQ) is an active-high or active-low output.
In normal DMA mode, this bit is valid o nly in CHCR0 and CHCR1. I n DDT mode, th is bit is
invalid.
Bit 18: RL Description
0 DRAK is an active-high output (Initial value)
1 DRAK is an active-low output
Bit 17—Acknowledge Mode (AM): In dual address mode, selects whether DACK is output in the
data read cycle or write cycle. In single address mode, DACK is always output regardless of the
setting of this bit.
In normal DMA mode, this bit is valid only in CHCR0 and CHCR1. In DDT mode, this bit is
valid for CHCR1 to CHCR3 in the SH7750. In the SH7750S, this bit is valid for CHCR0 to
CHCR3. (DDT mode: TDACK)
Bit 17: AM Description
0 DACK is output in read cycle (Initial value)
1 DACK is output in write cycle
Rev. 6.0, 07/02, page 503 of 986
Bit 16—Acknowledge Level (AL): Specifies the DACK (acknowledge) signal as active-high or
active-low.
In normal DMA mode, this bit is valid only in CHCR0 and CHCR1. In DDT mode, this bit is
invalid.
Bit 16: AL Description
0 Active-high output (Initial value)
1 Active-low output
Bits 15 and 14—Destination Address Mode 1 and 0 (DM1, DM0): These bits specify
incrementing/decrementing of the DMA transfer destination address. The specification of these
bits is ignored when data is transferred from external memory to an external device in single
address mode. For channel 0, in DDT mode these bits are set to DM1 = 0 and DM0 = 1 with the
DTR format.
Bit 15: DM1 Bit 14: DM0 Description
0 0 Destination address fixed (Initial value)
1 Destination address incremented (+1 in 8-bit transfer, +2 in 16-
bit transfer, +4 in 32-bit transfer, +8 in 64-bit transfer, +32 in 32-
byte burst transf er)
1 0 Destination address decremented (–1 in 8-bit transfer, –2 in 16-
bit transfer, –4 in 32-bit transfer, –8 in 64-bit transfer, –32 in 32-
byte burst transf er)
1 Setting prohibited
Bits 13 and 12—Source Address Mode 1 and 0 (SM1, SM0): These bits specify
incrementing/decrementing of th e DMA transfer source address. The specification of these bits is
ignored when data is transf erred from an external device to external memory in single address
mode. For channel 0, in DDT mode these bits are set to DM1 = 0 and DM0 = 1 with the DTR
format.
Bit 13: SM1 Bit 12: SM0 Description
0 0 Source address fixed (Initial value)
1 Source address incremented (+1 in 8-bit transfer, +2 in 16-bit
transfer, +4 in 32-bit transfer, +8 in 64-bit transfer, +32 in 32-
byte burst transf er)
1 0 Source address decremented (–1 in 8-bit transfer, –2 in 16-bit
transfer, –4 in 32-bit transfer, –8 in 64-bit transfer, –32 in 32-
byte burst transf er)
1 Setting prohibited
Rev. 6.0, 07/02, page 504 of 986
Bits 11 to 8—Resource Select 3 to 0 (RS3–RS0): These bits specify the transfer request source.
Bit 11:
RS3 Bit 10:
RS2 Bit 9:
RS1 Bit 8:
RS0 Description
0 0 0 0 External request, dual address mode*1 *4 (external address
space external address space) (Initial value)
1 Setting prohibited
1 0 External request, single address mode
External address space external device*1 *3 *4
1 External request, single address mode
External device extern al addres s space*1 *3 *4
1 0 0 Auto-request (external address space external address
space)*2
1 Auto-request (external address space on-chip peripheral
module)*2
1 0 Auto-request (on-chip peripheral module external address
space)*2
1 Setting prohibited
1 0 0 0 SCI transmit-data-empty interrupt transfer requ est
(external addr es s spac e SCTDR1)*2
1 SCI receive-data-full interrupt transfer request
(SCRDR1 external address space)*2
1 0 SCIF transmit-data-empty interrupt transfer request
(external addr es s spac e SCFTDR2)*2
1 SCIF receive-data-full interrupt transfer request
(SCFRDR2 external address space)*2
1 0 0 TMU channel 2 (input capture interrupt, external address space
external address space)*2
1 TMU channel 2 (input capture interrupt, external address space
on-chip peripheral module)*2
1 0 TMU channel 2 (input capture interrupt, on-chip peripheral
module ext erna l addres s spa ce)*2
1 Setting prohibited
Notes: *1 External request specifications are valid only for channels 0 and 1. Requests are not
accepted for channels 2 and 3 in normal DMA mode.
*2 Dual address mode
*3 In DDT mode, selection is possible with the DTR format [60] (R/W bit) and [57-56]
(MD1, MD0 bits) specification for channel 0 only.
*4 In DDT mode:
[SH7750] An external request specification should be set for channels 1 to 3. For
channel 0, only single address mode can be set with the DTR format.
[SH7750S] An external request specification can be set for channels 0 to 3.
Rev. 6.0, 07/02, page 505 of 986
Bit 7—Transmit Mode (T M): Specifies the bus mode for transfer.
Bit 7: TM Description
0 Cycle steal mode (Initial value)
1Burst mode
Bits 6 to 4—Transmit Size 2 to 0 (TS2–TS0): These bits specify the transfer data size. For
external memory access, the setting of these bits serves as the access size in section 14.3,
Operation. For register access, the settin g of these bits is the size in which the register is accessed.
Bit 6: TS2 Bit 5: TS1 Bit 4: TS0 Description
0 0 0 Quadword size (64-bit) specification(Initial value)
1 Byte size (8-bit) specif ica tion
1 0 Word size (16-bit) specification
1 Longword size (32-bit) specification
1 0 0 32-byte block transfer specification
Bit 3—Reserved: This bit is always read as 0, and should only be written with 0.
Bit 2—Interrupt Enable (IE): When this bit is set to 1, an interrupt re quest ( DMTE) is generated
after the number of data transfers specified in DMATCR (when TE = 1).
Bit 2: IE Description
0 Interrupt request not generated after number of transfers specified in
DMATCR (Initial value)
1 Interrupt request generated after number of transfers specified in DMATCR
Rev. 6.0, 07/02, page 506 of 986
Bit 1—Tr ansfer End (TE): This bit is set to 1 after the nu mber of transfers specif ied in
DMATCR. If the IE bit is set to 1 at this time, an interrupt request ( DMTE) is generated.
If data transfer ends before TE is set to 1 (for example, du e to an NMI interrupt, address error, or
clearing of the DE bit or the DME bit in DMAOR), the TE bit is not set to 1 . When this bit is 1,
the transfer en abled state is not entered even if the DE bit is set to 1.
Bit 1: TE Description
0 Number of transfers specified in DMATCR not completed (Initial value)
[Clearing cond iti ons ]
When 0 is written to TE after reading TE = 1
In a power-on or manual reset, and in standby mode
1 Number of transfers specified in DMATCR completed
Bit 0—DMAC Enable (DE): Enables operation of the corresponding channel.
Bit 0: DE Description
0 Operation of corresponding channel is disabled (Initial value)
1 Operation of corresponding channel is enabled
When auto-request is specified ( with RS3–RS0), transfer is begun when this bit is set to 1. I n the
case of an external request or on-chip peripheral module request, transfer is begun when a transfer
request is issu ed after this bit is set to 1. Transfer can be suspended midway by clearin g this bit to
0.
Even if the DE bit has b een set, transf er is not enabled when TE is 1, when DME in DMAOR is 0,
or when the NMIF or AE bit in DMAOR is 1.
For channel 0, in DDT mode this bit is set to 1 when a DTR format is received. DE remains set to
1 even if TE is set to 1. When the mode is switched from DDT mode to normal DMA mode (DDT
bit = 0 in DMAOR), th e DE bit must be cleared to 0.
Rev. 6.0, 07/02, page 507 of 986
14.2.5 DMA Operation Register (DMAOR)
Bit: 31 30 29 28 27 26 25 24
————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 23 22 21 20 19 18 17 16
————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 15 14 13 12 11 10 9 8
DDT—————PR1PR0
Initial value:00000000
R/W:R/WRRRRRR/WR/W
Bit:76543210
COD AE NMIF DME
Initial value:00000000
R/W: R R R R/(W) R R/(W) R/(W) R/W
Note: The AE and NMIF bits can only be written with 0 after being read as 1, to clear the flags.
The COD bit can be written to in the SH7750S only.
DMAOR is a 32-bit readable/writable register that specifies the DMAC transfer mode.
DMAOR is initialized to H'00000000 by a power-on or manual reset. They retain their values in
standby mode and deep sleep mode.
Bits 31 to 16—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 15—On-Demand Data Transfer (DDT): Specifies on-demand data transfer mode.
Bit 15: DDT Description
0 Normal DMA mode (Initial value)
1 On-demand data transfer mode
Note: BAVL (DRAK0) is an active-high output in normal DMA mode. When the DDT bit is set to 1,
the BAVL pin function is enabled and this pin becomes an active-low output.
Rev. 6.0, 07/02, page 508 of 986
Bits 14 to 10—Reserved: These bits are always read as 0, and should only be written with 0.
Bits 9 and 8—Priority Mode 1 and 0 (PR1, PR0): These bits determine the order of pr iority for
channel execution when transfer requests are made for a number of channels simultaneously.
Bit 9: PR1 Bit 8: PR0 Description
0 0 CH0 > CH1 > CH2 > CH3 (Ini tial value)
1 CH0 > CH2 > CH3 > CH1
1 0 CH2 > CH0 > CH1 > CH3
1 Round robin mode
Bits 7 to 5—Reserved: These bits are always read as 0 , and shou ld only be written with 0.
Bit 4 (SH7750S)—Check Overrun for DREQ
DREQDREQ
DREQ (COD): When this bit is set to 1 , cancellation of
an accepted DREQ acceptance flag is enabled. When cancellation of an accepted DREQ
acceptance flag is enab led by setting COD to 1, clear CHCRn.DS to 0 and then negate DREQ (to
the high level). For details, see External Request Mode in section 14.3.2.
Bit 4: COD Description
0DREQ acceptance flag can ce llat ion di sabled (Initial value)
1DREQ acceptance flag cancellation enabled
Note: When external request mode is used in the SH7750S, recommend setting COD to 1
permanently.
Bit 4 (SH7750)—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 3—Reserved: This bit is always read as 0, and should only be written with 0.
Bit 2—Address Error Flag (AE): Indicates that an address error has occurred during DMA
transfer. If this bit is set during data transfer, transfers on all channels are suspended, and an
interrupt request (DMAE) is generated. The CPU cannot wr ite 1 to AE. This bit can only be
cleared by writing 0 after read ing 1.
Bit 2: AE Description
0 No address error, DMA transfer enabled (Initial value)
[Clearing cond iti on]
When 0 is written to AE after reading AE = 1
1 Address error, DMA transfer disabled
[Setting condition]
When an address error is caused by the DMAC
Rev. 6.0, 07/02, page 509 of 986
Bit 1—NMI Flag (NMIF): Indicates that NMI has been input. This bit is set regardless of
whether or not the DMAC is operating. If this bit is set during data transfer, tr ansfer s on all
channels are suspended. The CPU cannot write 1 to NMIF. This bit can only be cleared by writing
0 after reading 1.
Bit 1: NMIF Description
0 No NMI input, DMA transfer enabled (Initial value)
[Clearing cond iti on]
When 0 is written to NMIF after reading NMIF = 1
1 NMI input, DMA transfer disabled
[Setting condition]
When an NMI interrupt is generated
Bit 0—DMAC Master Enable (DME): Enables activation of the entire DMAC. When the DME
bit and the DE bit of the CHCR register for the co r responding channel are set to 1, th at channel is
enabled for transfer. If this bit is cleared during data transfer, tran sfers on all channels are
suspended.
Even if the DME bit has been set, tran sf er is not enabled when TE is 1 or DE is 0 in CHCR, or
when the NMI or AE bit in DMAOR is 1.
Bit 0: DME Description
0 Operation disabled on all channels (Initial value)
1 Operation enabled on all channels
Rev. 6.0, 07/02, page 510 of 986
14.3 Operation
When a DMA transfer request is issued, the DMAC starts the transfer according to the
predetermin ed channel priority order. It ends the transfer wh en the tr ansfer end conditions are
satisfied. Transfers can be requested in three modes: auto-request, external request, and on-chip
peripheral module request. There are two modes for DMA transfer: single address mode and dual
address mode. Either burst mode or cycle steal mode can be selected as the bus mode.
14.3.1 DMA Transfer Procedure
After the desired transfer cond itions have been set in the DMA source address register (SAR),
DMA destination address register (DAR), DMA transfer count register (DMATCR), DMA
channel control register (CHCR), and DMA operation register (DMAOR), the DMAC transfers
data according to the following procedure:
1. The DMAC checks to see if transfer is enabled (DE = 1, DME = 1, TE = 0, NMIF = 0, AE =
0).
2. When a transfer request is issued and transfer has been enabled, the DMAC transfers one
transfer unit of data (determined by the setting of TS2–TS0). In auto-request mode, the transfer
begins autom a tically when the DE bit and DME bit are set to 1. The DMATCR value is
decremented by 1 for each transfer. The actual transfer flow depends on the address mode and
bus mode.
3. When the specified number of transfers have been completed (when the DMATCR value
reaches 0), the transfer ends normally. If the IE bit in CHCR is set to 1 at this time, a DMTE
interrup t r equ est is sent to the CPU.
4. If a DMAC address error or NMI interrupt occurs, the transfer is suspended. Transfer is also
suspended when the DE bit in CHCR or the DME bit in DMAOR is cleared to 0. I n the event
of an address err or, a DMAE interrupt request is forc ibly sent to the CPU.
Figure 14.2 shows a flowchart of th is procedure.
Note: If tran sfer request is issued wh ile tr ansfer is disabled, th e transfer enable wait state
(transfer suspended state) is entered. Transfer is started when subsequently enabled (by
setting DE = 1, DME = 1, TE = 0, NMIF = 0, AE = 0)
Rev. 6.0, 07/02, page 511 of 986
Start
Initial settings
(SAR, DAR, DMATCR,
CHCR, DMAOR)
Illegal address check
(reflected in AE bit)
DE, DME = 1?
NMIF, AE, TE = 0?
Transfer
request issued?
*1
Transfer (1 transfer unit)
DMATCR - 1
DMATCR
Update SAR, DAR
DMTE interrupt request
(when IE = 1)
DMATCR = 0?
NMIF or
AE = 1 or DE = 0 or
DME = 0?
End of transfer Normal end
NMIF or
AE = 1 or DE = 0 or
DME = 0?
Bus mode,
transfer request mode,
detection
method
Transfer suspended
*4
*2
*3
No
No
Yes
Yes
Yes
No
No No
Yes
Yes
No
Yes
Notes: *1
In auto-request mode, transfer begins when the NMIF, AE, and TE bits are all 0, and the DE
and DME bits are set to 1.
*2
level detection (external request) in burst mode, or cycle steal mode.
*3
edge detection (external request) in burst mode, or auto-request mode in burst mode.
*4
An illegal address is detected by comparing bits TS2–TS0 in CHCRn with SARn and DARn.
Figure 14.2 DMAC Transfer Flowchart
Rev. 6.0, 07/02, page 512 of 986
14.3.2 DMA Transfer Requests
DMA transfer requests are basically generated at either the data transfer source or destination, but
they can also be issued by external devices or on-chip periph eral modules that are neither the
source nor the destination.
Transfers can be requested in three modes: auto-request, external request, and on-chip peripheral
module request. The transfer request mode is selected by means of bits RS3–RS0 in DMA channel
control registers 0–3 (CHCR0–CHCR3).
Auto Request Mode: When there is no transfer request signal from an external source, as in a
memory-to-memory transfer or a transfer between memory and an on-chip peripheral modu le
unable to requ e st a tr ansfer, the auto-request mode allows the DMAC to autom atically generate a
transfer re quest signal internally. When the DE bit in CHCR0 CHCR3 and th e DME bit in the
DMA operation register (DMAOR) are set to 1, the transfer begins (so long as the TE bit in
CHCR0–CHCR3 and the NMIF and AE bits in DMAOR are all 0).
External Request Mode: I n this mode a transf er is performed in respon se to a tr ansfer request
signal (DREQ) from an external device. One of the modes shown in table 14.4 should be chosen
according to the application system. If DMA transfer is enabled (DE = 1, DME = 1, TE = 0, NMIF
= 0, AE = 0), transfer starts when DREQ is input. The DS bit in CHCR0/CHCR1 is used to select
either falling edge detection or low level detection for the DREQ signal (level detection when DS
= 0, edge detection when DS = 1).
The source of the transfer request does not have to be the data transfer source or destination.
DREQ is accepted after a power-on reset if TE = 0, NMIF = 0, and AE = 0, but transfer is not
executed if DMA transfer is not enabled (DE = 0 or DME = 0).
In this case, DMA transfer is started when enabled (by setting DE = 1 and DME = 1).
Rev. 6.0, 07/02, page 513 of 986
Table 14.4 Selecting External Request Mode with RS Bits
RS3 RS2 RS1 RS0 Address Mode Transfer Source Transfer Destination
0 0 0 0 Dual address
mode External me mory
or memory-mapped
external devic e, or
external devic e with
DACK
External memory
or memory-mapped
external devic e, or
external devic e with
DACK
1 0 Single address
mode External me mory
or memory-mapped
external dev ice
External device
with DACK
1 Single address
mode External device with
DACK External memory
or memory-mapped
external dev ice
External Request Acceptance Conditions
1. When at least one of DMAOR.DME and CHCR.DE is 0, and DMAOR.NMIF,
DMAOR.AE, and CHCR.TE are all 0, if an external request (DREQ: edge-detected) is
input it will be held inside the DMAC until DMA transfer is either executed or canceled.
Since DMA transfer is not enabled in this case (DME = 0 or DE = 0), DMA transfer is not
initiated. DMA transfer is started after it is enabled (DME = 1, DE = 1, DMAOR.NMIF =
0, DMAOR.AE = 0, CHCR.TE = 0).
2. When DMA transfer is enabled (DME = 1, DE = 1, DMAOR.NMIF = 0, DMAOR.AE = 0,
CHCR.TE = 0), if an ex ternal request (DREQ) is input, DMA transfer is started.
3. An external request (DREQ) will be ignored if input when CHCR.TE = 1, DMAOR.NMIF
= 1, or DMAOR.AE = 1, or during a power-on reset or manual reset, in deep sleep mode or
standby mode, or while the DMAC is in the module standby state.
4. A previo usly input external request will be canceled by the occurrence of an NMI interrupt
(DMAOR.NMIF = 1) or address error (DMAOR.AE = 1), or by a power-on reset or
manua l r e set.
In the SH7750S, it is possible to cancel a previously input external request (DREQ). With
DMAOR.COD set to 1, clear CHCRn.DS to 0 and then drive the DREQ pin high.
On the SH7750R, it is possible to cancel an external request that has been accepted by
external request (DREQ) edge detection by first negating DREQ and then clearing
CHCR.DS from 1 to 0. Afterwards CHCR.DS should be reset to 1 and DREQ asserted .
(The SH7750R has no DMAOR.COD bit, but it is possible to cancel an external request
that has been accepted by external request (DREQ) edge detection, as is the case when the
DMAOR.COD bit of the SH7750S is set to 1.)
Usage Notes
An external request (DREQ) is detected by a low level or falling edge. Ensure that the external
request (DREQ) signal is held high when th ere is no DMA transfer request from an external
device after a power-on reset or manual reset.
Rev. 6.0, 07/02, page 514 of 986
When DMA transfer is restarted, check whether a DMA transfer request is being held.
On-Chip Perip heral Module Request Mode: In this mode a transfer is performed in response to
a transfer request signal (interrupt request signal) from an on-chip peripheral module. As shown in
table 14.5, there are seven transfer request signals: input capture in terrupts from the timer unit
(TMU), and receive-data-full interrupts (RXI) and transmit-data-empty interrupts (TXI) from the
two serial communication interfaces (SCI , SCIF). If DMA transfer is enabled (DE = 1, DME = 1,
TE = 0, NMIF = 0, AE = 0), transfer starts when a transfer request signal is input.
The source of the transfer request does not have to be the data transfer source or destination.
However, when the transfer request is set to RXI (transfer request by SCI/SCIF receive-data-full
interrupt), the transfer source must be the SCI/SCIF’s receive data register (SCRDR1/SCFRDR2).
When the transfer request is set to TXI (transfer request by SCI/SCIF transm it-data-empty
interrup t), the transfer destination must be the SCI/SCIF’s tr ansmit data register
(SCTDR1/SCFTDR2).
Table 14.5 Selecting On-Chip Peripheral Modu le Request Mode with RS Bits
RS3 RS2 RS1 RS0 DMAC Transfer
Request Source DMAC Transfer
Request Signal Transfer
Source Transfer
Destination Bus Mode
1000SCI transmitterSCTDR1 (SCI
transmit-data-
empty transfer
request)
External*SCTDR1 Cycle steal
mode
1 S CI receiver SCRDR1 (SCI
receive-data-full
transfer request)
SCRDR1 External*Cycle steal
mode
1 0 SCIF transmitter SCFTDR2 (SCIF
transmit-data-
empty transfer
request)
External*SCFTDR2 Cycle steal
mode
1 SCIF receiver SCFRDR2 (SCIF
receive-data-full
transfer request)
SCFRDR2 External*Cycle steal
mode
100TMU channel 2 Input capture
occurrence External*External*Burst/cycle
steal mode
1 TMU channel 2 Input capture
occurrence External*On-chip
peripheral Burst/cycle
steal mode
1 0 TMU channel 2 Input capture
occurrence On-chip
peripheral External*Burst/cycle
steal mode
TMU: Timer unit
SCI: Serial communication inter f ace
SCIF: Serial communication interface with FIFO
Notes: 1. SCI/SCIF burst transfer setting is prohibited.
Rev. 6.0, 07/02, page 515 of 986
2. If input capture interrupt acceptan ce is set for multiple channels and DE = 1 for each
channel, processing will be executed on the highest-priority channel in response to a
single input capture interrupt.
3. A DMA transfer request by means of an input capture interrupt can be canceled by
setting TCR2.ICPE1 = 0 and ICPE0 = 0 in the TMU.
*External memory or memory-mapped external device
To output a transfer request from an on-chip peripheral module, set the DMA transfer request
enable bit for that module and output a transfer request signal.
For details, see sections 12, Timer Unit (TMU), 15, Serial Communication Interface (SCI), and
16, Serial Communication Interface with FIFO (SCIF).
When a DMA transfer corresponding to a transfer request signal from an on-chip peripheral
module shown in table 14.5 is carried out, the signal is discontinued automatically. This occurs
every transfer in cycle steal mode, and in the last transfer in burst mode.
14.3.3 Channel Priorities
If the DMAC receives simultaneous transfer requests on two or more channels, it selects a channel
according to a predetermined priority system, either in a fixed mode or round robin mode. The
mode is selected with priority bits PR1 and PR0 in the DMA o peration register (DMAOR).
Fixed Mo de: In this mo de, the relative channel priorities re m a in fixed. The following priority
orders are available in fixed mode:
CH0 > CH1 > CH2 > CH3
CH0 > CH2 > CH3 > CH1
CH2 > CH0 > CH1 > CH3
The priority o rder is selected with bits PR1 and PR0 in DMAOR.
Round Robin Mode: In round robin mode, each time the transfer of one transfer unit (byte, word,
longword, quadword, or 32 bytes) ends on a given channel, that channel is assigned the lowest
priority level. This is illustrated in figure 14.3. The order of priority in round r obin mode
immediately after a reset is CH0 > CH1 > CH2 > CH3.
Note: In round robin mode, if no transfer request is accepted for any channel during DMA
transfer, the priority order becomes CH0 > CH1 > CH2 > CH3.
Rev. 6.0, 07/02, page 516 of 986
CH0 > CH1 > CH2 > CH3
CH1 > CH2 > CH3 > CH0
CH0 > CH1 > CH2 > CH3
Transfer on channel 0
Priority order after transfer
Initial priority order Channel 0 is given the lowest
priority.
Transfer on channel 1
Priority order after transfer
Initial priority order
Transfer on channel 2
Priority order after transfer
Initial priority order
Priority after transfer due to
issuance of a transfer request
for channel 1 only.
When channel 2 is given the
lowest priority, the priorities of
channels 0 and 1, which were
higher than channel 2, are
also shifted simultaneously. If
there is a transfer request for
channel 1 only immediately
afterward, channel 1 is given
the lowest priority and the
priorities of channels 3 and 0
are simultaneously shifted
down.
Transfer on channel 3
Initial priority order
Priority order after transfer
No change in priority order
CH0 > CH1 > CH2 > CH3
CH3 > CH0 > CH1 > CH2
CH2 > CH3 > CH0 > CH1
CH0 > CH1 > CH2 > CH3
CH0 > CH1 > CH2 > CH3
CH2 > CH3 > CH0 > CH1
When channel 1 is given the
lowest priority, the priority of
channel 0, which was higher
than channel 1, is also
shifted simultaneously.
Figure 14. 3 Round Robin Mode
Figure 14.4 shows the changes in priority levels when transfer requests are issued simultaneously
for channels 0 and 3, and channel 1 receives a tran sfer request during a transfer on channel 0. The
operatio n of the DMAC in this case is as follows.
Rev. 6.0, 07/02, page 517 of 986
1. Transfer requests are issued simultaneously for channels 0 and 3.
2. Since channel 0 has a higher priority level than channel 3, the channel 0 transfer is executed
first (channel 3 is on transfer standby).
3. A transfer request is issued for channel 1 during the channel 0 transfer (channels 1 and 3 are on
transfer standby).
4. At the end of the channel 0 transfer, chann e l 0 shif ts to the lowest priority level.
5. At this point, channel 1 has a higher priority level than channel 3, so the channel 1 transfer is
started (channel 3 is on transfer standby).
6. At the end of the channel 1 transfer, chann e l 1 shif ts to the lowest priority level.
7. The channel 3 transfer is started.
8. At the end of the channel 3 transfer, the channel 3 and channel 2 priority levels are lowered,
giving channel 3 the lowest priority.
3
1, 3
3
Transfer request Channel
waiting DMAC operation Channel priority
order
1. Issued for channels 0
and 3
3. Issued for channel 1
2. Start of channel 0
transfer 0 > 1 > 2 > 3
1 > 2 > 3 > 0
2 > 3 > 0 > 1
0 > 1 > 2 > 3
4. End of channel 0
transfer
5. Start of channel 1
transfer
6. End of channel 1
transfer
7. Start of channel 3
transfer
8. End of channel 3
transfer
Change of
priority order
Change of
priority order
Change of
priority order
None
Figure 14.4 Example of Changes in P r iority Order in Round Robin Mode
Rev. 6.0, 07/02, page 518 of 986
14.3.4 Types of DMA Transfer
The DMAC supports the transfers shown in table 14.6. It can operate in single address mode, in
which either the transfer source or the transfer destination is accessed using the acknowledge
signal, or in dual address mode, in which both the transfer source and tran sf er destin ation
addresses are output. The actual transfer operation timing depends on the bus mode, which can be
either burst mode or cycle steal mode.
Table 14.6 Supported DMA Tra nsfers
Transfer Destination
Transfer Source External Device
with DACK External
Memory Memory-Mapped
External Device On-Chip
Peripheral Module
External device
with DACK Not available Single address
mode Single address
mode Not available
External memory Single address
mode Dual address
mode Dual address mode Dual address mode
Memory-mapped
external dev ice Single address
mode Dual address
mode Dual address mode Dual address mode
On-chip peripheral
module Not available Dual addres s
mode Dual address mode Not available
Rev. 6.0, 07/02, page 519 of 986
Address Modes
Single Address Mode: In single address mode, both the transfer source and the transfer
destination are external; one is accessed by the DACK signal and the other by an address. In this
mode, the DMAC performs a DMA transfer in one bus cycle by simultaneously outputting the
external device strobe signal (DACK) to either the transfer source or transfer destination external
device to access it, while o utputting an address to the other side of the transfer. Figure 14.5 sho ws
an example of a transfer between external memory and an external device with DACK in which
the external d evice ou tputs da ta to the data bus and that data is written to extern al memory in the
same bus cycle.
DMAC
DACK
External
memory
External device
with DACK
SH7750 Series
External
address
bus
: Data flow
External
data bus
Figure 14.5 Data Flow in Single Address Mode
Two types of transfer are possible in single address mode: (1) transfer between an external device
with DACK and a memory-mapped external device, and (2) transfer between an external device
with DACK and exter nal memory. Only the external request signal (DREQ) is used in both these
cases.
Figure 14.6 shows the DMA transfer timing for single address mode.
The access timing depends on the type of external memory. For details, see the descriptions of the
memory interfaces in section 13 , Bus State Controller (BSC).
Rev. 6.0, 07/02, page 520 of 986
Address output to external memory
space
Data output from external device
with DACK
DACK signal to external
device with DACK
signal to external memory space
Address output to external memory
space
Data output from external memory
space
signal to external memory space
DACK signal to external
device with DACK
(a) From external device with DACK to external memory space
(b) From external memory space to external device with DACK
CKIO
A28–A0
CSn
D63D0
DACK
WE
CKIO
A28–A0
CSn
D63D0
RD
DACK
Figure 14.6 DMA Transfer Timing in Single Address Mode
Dual Address Mode: Dual address mode is used to access both the transfer source and the
transfer destination by address. The transfer source and destination can be accessed by either on-
chip peripheral module or external address.
Even if the operand cache is used in RAM mode, the RAM cannot be set as the transfer source or
transfer d e stination.
In dual address mode, data corresponding to the size specified by CHCRn.TS is read from the
transfer source in the data read cycle, and, in the data write cycle, it is transferred in two bus
cycles in order to write in the transfer destination the data corresponding to the size specified by
Rev. 6.0, 07/02, page 521 of 986
CHCRn.TS. In th is process, the transf er data is tem porarily stored in the d a ta buf f er in the bus
state controller (BSC).
In a transfer between external memories such as that shown in figure 14.7, data is read from
external mem ory into the BSC’s data buf f er in the read cycle, then written to th e other external
memory in the write cycle. Figure 14.8 shows the timing for this operation. The DACK o utput
timing is the sam e as that of CSn in a read or write cycle specified by the CHCRn.AM bit.
Data buffer
Address bus
Data bus
Address bus
Data bus
Memory
Transfer source
module
Transfer destination
module
Memory
Transfer source
module
Transfer destination
module
SAR
DAR
Data buffer
SAR
DAR
Taking the SAR value as the address, data is read from the transfer source module
and stored temporarily in the data buffer in the bus state controller (BSC).
1st bus cycle
2nd bus cycle
Taking the DAR value as the address, the data stored in the BSC’s data buffer is
written to the transfer destination module.
DMAC
BSC
BSC
DMAC
Figure 14.7 Operation in Dual Address Mode
Rev. 6.0, 07/02, page 522 of 986
CKIO
A26–A0
D63–D0
DACK
Transfer from external memory space to external memory space
Transfer source
address Transfer destination
address
Data read cycle
(1st cycle) Data write cycle
(2nd cycle)
Figure 14.8 Example of Transfer Timing in Dual Address Mode
Bus Modes
There are two bus modes, cycle steal mode and burst mode, selected with the TM bit in CHCR0–
CHCR3.
Cycle Steal Mode: In cycle steal mode, the DMAC releases the bus to the CPU at the end of each
transfer-u nit (8-bit, 16-bit, 32-bit, 64-bit, or 3 2-b yte) transfer. When the next transf er request is
issued, the DMAC reacquires the bus from the CPU and carries out another transfer-unit transfer.
At the end of this transfer, the bus is again given to the CPU. This is repeated un til the transfer end
conditio n is satisfied.
Cycle steal mode can be used with all categories of transfer request source, transfer source, and
transfer d e stination.
Figure 14.9 shows an example of DMA transfer timing in cycle steal mode. The transfer
conditions in this example are dual address mode and DREQ level detection.
Rev. 6.0, 07/02, page 523 of 986
CPUCPUDMACDMACCPUDMACDMACCPUCPUCPU
Bus cycle
Bus returned to CPU
Read Write Read Write
Figure 14.9 Example of DMA Transfer in Cycle Steal Mode
Burst Mode: In burst mode, once the DMAC has acquired the bus it holds the bus and transfers
data contin uously until the transfer end condition is satisfied. With DREQ low level detection in
external request mode, however, when DREQ is driven high the bus passes to another bus master
after the end of the DMAC transfer request that has already been accepted, even if the transfer end
condition has not been satisfied.
Figure 14.10 shows an example of DMA transfer timing in burst mode. The transfer conditions in
this example are single address mode and DREQ level detection (CHCRn.DS = 0, CHCRn.TM =
1).
CPUDMACDMACDMACDMACDMACDMACCPUCPUCPU
Bus cycle
Figure 14.10 Example of DMA Transfer in Burst Mode
Note: Burst mode can be set regardless of the transfer size. A 32 -byte block transfer burst mode
setting can also be made.
Relationship betw een DMA Tra nsf er Type, Request Mode, and Bu s Mode
Table 14.7 shows the relationship between the type of DMA transfer, the request mode, and the
bus mode.
Rev. 6.0, 07/02, page 524 of 986
Table 14. 7 Relationship bet ween DMA Tra nsfer Type, Request Mode, and Bus Mode
Address
Mode Type of Transfer Request
Mode Bus
Mode Transfer Size
(Bits) Usable
Channels
Single External device with DACK
and external memory External B/C 8/16/32/64/32B 0, 1 (2, 3)*6
External device with DACK
and memory-mapped
external dev ice
External B/C 8/16/32/64/32B 0, 1 (2, 3)*6
Dual External memory and
external memory Internal*1
External*7B/C 8/16/32/64/32B 0, 1, 2, 3*5 *6
External memory and
memory-mapped external
device
Internal*1
External*7B/C 8/16/32/64/32B 0, 1, 2, 3*5 *6
Memory-mapped external
device and memor y-m appe d
external dev ice
Internal*1
External*7B/C 8/16/32/64/32B 0, 1, 2, 3*5 *6
External memory and
on-chip peripheral module Internal*2B/C*38/16/32/64*40, 1, 2, 3*5 *6
Memory-mapped external
device and on- chip
peripheral module
Internal*2B/C*38/16/32/64*40, 1, 2, 3*5 *6
32B: 32-byte burst transfer
B: Burst
C: Cycle steal
External: External request
Internal: Auto-request or on-chip peripheral module request
Notes: *1 External request, auto-request, or on-chip peripheral module request (TMU input
capture interrupt request) possible. In the ca se of an on-chip peripheral module request,
it is not possible to specify external me mory data transfer with the SCI (SCIF) as the
transfer request source.
*2 External request, auto-request, or on-chip pe ripheral module request possible. If the
transfer request source is the SCI (SCIF), either the transfer source must be SCRDR1
(SCFRDR2) or the transfer destination must be SCTDR1 (SCFTDR2).
*3 When the transfer request source is the SCI (SCIF), only cycle steal mode can be used.
*4 Access size permitted for the on-chip peripheral module register that is the transfer
source or transfer destination.
*5 When the transfer request is an ex ternal request, only channels 0 and 1 can be used.
*6 In DDT mode, transfer requests can be accepted for all channels from external devices
capable of DTR format output.
*7 See tables 14.8 and 14.9 for the transfer sources and transfer destinations in DMA
transfer by means of an external request.
Rev. 6.0, 07/02, page 525 of 986
(a) Normal DMA Mode
Table 14.8 shows the memory interfaces that can be specified for the transfer source and transfer
destination in DMA transfer initiated by an external request supported by the SH7750 Series in
normal DMA mode.
Table 14.8 External Request Transfer Sources and Destinations in Normal Mode
Transfer Direction (Settable Memory Interface)
Transfer Source Transfer Destination Address
Mode
Usable
DMAC
Channels
1 Synchronous DRAM External device with DACK Single 0, 1
2 External device with DACK Synchronous DRAM Single 0, 1
3 SRAM-ty pe, DRAM Exte rnal dev ice with DACK Single 0, 1
4 External device with DACK SRAM-type, DRAM Single 0, 1
5 Synchronous DRAM SRAM-type, MPX, PCMCIA *Dual 0, 1
6 SRAM-type, MPX, PCMCIA *Synchronous DRAM Dual 0, 1
7 SRAM-typ e, DRAM, PCMCI A,
MPX SRAM-type, MPX, PCMCIA *Dual 0, 1
8 SRAM-type, MPX, PCMCIA *SRAM-type, DRAM, PCMCIA,
MPX Dual 0, 1
*: DACK output setting in dual address mode transfer
"SRAM-type" in the table indicates an SRAM, byte control SRAM, or burst ROM setting.
Notes: 1. Memory interfac es on which transfer is possible in single addres s mode are SRAM,
byte control SRAM, burst ROM, DRAM, and synchronous DRAM.
2. When performing dual address mode transfer, make the DACK output setting for the
SRAM, byte control SRAM, burst ROM, PCMCIA, or MPX interface.
(b) DDT Mode
Table 14.9 shows the memory interfaces that can be specified for the transfer source and transfer
destination in DMA transfer initiated by an external request supported by the SH7750 Series in
DDT mode.
Rev. 6.0, 07/02, page 526 of 986
Table 14.9 External Request Transfer Sources and Destinations in DDT Mode
Transfer Direction (Settable Memory Interface)
Transfer Source Transfer Destination Address
Mode
Usable
DMAC
Channels
1 Synchronous DRAM*1 External device with DACK Single 0, 1, 2, 3
2 External device with DACK Synchronous DRAM Single 0, 1, 2, 3
3 Synchronous DRAM SRAM-type, MPX, PCMCIA *2Dual 0, 1, 2, 3
4 SRAM-type, MPX, PCMCIA *2Synchronous DRAM Dual 0, 1, 2, 3
5 SRAM-typ e, DRAM, PCMCI A,
MPX SRAM-type, MPX, PCMCIA *2Dual 0, 1, 2, 3
6 SRAM-type, MPX, PCMCIA *2SRAM-type, DRAM, PCMCIA,
MPX Dual 0, 1, 2, 3
"SRAM-type" in the table indicates an SRAM, byte control SRAM, or burst ROM setting.
Notes: 1. The only memory interface on which single address mode transfer is possible in DDT
mode is synchronous DRAM.
2. When performing dual address mode transfer, make the DACK output setting for the
SRAM, byte control SRAM, burst ROM, PCMCIA, or MPX interface.
*1 In SH7750, the bus width must be 64 bits
*2 DACK output setting in dual address mode transfer
Bus Mode a nd Channel Prio rit y Order
When, for example, channel 1 is transferring data in burst mode, and a transfer request is issued to
channel 0, which has a higher priority, the channel 0 transfer is started immediately.
If fixed mode has been set for the priority levels (CH0 > CH1), transfer on channel 1 is continued
after transfer on channel 0 is completely finished, whether cycle steal mode or burst mode is set
for channel 0.
If round robin mode has been set for the priority levels, transfer on channel 1 is restarted after one
transfer unit of data is transferred on channel 0, whether cycle steal mode or burst mode is set for
channel 0. Channel execution alternates in the order: channel 1 channel 0 channel 1
channel 0.
An example of round robin mode operation is shown in figure 14.11.
Since channel 1 is in burst mode (in the case of edge sensing) regardless of whether fixed mode or
round robin mode is set for the prior ity or der, the bus is not released to the CPU u ntil channel 1
transfer ends.
Rev. 6.0, 07/02, page 527 of 986
CPU DMAC CH1 DMAC CH1 DMAC CH0 DMAC CH1 DMAC CH0 DMAC CH1 DMAC CH1 CPU
Priority system: Round robin mode
Channel 0: Cycle steal mode
Channel 1: Burst mode (edge-sensing)
CH0 CH1 CH0
CPU CPUDMAC channel 1
burst mode DMAC channel 0 and
channel 1 round robin
mode
DMAC channel 1
burst mode
Figure 14.11 Bus Handling with Two DMAC Channels Operating
Note: When channel 1 is in level-sensing burst mode with the settings shown in figure 14.11, the
bus is passed to the CPU during a break in requests.
14.3.5 Number of Bus Cycle States and DREQ
DREQDREQ
DREQ Pin Sampling Timing
Number of States in Bus Cycle: The number of states in the bus cycle when the DMAC is the
bus master is controlled by the bus state con tr oller (BSC) just as it is when th e CPU is the bus
master. See section 13, Bus State Controller (BSC), for details.
DREQ
DREQDREQ
DREQ Pin Sampling Timing: In external request mode, the DREQ pin is sampled at the rising
edge of CKIO clock pulses. When DREQ input is detected, a DMAC bus cycle is generated and
DMA transfer executed after four CKIO cycles at the earliest.
The second and subsequent DREQ sampling operations are performed one cycle after the start of
the first DMAC transfer bus cycle (in the case of single address mode).
DRAK is output for one cycle only, once each time DREQ is detected, regardless of the transfer
mode or DREQ detection method. In the case of burst mode edge detection, DREQ is sampled in
the first cycle only, and so DRAK is output in the first cycle only .
Operation: Figures 14.12 to 14.22 show the timing in each mode.
1. Cycle Steal Mode
In cycle steal mode, The DREQ sampling timing differs for dual address mode and single
address mode, and for level detection and edge detection of DREQ.
For example, in figure 14.12 (cycle steal mode, dual address mode, level detection), DMAC
transfer begins, at the earliest, four CKIO cycles after the first sampling operation. The second
sampling operation is perfor m e d one cycle after the start of the first DMAC transf er write
cycle. If DREQ is not detected at this time, sampling is executed in every subsequent cycle.
Rev. 6.0, 07/02, page 528 of 986
In figure 14.13 (cycle steal mode, dual address mode, edge detection), DMAC transfer begins,
at the earliest, five CKIO cycles after the first sampling operation. The second sampling
operation begins from the cycle in which the first DMAC transfer read cycle ends. If DREQ is
not detected at this time, sampling is executed in every subsequent cycle.
For details of the timing for various kinds of memory access, see section 13, Bus State
Controller (BSC).
Figure 14.18 shows the case of cycle steal mode, single address mode, and level detection. In
this case, too, transfer is started, at the earliest, four CKIO cycles after the first DREQ
sampling operation. The second sampling operation is performed one cycle after the start of
the first DMAC transfer bus cycle.
Figure 14.19 shows the case of cycle steal mode, single address mode, and edge detection. In
this case, transfer is started, at the earliest, five CKIO cycles after the first DREQ sampling
operation. The second sampling begins one cycle after the first assertion of DRAK.
In single address mode, the DACK signal is output every DMAC transfer cycle.
2. Burst Mode, Dual Address Mode, Level Detection
DREQ sampling timing in burst mode using dual address mode and level detection is virtually
the same as for cycle steal mode.
For example, in figure 14.14, DMAC transfer begins, at the earliest, four CKIO cycles after the
first sampling operation. The second sampling operation is performed one cycle after the start
of the first DMAC transfer write cycle.
In the case of dua l addr ess mode transfer initiated by an external request, the DACK signal can
be output in either the read cycle or the write cycle of the DMAC transfer according to the
specification of the AM bit in CHCR.
3. Burst Mode, Single Address Mode, Level Detection
DREQ sampling timing in burst mode using single address mode and level detection is shown
in figure 14.20.
In the example shown in figure 14.20, DMAC transfer begins, at the earliest, four CKIO cycles
after the first sampling operation, and the second sampling operation begins one cycle after the
start of the first DMAC transfer bus cycle.
In single address mode, the DACK signal is output every DMAC transfer cycle.
In figure 14.22, with a 32-byte data size, 64-bit bus width, and SDRAM: row hit write, DMAC
transfer begins, at the earliest, six CKIO cycles after the first sampling op eration. The second
sampling operation begins one cycle after DACK is asserted for the first DMAC transfer.
4. Burst Mode, Dual Address Mode, Edge Detection
In burst mode using dual address mode and edge detection, DREQ sampling is performed in
the first cycle only.
Rev. 6.0, 07/02, page 529 of 986
For example, in the case shown in figure 14.15, DMAC transfer begins, at the earliest, five
CKIO cycles after th e f ir st sampling operatio n. DMAC transfer th en continues until the end of
the number of data transfers set in DMATCR. DREQ is not sampled during this time, and
therefore DRAK is output in the first cycle only.
In the case of dua l addr ess mode transfer initiated by an external request, the DACK sig nal can
be output in either the read cycle or the write cycle of the DMAC transfer according to the
specification of the AM bit in CHCR.
5. Burst Mode, Single Address Mode, Edge Detection
In burst mode using single address mode and edge detection, DREQ sampling is performed
only in th e first cycle.
For example, in the case shown in figure 14.21, DMAC transfer begins, at the earliest, five
cycles after the fir st sampling operation. DMAC tran sfer then continu e s until the end of the
number of data transfers set in DMATCR. DREQ is not sampled during this time, and
therefore DRAK is output in the first cycle only.
In single address mode, the DACK signal is output every DMAC transfer cycle.
Suspension o f DMA Transfer in Case of DREQ
DREQDREQ
DREQ Level Detection
With DREQ level detection in burst mode or cycle steal mode, and in dual address mode or single
address mode, the external device for which DMA transfer is being executed can judge from the
rising edge of CKIO that DARK has been asserted, and suspend DMA transfer by negating
DREQ. In this case, the next DARK signal is not output.
Rev. 6.0, 07/02, page 530 of 986
Source address
Read Write Read
1st
acceptance 2nd
acceptance
Write
Bus locked
Source addressDestination address
Bus locked
Destination address
CPUCPU DMACCPU DMAC
DRAK0
(level
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
:
sampling and determination of channel priority
Figure 14.12 Dual Address Mode/Cycle Steal Mode
External Bus
External Bus /DREQ
DREQDREQ
DREQ (Level Detection), DACK (Read Cycle)
Rev. 6.0, 07/02, page 531 of 986
Source address
Read Write Read Read
3rd
acceptance 4th
accep-
tance
1st
acceptance 2nd
acceptance
Write
Bus locked
Source address Source addressDestination address
Bus locked
Destination address
CPU DMACCPU DMACCPU DMAC
DRAK0
(edge
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
:
sampling and determination of channel priority
Figure 14.13 Dual Address Mode/Cycle Steal Mode
External Bus
External Bus/DREQ
DREQDREQ
DREQ (Edge Detection), DACK (Read Cycle)
Rev. 6.0, 07/02, page 532 of 986
Source address
Read Write Read
1st
acceptance 2nd
acceptance
Write
Bus locked
Source addressDestination address
Bus locked
Destination address
CPUDMAC-2CPU DMAC-1
DRAK0
(level
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
: sampling and determination of channel priority
Figure 14.14 Dual Address Mode/Burst Mo de
External Bus
External Bus /DREQ
DREQDREQ
DREQ (Level Detection), DACK (Read Cycle)
Rev. 6.0, 07/02, page 533 of 986
Source address
Read Write Read
1st
acceptance
Write
Bus locked
Source addressDestination address
Bus locked
Destination address
CPUDMAC-2CPU DMAC-1
TE bit: transfer end
DRAK0
(edge
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
:
sampling and determination of channel priority
Figure 14.15 Dual Address Mode/Burst Mode
External Bus
External Bus/DREQ
DREQDREQ
DREQ (Edge Detection), DACK (Read Cycle)
Rev. 6.0, 07/02, page 534 of 986
Bus cycle
(B
cyc
:P
cyc
= 1:1)
On-chip
peripheral
address bus
CKIO
Source address
On-chip
peripheral
data bus
Read Read Read
D[63:0]
WriteWrite Write
Source addressSource address
A[25:0] Destination address Destination addressDestination address
CPU CPUDMAC
CPU DMACCPU DMAC
Figure 14.16 Dual Address Mode/Cycle Steal Mode
On-Chip SCI ( Lev el Detection)
External Bus
Rev. 6.0, 07/02, page 535 of 986
Bus cycle
CKIO
Source address
Read Read Read
D[63:0]
WriteWrite Write
Source addressSource address
A[25:0]
Destination address Destination addressDestination address
CPU DMAC
CPU DMACCPU DMAC
T1 T2T1 T2
T1 T2
On-chip
peripheral
address bus
On-chip
peripheral
data bus
(Bcyc:Pcyc = 1:1)
Figure 14.17 Dual Address Mode/Cycle Steal Mode
External Bus
On-Chip SCI ( Lev el Det ection)
Rev. 6.0, 07/02, page 536 of 986
ReadRead Read Read
1st
acceptance
CPUCPU CPUDMACCPU DMAC DMACCPU DMAC
Source address
2nd
acceptance
Source address
3rd
acceptance
Source address
4th
acceptance
Source address
DRAK0
(level
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
: sampling and determination of channel priority
Figure 14. 18 Single Address Mo de/Cycle Steal Mode
External Bus
External Bus/DREQ
DREQDREQ
DREQ (Level Detection)
Rev. 6.0, 07/02, page 537 of 986
Source address
Read Read Read
3rd
acceptance
1st
acceptance 2nd
acceptance
Source address Source address
CPU CPU
DMAC
CPU DMAC
CPU DMAC
DRAK0
(edge
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
:
sampling and determination of channel priority
Figure 14. 19 Single Address Mo de/Cycle Steal Mode
External Bus
External Bus /DREQ
DREQDREQ
DREQ (Edge Detection)
Rev. 6.0, 07/02, page 538 of 986
Source address
Read Read Read Read
4th
acceptance
3rd
acceptance
1st
acceptance 2nd
acceptance
Source address Source addressSource address
CPU DMAC-4DMAC-2 DMAC-3CPU DMAC-1
: sampling and determination of channel priority
DRAK0
(level
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
Figure 14. 20 Single Address Mo de/Burst Mode
External Bus
External Bus/DREQ
DREQDREQ
DREQ (Level Detection)
Rev. 6.0, 07/02, page 539 of 986
Source address
Read Read
TE bit: transfer end
ReadRead
1st
acceptance
Source addressSource addressSource address
CPUDMAC-2 DMAC-4DMAC-3CPU DMAC-1
DRAK0
(edge
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
: sampling and determination of channel priority
Figure 14. 21 Single Address Mo de/Burst Mode
External Bus
External Bus /DREQ
DREQDREQ
DREQ (Edge Detection)
Rev. 6.0, 07/02, page 540 of 986
DRAK0
: sampling and determination of channel priority
(level
detection)
DACK0
Bus cycle
A[25:0]
CKIO
D[63:0]
1st
acceptance
CPU
CPU
D1 D2 D4
Asserted 2 cycles before
start of bus cycle Asserted 2 cycles before
start of bus cycle Asserted 2 cycles before
start of bus cycle
2nd
acceptance 3rd
acceptance
DMAC-1 DMAC-2 DMAC-3
Destination
address Destination
address Destination
address
D1 D2 D4 D1 D2 D3 D4D3 D3
Figure 14. 22 Single Address Mo de/Burst Mode
External Bus
External Bus/DREQ
DREQDREQ
DREQ (Level Detection)/32-Byte Block Transfer
(Bus Width: 64 Bits, SDRAM: Row Hit Write)
Rev. 6.0, 07/02, page 541 of 986
14.3.6 Ending DMA Transfer
The conditions for ending DMA transfer are different for ending on individual channels and for
ending on all channels together. Except for the case where transfer ends when the value in the
DMA transfer count register (DMATCR) reaches 0, the fo llowing conditions apply to ending
transfer.
1. Cycle Steal Mode (External Request, On-Chip Peripheral Module Request, Auto-Request)
When a transfer end condition is satisfied, acceptance of DMAC transfer requests is
suspended. The DMAC completes transfer for the transfer requests accepted up to the point at
which the transfer end condition was satisfied , then stops.
In cycle steal mode, the operation is the same for both edge and level transfer request
detection.
2. Burst Mode, Edge Detection (External Request, On-Chip Peripheral Module Request, Auto-
Request)
The delay between the point at which a tr ansfer end condition is satisfied and the point at
which the DMAC actually stops is the same as in cycle steal mode. In burst mode with edge
detection, o nly the first transfer request activates the DMAC, but the timing of stop request
(DE = 0 in CHCR, DME = 0 in DMAOR) sampling is th e sam e as th e transfer request
sampling timing shown in 4 and 5 under Operation in section 14.3.5. Therefore, a transfer
request is regarded as having been issued until a stop request is detected, and the
corresponding processing is executed before the DMAC stops.
3. Burst Mode, Level Detection (External Request)
The delay between the point at which a tr ansfer end condition is satisfied and the point at
which the DMAC actually stops is the same as in cycle steal mode. As in the case of burst
mode with edge detection, the timing of stop request (DE = 0 in CHCR, DME = 0 in DMAOR)
sampling is the same as the transfer request sampling timing shown in 2 and 3 under Operation
in section 14 .3.5. Therefore, a transfer request is reg a r ded as having been issued un til a stop
request is detected, and the corresponding processing is executed before the DMAC stops.
4. Transfe r Suspension Bus Timin g
Transfer suspension is executed on completion of processing for one transfer unit. In dual
address mo d e tr ansfer , wr ite cycle processing is execu ted even if a tran sf er end condition is
satisfied during the read cycle, and th e transfers covered in 1, 2, and 3 above are also executed
before operation is suspended.
Rev. 6.0, 07/02, page 542 of 986
Conditions for Ending Transfer on Individual Channels: Transfer ends on the corresponding
channel when either of th e follo wing conditions is satisfied :
The value in the DMA transfer count register (DMATCR) reaches 0.
The DE bit in the DMA channe l control register (CHCR) is cleared to 0.
1. End of transfer when DMATCR = 0
When the DMATCR value reaches 0, DMA transfer ends on the corresponding channel and
the transfer end f lag (TE) in CHCR is set. If the interrupt enable b it (IE) is set at this time, an
interrup t ( DMTE) request is sent to the CPU.
Transfer ending when DMATCR = 0 does not fo llow the procedures described in 1, 2, 3, and 4
in section 14.3.6.
2. End of transfer when DE = 0 in CHCR
When the DMA enable bit (DE) in CHCR is cleared, DMA transfer is suspended on the
correspondin g channel. The TE bit is not set in this case. Transfer ending in this case follows
the procedures described in 1, 2, 3, and 4 in section 14.3.6.
Conditions fo r Ending Transfer Simultaneously on All Channel s: Transfer ends on all
channels simultaneously wh en either of the following conditions is satisfied:
The address error bit (AE) or NMI flag (NMIF) in the DMA operation register (DMAOR) is
set to 1.
The DMA master enable bit (DME) in DMAOR is cleared to 0.
1. End of transfer when AE = 1 in DMAOR
If the AE bit in DMAOR is set to 1 d ue to an address err or, DMA transfer is suspended on all
channels in acco rdan ce with the conditions in 1, 2, 3, and 4 in section 14 .3.6 , and th e bus is
passed to the CPU. Therefore, when AE is set to 1, the values in th e DMA source address
register (SAR), DMA destination address register (DAR), and DMA transfer count register
(DMATCR) indicate the addresses for the DMA transfer to be performed next and the
remaining number of transfers. The TE bit is n ot set in this case. Before resuming transfer, it is
necessary to make a new setting for the channel that caused the address error, then write 0 to
the AE bit after first reading 1 from it. Acceptance of extern al requests is suspended while AE
is set to 1, so a DMA transfer request must be reissued when resuming transfer. Acceptance of
intern a l requests is a l so suspended, so when resuming tra nsfer, the DMA transfe r r e quest
enable bit for the relevant on-chip peripheral module must be cleared to 0 before the new
setting is made.
Rev. 6.0, 07/02, page 543 of 986
2. End of transfer when NMIF = 1 in DMAOR
If the NMIF bit in DMAOR is set to 1 due to an NMI interrupt, DMA transfer is susp ended on
all channels in accordance with the conditions in 1, 2, 3, and 4 in section 14.3.6, and the bus is
passed to the CPU. Therefore, when NMIF is set to 1, the values in the DMA source address
register (SAR), DMA destination address register (DAR), and DMA transfer count register
(DMATCR) indicate the addresses for the DMA transfer to be performed next and the
remaining number of transfers. The TE bit is not set in this case. Before resuming transfer after
NMI interrupt handling is completed, 0 must be written to the NMIF bit after fir st reading 1
from it. As in the case of AE being set to 1, acceptance of external requests is suspended while
NMIF is set to 1, so a DMA tr a nsfer request mu st be reissued when resu ming transfer.
Acceptance of internal requests is also suspended, so when resuming transfer, the DMA
transfer request enable bit for the relevant on-chip peripheral module must be cleared to 0
before the new setting is made.
3. End of transfer when DME = 0 in DMAOR
If the DME bit in DMAOR is cleared to 0, DMA transfer is suspended on all channels in
accordance with the condition s in 1 , 2, 3, and 4 in section 14.3.6, and the bus is passed to the
CPU. The TE bit is not set in this case. When DME is cleared to 0, the values in the DMA
source address register (SAR), DMA destination address register (DAR), and DMA transfer
count register (DMATCR) indicate the addresses for the DMA transfer to be performed next
and the remaining number of transfers. When resuming transfer, DME must be set to 1.
Operation will th en be resumed from the next transfer.
Rev. 6.0, 07/02, page 544 of 986
14.4 Examples of Use
14.4.1 Examples of Transfer between External Memory and an External Device with
DACK
Examples of transfer of data in external memory to an external device with DACK using DMAC
channel 1 are considered here.
Table 14.10 shows the transfer conditions and the corresponding register settings.
Table 14.10 Conditions for Transfer between External Memory and an External Device
with DACK, and Corresponding Register Settings
Transfer Conditions Register Set Value
Transfer source: external memory SAR1 H'0C000000
Transfer source: external device with DACK DAR1 (Accessed by DACK)
Number of transfers: 32 DMATCR1 H'00000020
Transfer source address: decremented CHCR1 H'000022A5
Transfer destination address: (setting invalid)
Transfer request source: external pin (DREQ1)
edge detection
Bus mode: burst
Transfer unit: word
No interrupt request at end of transfer
Channel priority order: 2 > 0 > 1 > 3 DMAOR H'00000201
Rev. 6.0, 07/02, page 545 of 986
14.5 On-Demand Data Transfer Mode (DDT Mode)
14.5.1 Operation
Setting the DDT bit to 1 in DMAOR causes a transition to on-dem and data transfer mode (DDT
mode). In DDT mode, it is possible to specify direct single address mode transfer to channel 0 via
the data bus and DDT module, and simultaneously issu e a transfer request, using the DBREQ,
BAVL, TR, TDACK, and ID [1:0] signals between an external device and the DMAC. Figure
14.23 shows a block diagram of the DMAC, DDT, BSC, and an external device (with DBREQ,
BAVL, TR, TDACK, ID [1:0], and D [63:0] = DTR pins).
DMAC DDT Memory
External
device (with
, ,
, ,
and ID [1:0])
DTR
BSC
SAR0
DAR0
DMATCR0
CHCR0
DREQ0–3
Data buffer
bavl
ID[1:0]
ddtmode
Data
buffer
Address bus
ddtmode tdack id[1:0]
Data bus
Request
controller
FIFO or
memory
Figure 14.23 On-Demand Transfer Mode Block Diagram
For channels 0 to 3, af ter making the settings for normal DMA transfer using the CPU, a transfer
request can be issu ed from an external device using the DBREQ, BAVL, TR, TDACK, ID [1:0],
and D [63:0] = DTR signals (handshake protocol using the data bus). A transfer request can also
be issued simply by asserting TR, without using the external bus (handshake protocol without use
of the data bus). For channel 2, after making the DMA transfer settings in the normal way, a
transfer request can be issued directly from an external device (with DBREQ, BAVL, TR,
TDACK, ID [1:0], and D [63:0] = DTR pins) by asserting DBREQ and TR simultaneously.
Note: DTR format = Data transfer request form at
In DDT mode, there is a choice of five modes for performing DMA transfer.
Rev. 6.0, 07/02, page 546 of 986
1. Normal data transfer mode (channel 0)
BAVL (the data bus av ailable signal) is asserted in response to DBREQ (the data bus request
signal) from an external device. Two CKIO-synchronous cycles after BAVL is asserted, the
external data bus d rives the data transfer setting command (DTR comm a nd) in synchronization
with TR (the transfer request signal). The initial settings are th en made in the DMAC chann el
0 control register, and the DMA transfer is processed.
2. Normal data transfer mode (channels 1 to 3)
In this mode, the data transfer settings are made in the DMAC from the CPU, and DMA
transfer requests only are performed from the external device.
As in 1 above, DBREQ is asserted from the external device and th e external bus is secured,
then the DTR fo r mat is driven.
The transfer request channel can be specified by means of the two ID bits in the DTR format.
3. Handshake protocol using the data bus (valid for channel 0 only)
This mode is only valid for channel 0.
After the initial settings have been made in the DMAC channel 0 control register b y means of
normal data transfer mode (channel 0) in the SH7750, or after the initial settings have been
made in the DMAC channel 0 control register from th e CPU or by means of normal data
transfer mode (channel 0) in the SH7750S, the DDT module asserts a data transfer request for
the DMAC by setting DTR format ID = 00, MD = 00, and SZ 101 or 110, and driving the
DTR format.
4. Handshake protocol without use of the data bus
The DDT module includes a function for recording the previously asserted request channel. By
using this f unction, it is possib le to assert a transfer r e quest f or the channel for which a request
was asserted immediately before, by asserting TR only from an external device after a transfer
request ha s once been made to the channel for which an initial setting has been made in the
DMAC control re gister (DTR form at and data transfer setting by th e CPU in the DMAC).
5. Direct data transfer mode (valid for channel 2 only)
A data transfer request can be asserted for channel 2 by asserting DBREQ and TR
simultaneou sly f r om an external device after the initial setting s h ave been made in the DMAC
channel 2 control register.
Rev. 6.0, 07/02, page 547 of 986
14.5.2 Pins in DDT Mode
Figure 14.24 shows the system configuration in DDT mode.
Synchronous
DRAM
/DREQ0
/DRACK0
/DREQ1
/DACK0
ID1, ID0/DRAK1, DACK1
CLK
D63D0
External device
SH7750 Series
A25–A0, RAS, CAS, WE, DQMn, CKE
Figure 14. 24 System Configuration in On- Demand Data Tra nsf er Mode
DBREQ
DBREQDBREQ
DBREQ: Data bus release req uest signal fo r tr ansmitting the data tran sfer request format (DTR
format) or a DMA request from an external device to the DMAC
If there is a wait for release of the data bus, an external device can have the data bus released
by asserting DBREQ. When DBREQ is accepted, the BSC asserts BAVL.
BAVL
BAVLBAVL
BAVL: Data bus D63–D0 release signal
Assertion of BAVL means that the data bus will be released two cycles later.
The SH-4 do es not switch the data pins to output status for a total of three cycles: the cycle in
which the data bus is released and the cycles preceding and following it.
TR
TRTR
TR: Transfer request signal
Assertion of TR has the following different meanings.
In normal data transfer mode (channel 0), TR is asserted, and at the same time the DTR
format is output, two cycles after BAVL is asserted.
In the case of the handshake protocol without use of the data bus, asserting TR enables a
transfer request to be issued for the channel for which a transfer request was made
immediately before. This function can be used only when BAVL is not asserted two cycles
earlier.
In the case of direct data transfer mode (valid only for channel 2), a direct transfer request
can be made to channel 2 by asserting DBREQ and TR simultaneously.
Rev. 6.0, 07/02, page 548 of 986
TDACK
TDACKTDACK
TDACK: Reply strobe signal for external device from DMAC
The assert timing of this signal is th e same as the DACKn assert timin g of the memory
interfaces.
Note that it is a low active signal.
ID1, ID0: Channel number notification signals
00: Channel 0 (means demand data transfer)
01: Channel 1
10: Channel 2
11: Channel 3
Data Transfer Request Format
SZ ID MD COUNT ADDRESS
R/W
63 61 60 59 57 55 48 31 0
(Reserved)
Figure 14.25 Data Transfer Request Format
The data transfer request format (DTR format) consists of 64 bits, with connection to D[63:0]. In
the case of normal data transfer mode (channel 0, except channel 0) and the handshake protocol
using the data bus, the transfer data size, read/write access, channel number, transfer request
mode, number of transfers, and transfer source or transfer destination address are specified. A
specification in bits 47–32 is invalid.
In the SH7750, only single address mode can be set in normal data transfer mode (channel 0).
With the DTR format, DS = (0: MD = 10, 11, 1: MD = 01), RL = 0, AL = 0, DM[1:0] = 01 ,
SM[1:0] = 01, RS[3:0] = (0010: R/W = 0, 0011: R/W = 1), TM = (0: MD = 11, 1: MD = 01, 10),
TS[2:0] = (SZ), and IE = 0 settings are made in DMA channel control register 0, COUNT is set in
transfer count register 0, and ADDRESS is set in source/destination ad dress register 0. Therefore,
in DDT mode, the above control registers cannot be written to by th e CPU, but can be read.
In the SH7750 S, DMAC co ntrol registers CHCR0, SAR0, DAR0, and DMATCR0 can be written
to and read by the CPU ev en in normal data transfer mod e (channel 0). Caution is necessary in this
case, as a DMAC control register written to by the CPU will be overwritten by a subsequent
transfer request (MD[1:0] = 01, 10, or 11) using the DTR format.
Bits 63 to 61: Transmit Size (SZ2–SZ0)
000: Byte size (8-bit) specification
001: Word size (16-bit) specification
010: Longword size (32-bit) specification
Rev. 6.0, 07/02, page 549 of 986
011: Quadword size (64-bit) specification
100: 32-byte block transfer specification
101: Setting prohibited
110: Request queue clear specification
111: Transfer end specification
Bit 60: Read/Write (R/W)
0: Memory read specification
1: Memory write specificatio n
Bits 59 and 58: Channel Number (ID1, ID0)
00: Channel 0 (demand data transfer)
01: Channel 1
10: Channel 2
11: Channel 3
Bits 57 and 56: Transfer Request Mode (MD1, MD0)
00: Handshake protocol (data bus used)
01: Burst mode (edge detection) specification
10: Burst mode (level detection) specification
11: Cycle steal mode specification
Bits 55 to 48: Transfer Count (COUNT7–COUNT0)
Transfer count: 1 to 255
00000000: Maximum number of transfers (16M)
Bits 47 to 32: Reserved
Bits 31 to 0: Address (ADDRESS31–ADDRESS0)
R/W = 0: Transfer source address specification
R/W = 1: Transfer destination address specification
Notes: 1. Only th e ID field is valid for channels 1 to 3.
2. To start DMA transfer by means o f demand data transfer on channel 0, the initial value
of MD in the DTR format must be 01, 10, or 11.
3. The COUNT field is ignored if MD = 00.
4. In edge-sense burst mode, DMA transfer is executed continuously. In level-sense burst
mode and cycle steal mode, a handshake protocol is used to transfer each unit of data.
5. The maximum number of transfers can be specified by settin g COUNT = 0 as DTR
format initialization data. If the amount of data to be transferred is unknown, set
COUNT = 0, start DMA transfer, and transfer the DTR format (ID = 00, MD 00, SZ
Rev. 6.0, 07/02, page 550 of 986
= 111) when the required amount of data has been transferred. This will terminate
DMA transfer on channel 0.
In this case, the TE bit in DMA channel control register 0 is not set, but transfer cannot
be restarted.
6. When port functions are used (BCR2.PORTEN = 1) and DDT mode is selected, input
the DTR format f or D[63:5 2] and D[31:0]. In this case, if ID[1:0] = 00, input MD[1 :0 ]
and SZ 101, 110.
14.5.3 Transfer Request Acceptance on Each Channel
On channel 0, a DMA data transfer request can be made by means of the DTR format. No further
transfer requests are accepted between DTR format acceptance and the end of the data transfer.
On channels 1 to 3, output a transfer request from an external device by means of the DTR format
(ID = 01, 10, or 11) after making DMAC control register settings in the same way as in normal
DMA mode. Each of channels 1 to 3 has a request queue that can accept up to four transfer
requests. When a request queue is full, the fifth and subsequent transfer requests will be ignored,
and so transfer requests must not be output.
When CHCR.TE = 1 when a transfer request remains in the request queue and a transfer is
completed, the request queue retains it. When another transfer reque st is sent at that time, the
transfer request is added to the request queue if the request queue is vacant.
Rev. 6.0, 07/02, page 551 of 986
Tb Tc Td Te Tf ThTa
Row
H/L
Tg TkTjTi
tAD
Tm Tn To Tp Tq TsTl Tr TvTuTt Tw
tAD
tAD
tCSD tCSD
Row
c1
Row
tDQMD tDQMD
tRASD
tDTRS tDTRH tRDS tRDH
tCASD2 tCASD2
tRWD
DMAC Channel
tIDD tIDD
tBSD
DTR1CKIO cycle (10nsF100MHz)
tDBQS [2CKIO cycle - tDTRS] (18nsF100MHz)
tTRHtTRS
tBAVD
CKIO
BANK
Precharge-sel
Address
DQMn
ID1ID0
D63D0
(READ)
n
RD/
tTDAD tTDAD
c1 c2 c3 c4
tDBQH
tBAVD
tRASD
tBSD
F igure 14.26 Single Address Mode: Synchronous DRAM
Extern al De vice L ongword Trans fer
SDRAM auto-precharge Read bus cycle, burst (RCD[1:0] = 01, CAS latency = 3,
TPC[2:0] = 001)
Rev. 6.0, 07/02, page 552 of 986
Tb Tc Td Te Tf ThTa
Row
H/L
Tg TkTjTi
tAD
Tm Tn To Tp Tq TsTl Tr TvTuTt Tw
tAD
tAD
tCSD tCSD
Row
c1
Row
tDQMD tDQMD
tDTRS tDTRH
tCASD2 tCASD2
tWDD
DMAC Channel tIDD
tBSD
DTR 1CKIO cycle (10ns 100MHz)
tDBQS [2CKIO cycle - tDTRS] (18ns F100MHz)
tTRHtTRS
tBAVD
tRASDtRASD
tTDADtTDAD
tRWD tRWD
tDBQH
tBAVD
tBSD
tIDD
tWDD
c1 c2 c3 c4
CKIO
BANK
Precharge-sel
Address
DQMn
ID1–ID0
D63–D0
(READ)
RD/
Figure 14.27 Single Address Mode: External Device
Synchrono us DRAM L ongword Transfer
SDRAM aut o-p rech ar ge W ri te bus cycl e, bu rs t ( RCD[ 1:0 ] = 01 , TRWL [2 :0] = 101,
TPC[2:0] = 001)
Rev. 6.0, 07/02, page 553 of 986
Tb Tc Td Te Tf ThTa Tg TkTjTi Tm Tn To Tp Tq TsTl Tr Tt
tRWD
tDBQS
CKIO
BANK
Precharge-sel
Addr
DQMn
ID1-ID0
D63-D0
(READ)
RD/
tAD
tCSD
tAD
tCSD
Row
Row
Row
tAD
c1
H/L
tRASD
tDQMD
tCASD2 tCASD2
tRDS
tBSD tBSD
c1 c2 c4c3
tDQMD
tRDH
DMAC Channel
tTDAD
tTRS tTRH
tBAVD
[2CKIO cycles - tDTRS] (= 18ns: 100MHz)
DTR= 1CKIO cycle (= 10ns: 100MHz)
tDTRS tDTRH
tDBQH
tBAVD
tRASD
tTDAD
DMAC Channel
Figure 14.28 Dual Address Mode/Synchronous DRAM
SRAM Longword Transfer
Rev. 6.0, 07/02, page 554 of 986
CLK
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0 RA CA
D0 D1 D2 D3
RD
BA
DTR
00
Figure 14. 29 Single Address Mo de/Burst Mode/External B us
External Device 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer
RA CA
WT
BA
D0 D1 D2 D3 D5
D4DTR
CLK
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0
Figure 14. 30 Single Address Mode/Burst Mode/External Device
External Bus 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer
Rev. 6.0, 07/02, page 555 of 986
RA CA CA CA
D1D0DTR
BA RD RD RD
0000
CLK
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0
DQMn
Figure 14. 31 Single Address Mo de/Burst Mode/External B us
External Device 32-Bit
Transfer/Channel 0 On-Demand Data Transfer
Rev. 6.0, 07/02, page 556 of 986
RA CA CA
D1D0DTR
BA WT WT
CLK
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0
DQMn
Figure 14. 32 Single Address Mode/Burst Mode/External Device
External Bus 32-Bit
Transfer/Channel 0 On-Demand Data Transfer
Rev. 6.0, 07/02, page 557 of 986
CA CA
D0 D1DTR
MD = 00
D0 D1 D2 D3
WT WT
DTR
MD = 10 or 11
Start of data transfer Next transfer request
CLK
ID1, ID0
D63–D0
A25–A0
CMD
Figure 14.33 Handshake Protocol Using Data Bus
(Channel 0 On-Demand Data Transfer)
Rev. 6.0, 07/02, page 558 of 986
CA CA
D0 D1 D2 D3 D0 D1 D2 D3
WTWT
MD = 10 or 11
Start of data transfer Next transfer request
CLK
ID1, ID0
DTR
D63–D0
A25–A0
CMD
Figure 14.34 Handshake Protocol without Use of Data Bus
(Channel 0 On-Demand Data Transfer)
Rev. 6.0, 07/02, page 559 of 986
CLK
A25–A0
D63–D0
RAS, CAS,
WE
D0
RA CA
D1 D2 D3
BA RD
Figure 14.35 Read from Synchronous DRAM Precharge Bank
CLK
A25–A0
D63D0
RAS, CAS,
WE
RA CA
D0 D1 D2 D3
PCH BA RD
Transfer requests can be accepted
Figure 14.36 Read from Synchronous DRAM Non-Precharge Bank (Row Miss)
Rev. 6.0, 07/02, page 560 of 986
CLK
A25–A0
D63–D0
RAS, CAS,
WE
CA
RD
D0 D1 D2 D3
Figure 14.37 Read from Synchronous DRAM (Row Hit)
CLK
A25–A0
D63D0
RAS, CAS,
WE
RA CA
BA WT
D0 D1 D2 D3
Figure 14.38 Write to Synchronous DRAM Precharge Bank
Rev. 6.0, 07/02, page 561 of 986
CLK
A25–A0
D63–D0
RAS, CAS,
WE
RA CA
D0 D1 D2 D3
PCH BA WT
Transfer requests can be accepted
Figure 14.39 Write to Synchronous DRAM Non-Precharge Bank (Row Miss)
CLK
A25–A0
D63–D0
RAS, CAS,
WE
D0
CA
D1 D2 D3
WT
Figure 14.40 Write to Synchronous DRAM (Row Hit)
Rev. 6.0, 07/02, page 562 of 986
00
D0 D1 D2
RA CA
RDBA
DTR
CLK
ID1, ID0
RAS,
CAS, WE
D63D0
A25A0
Figure 14. 41 Single Address Mo de/Burst Mode/External B us
External Device 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer
Rev. 6.0, 07/02, page 563 of 986
DMA Operation Register (DMAOR)
31 15 9 8 2 1 0
DDT PR[1:0] AE
NMIF
DME
COD
(SH7750S)
4
DDT: 0: Normal DMA mode
1: On-demand data transfer mode
Figure 14.42 DDT Mode Setting
DTR
MD = 01
CA CA
D0 D1 D2 D3 D0 D1 D2 D3 D1 D2 D3
WTWT
CLK
ID1, ID0
CMD
D63D0
A25A0
Start of data transfer
No DMA request sampling
Figure 14. 43 Single Address Mo de/Burst Mode /Edge Detection/
External Device
External Bus Da ta Tra n sfer
Rev. 6.0, 07/02, page 564 of 986
CA CA
D0 D1 D2 D3 D0 D1 D2 D3DTR
MD = 10
RD RD
Start of data transfer
Wait for next DMA request
CLK
ID1, ID0
CMD
D63–D0
A25–A0
Figure 14. 44 Single Address Mode/Burst Mode/Level Detection/
External Bus
External Device Data Transfer
CA CACA
RD RD
RD
DTR D0 D3
D2
CLK
ID1, ID0
DQMn
D63–D0
A25–A0
CMD
Idle cycle Idle cycle Idle cycle
MD = 01
Figure 14. 45 Single Address Mode/Burst Mode/Edge Detection/Byte, Word, Lo ngword,
Quadword/External Bus
External Device Data Transfer
Rev. 6.0, 07/02, page 565 of 986
CA CACA
WT
DTR D0 D3
D1
CLK
ID1, ID0
DQMn
D63D0
A25A0
CMD
Idle cycle
MD = 01
Idle cycle Idle cycle
WT WT
Figure 14. 46 Single Address Mode/Burst Mode/Edge Detection/Byte, Word, Lo ngword,
Quadword/External Device
External Bus Data Transfer
Rev. 6.0, 07/02, page 566 of 986
DTR
ID = 1, 2, or 3
RA CA
BA RD
D0 D1 D2 D3
CLK
ID1, ID0
RAS,
CAS, WE
D63D0
A25A0
01 or 10 or 11
Figure 14.47 Single Address Mode/Burst Mode/32- Byte Block Transfer/DMA Transfer
Request to Channels 1–3 Using Data Bus
Rev. 6.0, 07/02, page 567 of 986
RA CA
BA RD
10
D0 D1 D2 D3 D4 D5 D6 D7
CLK
ID1, ID0
RAS,
CAS, WE
D63D0
A25A0
No DTR cycle, so requests can be made at any time
Figure 14.48 Single Address Mode/Burst Mode/32- Byte Block Transfer/
External Bus
External Device Data Transfer/
Direct Data Transfer Request to Channel 2 without Using Data Bus
Rev. 6.0, 07/02, page 568 of 986
CLK
ID1, ID0
D63D0
A25A0
RAS,
CAS, WE
3rd 4th
5th
Four requests can be queued Handshaking is necessary
to send additional requests
Must be ignored
(no request transmitted)
CA CARA
BA RD
NOP
RD
CA
D1 D2 D3 D0 D1 D2 D3 D1 D2
RD
D0
D0
No more requests
2nd
1st
Figure 14. 49 Single Address Mo de/Burst Mode/External B us
External Device Data
Transfer/Direct Data Transfer Request to Channel 2
Rev. 6.0, 07/02, page 569 of 986
CLK
ID1, ID0
D63–D0
A25–A0
RAS,
CAS, WE
3rd 4th
5th
Four requests can be queued Handshaking is necessary
to send additional requests
CA CA
RA CA CA
D0 D1 D2 D3 D0 D1 D2 D3 D0 D1 D2 D3
BA WT
WT WT WT
Must be ignored
(no request transmitted)
2nd
1st
Figure 14. 50 Single Address Mode/Burst Mode/External Device
External Bus Data
Transfer/Direct Data Transfer Request to Channel 2
Rev. 6.0, 07/02, page 570 of 986
CLK
ID1, ID0
D63–D0
A25–A0
RAS,
CAS, WE
3rd 4th
5th
CA CA CA
CA
D0 D1 D2 D3 D0 D1 D2 D3 D0 D1 D2
RD RD
RDRD
Four requests can be queued Handshaking is necessary
to send additional requests
Must be ignored
(no request transmitted)
2nd
1st
Figure 14. 51 Single Address Mo de/Burst Mode/External B us
External Device Data
Transfer (Active Bank Address)/Direct Data Transfer Request to Channel 2
Rev. 6.0, 07/02, page 571 of 986
CLK
ID1, ID0
D63D0
A25A0
RAS,
CAS, WE
3rd 4th 5th
CA CA CA CA
D0 D1 D2 D3 D0 D1 D2 D3 D0 D1 D2 D3
WT
WT WT WT
Four requests can be queued Handshaking is necessary
to send additional requests
Must be ignored
(no request transmitted)
2nd
1st
Figure 14. 52 Single Address Mode/Burst Mode/External Device
External Bus Data
Transfer (Active Bank Address)/Direct Data Transfer Request to Channel 2
14.5.4 Notes on Use of DDT Module
1. Normal data transfer mode (channel 0)
Initial settings for channel 0 demand transfer must be DTR.ID = 00 and DTR.MD = 01, 10, or
11. In this case, only single address mode can be set for channel 0.
2. Normal data transfer mode (channels 1 to 3)
If a setting of DTR.I D = 01, 10, or 11 is made, DTR.MD will be ignored.
3. Handshake protocol using the data bus (valid on channel 0 only)
a. The handshake protocol using the data bus can be executed only on channel 0. (Set
DTR.ID = 00, DTR.MD = 00, DTR.SZ 101 or 110. Operation is not guaranteed if
settings of DTR.ID = 00, DTR.MD = 00, and DTR.SZ = 101 or 110 are made.)
b. If, during execution of the handshake protocol using the data bus for channel 0, a request is
input for one of channels 1 to 3, and after that DMA transfer is executed settings of
DTR.ID = 00, DTR.MD = 00, and DTR, SZ 101.110 are input in the handshake protocol
using the da ta bus, a tran sfer request will be asserted for channel 0.
Rev. 6.0, 07/02, page 572 of 986
c. In the SH7750S and SH7750R, initial settings can be made in the DMAC channel 0 control
register from the CPU (possible settings are CHCR0.RS = 0000, 0010, or 0011). If settings
of DTR.ID = 00, DTR.MD = 00, and DTR.SZ 101 or 110 are subsequently input, a
transfer request to channel 0 will be asserted.
4. Handshake protocol without use of the data bus
a. With the handshake protocol without use of the data bus, a DMA transfer request can be
input to the DMAC again for the channel for which transfer was requested immediately
before by asserting TR only.
b. When using the handshake protocol without use of the data bus, first make the necessary
settings in the DMAC co ntrol register s.
c. When not using the handshake protocol without use of the data bus, if TR only is asserted
without outputting DTR, a request will be issued for the channel for which DMA transfer
was requested immediately before. Also, if the first DMA transfer request after a power-on
reset is input by asserting TR only, it will be ignored and the DMAC will not operate.
d. If TR only is asserted by means of the handshake protocol without use of the data bus and a
DMA transfer request is input when channel 0 DMA transfer has ended and CHCR0.TE =
1, the DMAC will freeze. Before issuin g a DMA transfer request, the TE flag must be
cleared by writing CHCR0 . TE = 0 after reading CHCR0.TE = 1.
5. Direct data transfer mode (valid on channel 2 only)
a. If a DMA transfer request for channel 2 is input by simultaneous assertion of DBREQ and
TR during DMA transfer execution with the handshake protocol without use of the data
bus, it will be accepted if th ere is space in the DDT channel 2 request queue.
b. In direct data transfer mode (with DBREQ and TR asserted simulta neously ), DBREQ is not
interpreted as a bus arbitration signal, and therefore the BAVL signal is never asserted.
6. Request queue transfer request acceptance
a. The DDT has four request queues for each of channels 1 to 3. When these request queues
are full, a DMA transfer req u e st from an exter nal device will be ignored.
b. If a DMA transfer request for channel 0 is input during execution of a channel 0 DMA bus
cycle, the DDT will ignore that request. Confirm that channel 0 DMA transfer has finished
(burst mode) or that a DMA bus cycle is not in progress (cycle steal mode).
7. DTR format
a. Th e DDT module processes DTR.ID, DTR.MD, and DTR.SZ as follows.
When DTR.ID = 00
MD = 00, SZ 101, 110: Handshake protocol using the data bus
•MD 00, SZ = 111: CHCR0.DE = 0 setting (DMA transfer end request)
•MD 10, SZ = 110: DDT request queue clear
When DTR.ID 00
Transfer request to channels 1—3 (items other than ID ignored)
Rev. 6.0, 07/02, page 573 of 986
8. Data transfer end request
a. A data transfer end request (DTR.ID = 00, MD 00, SZ = 111) cannot be accepted during
channel 0 DMA transfer. Therefore, if edge detection and burst mode are set for channel 0,
transfer cannot be ended midway.
b. When a transfer end request (DTR.ID = 00, MD 00, SZ = 111) is accepted, the values set
in CHCR0, SAR0, DAR0, and DMATCR0 are retained. With the SH7750, execution
cannot be restarted from an external device in this case. To restart execution in the
SH7750S and SH7750R, set CHCR0.DE = 1 with an MOV instruction.
9. Request queue clearance
a. When settings of DTR.ID = 00, DTR.MD = 10, and SZ = 110 are accepted by the DDT in
normal data transfer mode, DDT channel 0 requests and channel 1 to 3 request queues are
all cleared. All external requests held on the DMAC side are also cleared.
b. In case 4-d, the DMAC freeze state can be cleared.
c. When settings of DMAOR.DDT = 1, DTR.ID = 00, DTR.MD = 10, and SZ = 110 are
accepted by the DDT in case 11, the DMAC freeze state can be cleared.
10.DBREQ assertion
a. After DBREQ is asserted, do not assert DBREQ again until BAVL is as serted, as this will
result in a discrepancy between the number of DBREQ and BAVL assertions.
b. The BAVL assertion period due to DBREQ assertion is one cycle.
If a row address miss occurs in a read or write in the non-precharged bank during
synchronous DRAM access, BAVL is asserted for a number of cycles in accordance with
the RAS prechar ge interval set in BSC.MCR.TC P.
c. It takes one cycle for DBREQ to be accepted by the DMAC after being asserted by an
external device. If a row address miss occurs at this time in a read or write in the non-
precharged bank during synchronous DRAM access, and BAVL is asserted, the DBREQ
signal asserted by the external device is ignored. Therefore, BAVL is not asserted again
due to th is signal.
11.Clearing DDT mode
Check that DMA transf er is not in progress on any channel before setting the DMAOR.DDT
bit. If the DMAOR.DDT setting is changed from 1 to 0 during DMA transfer in DDT mode,
the DMAC will freeze.
This also applies when switching from normal DMA mode (DMAOR.DDT = 0) to DDT
mode.
12.Confirming DMA transfer requests and number of transfers executed
The channel associated with a DMA bus cycle being executed in response to a DMA transfer
request can be confirmed by determining the level of external pins ID1 and ID0 at the rising
edge of the CKIO clock while TDACK is asserted.
(ID = 00: channel 0; ID = 01: channel 1; ID = 10: channel 2; ID = 11: channel 3)
Rev. 6.0, 07/02, page 574 of 986
14.6 Configuration of the DMAC (SH7750R)
14.6.1 Block Diagram of the DMAC
Figure 14.53 is a block diagram of the DMAC in the SH7750R.
Request
8
dmaqueclr0-7
queclr0–7
SAR0, DAR0, DMATCR0,
CHCR0 only
DDTMODE
BAVL
48 bits
CH0 CH1 CH2 CH3
CH4 CH5 CH6 CH7
Request controller
DTR command buffer
DDT module
DDTD
External bus
tdack
id[2:0]
ID[1:0]
D[63:0]
DBREQ
/
SARn
DARn
DMATCRn
CHCRn
DMAOR
Bus
interface
Peripheral bus
Internal bus
DMAC module
Count control
Registr control
Activation
control
Request
priority
control
32B data
buffer
Bus state
controller
On-chip
peripheral
module
External address/on-chip
peripheral module address
TMU
SCI, SCIF
DACK0, DACK1
DRAK0, DRAK1
,
DMAORn:
SARn:
DARn:
DMATCRn:
CHCRn:
n = 0 to 7
DMAC operation register
DMAC source address register
DMAC destination address register
DMAC transfer count register
DMAC channel control register
Figure 14.53 Block Diagram of the DMAC
Rev. 6.0, 07/02, page 575 of 986
14.6.2 Pin Configuration (SH7750R)
Tables 14.11 and 14.12 show the pin configuration of the DMAC.
Table 14.11 DMAC Pins
Channel Pin Name Abbreviation I/O Function
0 DMA transfer
request DREQ0 Input DMA transfer request input from
external dev ice to cha nne l 0
DREQ acceptance
confirmation DRAK0 Output Acceptance of request for DMA
transfer from channel 0 to external
device
Notification to external device of start
of execution
DMA transfer end
notification DACK0 Output Strobe output to external device of
DMA transfer request from channel 0
to external device
1 DMA transfer
request DREQ1 Input DMA transfer request input from
external dev ice to cha nne l 1
DREQ acceptance
confirmation DRAK1 Output Acceptance of request for DMA
transfer from channel 1 to external
device
Notification to external device of start
of execution
DMA transfer end
notification DACK1 Output Strobe output to external device of
DMA transfer request from channel 1
to external device
Rev. 6.0, 07/02, page 576 of 986
Table 14.12 DMAC Pins in DDT Mode
Pin Name Abbreviation I/O Function
Data bus request DBREQ
(DREQ0)Input Data bus release request from external
device for DTR format input
Data bus available BAVL/ID2
(DRAK0) Output Data bus release notification
Data bus can be used 2 cycles after
BAVL is asserted
Notification of channel number to
external device at same time as TDACK
output
Transfer request signal TR
(DREQ1)Input If asserted 2 cycles after BAVL
assertion, DTR format is sent
Only TR asserted: DMA request
DBREQ and TR asserted
simultaneously: Direct request to
channel 2
DMAC strobe TDACK
(DACK0) Output Reply strobe signal for external dev ic e
from DMAC
Channel number
notification ID[1:0]
(DRAK1, DACK1) Output Notification of channel number to
external device at same time as TDACK
output
(ID [1] = DRAK1, ID [0] = DACK1)
Requests for DMA transfer from external devices are normally accepted only on channel 0
(DREQ0) and channel 1 (DREQ1). In DDT mode, the BAVL pin functions as both the data-bus-
available pin and channel-number-notification (ID2) pin.
14.6.3 Register Configuration (SH7750R)
Table 14.13 shows the configuration of the DMAC’s registers. The DMAC of the SH7750R has a
total of 33 registers: four registers are assigned to each channel, and there is a control register for
the overall contr ol of the DMAC.
Rev. 6.0, 07/02, page 577 of 986
Table 14.13 Register Configuration
Chan-
nel Name Abbre-
viation Read/
Write Initial Value P4 Address Area 7
Address Access
Size
DMA source
address register 0 SAR0 R/W*2Undefined H'FFA00000 H'1FA00000 32
DMA destination
address register 0 DAR0 R/W*2Undefined H'FFA00004 H'1FA00004 32
DMA transfer
count register 0 DMATCR0 R/W*2Undefined H'FFA00008 H'1FA00008 32
0
DMA channel
control register 0 CHCR0 R/W*1*2H'00000000 H'FFA0000C H'1FA0000C 32
DMA source
address register 1 SAR1 R/W Undefined H'FFA00010 H'1FA00010 32
DMA destination
address register 1 DAR1 R/W Undefined H'FFA00014 H'1FA00014 32
DMA transfer
count register 1 DMATCR1 R/W Undefined H'FFA00018 H'1FA00018 32
1
DMA channel
control register 1 CHCR1 R/W*1H'00000000 H'FFA0001C H'1FA0001C 32
DMA source
address register 2 SAR2 R/W Undefined H'FFA00020 H'1FA00020 32
DMA destination
address register 2 DAR2 R/W Undefined H'FFA00024 H'1FA00024 32
DMA transfer
count register 2 DMATCR2 R/W Undefined H'FFA00028 H'1FA00028 32
2
DMA channel
control register 2 CHCR2 R/W*1H'00000000 H'FFA0002C H'1FA0002C 32
DMA source
address register 3 SAR3 R/W Undefined H'FFA00030 H'1FA00030 32
DMA destination
address register 3 DAR3 R/W Undefined H'FFA00034 H'1FA00034 32
DMA transfer
count register 3 DMATCR3 R/W Undefined H'FFA00038 H'1FA00038 32
3
DMA channel
control register 3 CHCR3 R/W*1H'00000000 H'FFA0003C H'1FA0003C 32
Com-
mon DMA operation
register DMAOR R/W*1H'00000000 H'FFA00040 H'1FA00040 32
Rev. 6.0, 07/02, page 578 of 986
Table 14.13 Register Configuration (cont)
Chan-
nel Name Abbre-
viation Read/
Write Initial Value P4 Address Area 7
Address Access
Size
DMA source
address register 4 SAR4 R/W Undefined H'FFA00050 H'1FA00050 32
DMA destination
address register 4 DAR4 R/W Undefined H'FFA00054 H'1FA00054 32
DMA transfer
count register 4 DMATCR4 R/W Undefined H'FFA00058 H'1FA00058 32
4
DMA channel
control register 4 CHCR4 R/W*1H'00000000 H'FFA0005C H'1FA0005C 32
DMA source
address register 5 SAR5 R/W Undefined H'FFA00060 H'1FA00060 32
DMA destination
address register 5 DAR5 R/W Undefined H'FFA00064 H'1FA00064 32
DMA transfer
count register 5 DMATCR5 R/W Undefined H'FFA00068 H'1FA00068 32
5
DMA channel
control register 5 CHCR5 R/W*1H'00000000 H'FFA0006C H'1FA0006C 32
DMA source
address register 6 SAR6 R/W Undefined H'FFA00070 H'1FA00070 32
DMA destination
address register 6 DAR6 R/W Undefined H'FFA00074 H'1FA00074 32
DMA transfer
count register 6 DMATCR6 R/W Undefined H'FFA00078 H'1FA00078 32
6
DMA channel
control register 6 CHCR6 R/W*1H'00000000 H'FFA0007C H'1FA0007C 32
DMA source
address register 7 SAR7 R/W Undefined H'FFA00080 H'1FA00080 32
DMA destination
address register 7 DAR7 R/W Undefined H'FFA00084 H'1FA00084 32
DMA transfer
count register 7 DMATCR7 R/W Undefined H'FFA00088 H'1FA00088 32
7
DMA channel
control register 7 CHCR7 R/W*1H'00000000 H'FFA0008C H'1FA0008C 32
Notes: Longword access should be used for all control registers. If a different access width is
used, reads will return all 0s and writes will not be possible.
*1 Bit 1 of CHCR0CHCR7 and bits 2 and 1 of DMAOR can only be written with 0 after
being read as 1, to clear the flags.
*2 In the SH7750R, writes from the CPU and writes from external I/O devices using the
DTR format are possible in DDT mode.
Rev. 6.0, 07/02, page 579 of 986
14.7 Register Desc riptions (SH7750R)
14.7.1 DMA Source Address Registers 07 (SAR0SAR7)
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial valu e: ————————————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial valu e: ————————————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
DMA source address registers 07 (SAR0SAR7) are 32-bit readable/writable registers that
specify the source address for a DMA transfer. The functions of these registers are the same as on
the SH7750 or SH7750S. For more information, see section 14.2.1, DMA Source Address
Registers 03 (SAR0SAR3).
14.7.2 DMA Destination Address Registers 07 (DAR0DAR7)
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial valu e: ————————————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial valu e: ————————————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
DMA destination address registers 07 (DAR0DAR7) are 32-bit readable/writable registers that
specify the destination address for a DMA transfer. The functions of these registers are the same
as on the SH7750 and SH7750S. For more information, see section 14.2.2, DMA Destination
Addr e ss Registers 03 (DAR0DAR3).
Rev. 6.0, 07/02, page 580 of 986
14.7.3 DMA Transfer Count Registers 07 (DMATCR0DMATCR7)
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:00000000————————
R/W: R R R R R R R R R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial valu e: ————————————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
DMA transfer count registers 07 (DMATC R 0DMATCR7) are 32-bit readable/writable registers
that specify the number of transfers in transfer operations for the corresponding channel (byte
count, word count, longword count, quadword count, or 32-byte count). Functions of these
registers are the same as the transfer-count registers of the SH7750 or SH7750S. For more
information, see section 14.2.3, DMA Transfer Count Registers 03 (DM ATCR0DMATCR3).
14.7.4 DMA Channel Control Registers 07 (CHCR0CHCR7)
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
SSA2SSA1SSA0 STC DSA2DSA1DSA0 DTC ————DS RL AM AL
Initial value:0000000000000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R R R R R/W (R/W) R/W (R/W)
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
DM1 DM0 SM1 SM0 RS3 RS2 RS1 RS0 TM TS2 TS1 TS0 QCL IE TE DE
Initial value:0000000000000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/(W) R/W R/(W) R/W
DMA channel control registers 07(CHCR0CHCR7) are 32-bit readable/writable registers that
specify the operating mode, transfer method, etc., for each channel. Bits 3128 and 2724
correspond to the source address and destination address, respectively; these settings are only
valid when the transfer involves the CS5 or CS6 space and the relevant space has been specified as
a PCMCIA-interface space. In other cases, these bits should be cleared to 0. For more information
about the PCMCIA interface, see section 13.3.7, PCMCIA Interface, in section 13, Bus State
Controller.
Rev. 6.0, 07/02, page 581 of 986
No function is assigned to bits 18 and 16 of the CHCR2–CHCR7 registers. Writing to these bits of
the CHCR2–CHCR7 registers is invalid. If, however, a value is written to these bits, it should
always be 0. These bits are always read as 0.
These registers are initialized to H'00000000 by a power-on or manual r eset. Their values are
retained in standby, sleep, and deep-sleep modes.
Bits 31 to 29—Source Address Space Attribute Specification (SSA2–SSA0): These bits specify
the space attribute for PCMCIA access. These bits are only valid in the case of page mapping to
PCMCIA connected to areas 5 and 6. For details of the settings, see the description of the SSA2-
SSA0 bits in section 14.2.4, DMA Channel Control Registers 03 (CHCR0CHCR3).
Bit 28—Source Address Wait Control Select (STC): Specifies CS5 or CS6 space wait control
for PCMCIA access. This bit selects the wait control register in the BSC that performs area 5 and
6 wait cycle control. For details of the settings, see the descriptio n of the STC bit in section 14.2.4,
DMA Channel Control Registers 03 (CHCR0CHCR3).
Bits 27 to 25—Destination Address Space Attribute Specification (DSA2–DSA0): These bits
specify the space attribute for PCMCIA access. These bits are only valid in the case of page
mapping to PCMCIA connected to areas 5 and 6. For details of the settings, see the description of
the DSA2DSA0 bits in section 14.2.4, DMA Channel Control Registers 03 (CHCR0CHCR3).
Bit 24—Destination Addre ss Wait Control Select (DTC): Specifies CS5 or CS6 space wait
cycle control for PCMCIA access. This bit selects the wait control register in the BSC that
performs area 5 and 6 wait cycle control. For details of the settings, see the description of the DTC
bit in section 14.2.4, DMA Channel Control Registers 03 (CH C R 0CHCR3).
Bits 23 to 20—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 19—DREQ
DREQDREQ
DREQ Select (DS): Sp ecif ies either low level detection or falling edge d etection as the
sampling method for the DREQ pin used in external request mode.
In normal DMA mode, this bit is valid only in CHCR0 and CHCR1. In DDT mode, it is valid in
CHCR0–CHCR7. For details of the settings, see the description of the DS bit in section 14.2.4,
DMA Channel Control Registers 03 (CHCR0CHCR3).
Bit 18— R equest Check Level (RL): Selects whether the DRAK signal (that notifies an extern al
device of the acceptance of DREQ) is an active-high or active-low output.
This bit is valid only in CHCR0 and CHCR1 in normal mode, and is invalid in DDT mode. For
details of the settings, see the description of the RL bit in section 14.2.4, DMA Channel Control
Registers 03 (CHCR0CHCR3).
Rev. 6.0, 07/02, page 582 of 986
Bit 17—Acknowledge Mode (AM): In dual address mode, selects whether DACK is output in the
data read cycle or write cycle. In single address mode, DACK is always output regardless of the
setting of this bit.
In normal DMA mode, this bit is valid only in CHCR0 and CHCR1. In DDT mode, it is valid in
CHCR0–CHCR7. (DDT mode: TDACK) For details of th e settings, see the description of the AM
bit in section 14.2.4, DMA Channel Control Registers 03 (CH C R 0CHCR3).
Bit 16—Acknowledge Level (AL): Specifies the DACK (acknowledge) signal as active-high or
active-low.
This bit is valid only in CHCR0 and CHCR1 in normal mode, and is invalid in DDT mode. For
details of the settings, see the descriptio n of the AL bit in section 14.2.4, DMA Chan nel Control
Registers 03 (CHCR0CHCR3).
Bits 15 and 14—Destination Address Mode 1 and 0 (DM1, DM0): These bits specify
incrementing/decrementing of the DMA transfer destination address. The specification of these
bits is ignored when data is transferred from external memory to an external device in single
address mode. For details of the settings, see the description of the DM1 and DM0 bits in section
14.2.4, DMA Channel Control Registers 03 (CHCR0CHCR3).
Bits 13 and 12—Source Address Mode 1 and 0 (SM1, SM0): These bits specify
incrementing/decrementing of th e DMA transfer source address. The specification of these bits is
ignored when data is transferred from an external device to external memory in single address
mode. For details of the settings, see the description of the SM1 and SM0 bits in section 14.2.4,
DMA Channel Control Registers 03 (CHCR0CHCR3).
Bits 11 to 8—Resource Select 3 to 0 (RS3–RS0): These bits specify the transfer request source.
For details of the settings, see the d escription of the RS3RS0 bits in section 14.2.4, DMA
Channel Control Registers 03 (CHCR0CHCR3).
Bit 7—Transmit Mode (TM): Specifies the bus mode for transfer. For details of the settings, see
the description of the TM bit in section 14.2.4, DMA Channel Control Registers 03 (CHCR0
CHCR3).
Bits 6 to 4—Transmit Size 2 to 0 (TS2–TS0): These bits specify the transfer data size (access
size). For details o f the settings, see the description of the TS2TS0 bits in section 14.2.4, DMA
Channel Control Registers 03 (CHCR0CHCR3).
Bit 3
Request Queue Clear (QCL): Writing a 1 to this bit clears the request queues of the
corresponding channel as well as any external requests that have already been accepted. This bit is
only functional when DMAOR.DDT = 1 and DMAOR.DBL = 1.
Rev. 6.0, 07/02, page 583 of 986
CHCR Bit 3
QCL Description
0 This bit is always read as 0. (Initial value)
Writing a 0 to this bit is invalid.
1 When DMAOR.DBL = 1, writing a 1 to this bit clears the request queues on the
DDT side and any external requests stored in the DMAC. The written value is
not retained.
Bit 2—Interrupt Enable (IE): When this bit is set to 1, an interrupt re quest ( DMTE) is generated
after the number of data transfers specified in DMATCR (when TE = 1). For details of the
settings, see the description of the IE bit in section 14.2.4, DMA Channel Control Registers 03
(CHCR0CHCR3).
Bit 1—Tr ansfer End (TE): This bit is set to 1 after the nu mber of transfers specif ied in
DMATCR. If the IE bit is set to 1 at this time, an interrupt request ( DMTE) is generated.
If data transfer ends before TE is set to 1 (for example, du e to an NMI interrupt, address error, or
clearing of the DE bit or the DME bit in DMAOR), the TE bit is not set to 1 . When this bit is 1,
the transfer enabled state is not entered even if the DE b it is set to 1. For details of th e settings, see
the description of the TE bit in section 14.2.4, DMA Channel Control Registers 0 3 (CHCR0
CHCR3).
Bit 0—DMAC Enable (DE): Enables operation of the corresponding channel. For details of the
settings, see the description of the DE bit in section 14.2.4, DMA Channel Control Registers 03
(CHCR0CHCR3).
14.7.5 DMA Operation Register (DMAOR)
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:0000000000000000
R/W:RRRRRRRRRRRRRRRR
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
DDT DBL ————PR1 PR0 —————AE NMIF DME
Initial value:0000000000000000
R/W: R/W R/W R R R R R/W R/W R R R R R R/(W)R/(W) R/W
DMAOR is a 32-bit readable/writable register that specifies the DMAC transfer mode.
Rev. 6.0, 07/02, page 584 of 986
DMAOR is initialized to H'00000000 by a power-on or manual reset. They retain their values in
standby mode and deep sleep mode.
Bits 31 to 16—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 15—On-Demand Data Transfer (DDT): Specifies on-demand data transfer mode. For
details of the settin gs, see the description of th e DDT bit in section 14.2.5, DMA Operation
Register (DMAOR)
Bit 14
Number of DDT-Mode Channels (DBL): Selects the number of channels that are able
to accept external requests in DDT mode.
Bit 14: DBL Description
0 Four DDT-mode cha nne ls (Initial value)
1 Eight DDT-mode channels
Note: When DMAOR.DBL = 0, channels 4 to 7 cannot accept external requests.
When DMAOR.DBL = 1, one channel can be selected from among channels 07 by the
combination of DTR.SZ and DTR.ID in the DTR format (see figure 14.54). Table 14.14 shows the
channel selection by DTR format in the DDT mode.
Table 14.14 Channel Selection by DTR Format (DMAO R .DBL = 1)
DTR.ID[1:0] DTR.SZ[2:0]
101 DTR.SZ[2:0] = 101
00 CH0 CH4
01 CH1 CH5
10 CH2 CH6
11 CH3 CH7
SZ ID MD COUNT ADDRESSR/W (Reserved)
63 61 60 59 58 57 56 55 4847 3231 0
Figure 14.54 DTR Format (Transfer Request Format) (SH7750R)
Bits 13 to 10—Reserved: These bits are always read as 0, and should only be written with 0.
Bits 9 and 8—Priority Mode 1 and 0 (PR1, PR0): These bits determine the order of pr iority for
channel execution when transfer requests are made for a number of channels simultaneously.
Rev. 6.0, 07/02, page 585 of 986
DMAOR
Bit 9 DMAOR
Bit 8
PR1 PR0 Description
0 0 CH0 > CH1 > CH2 > CH3 > CH4 > CH5 > CH6 > CH7 (Initial value)
0 1 CH0 > CH2 > CH3 > CH4 > CH5 > CH6 > CH7 > CH1
1 0 CH2 > CH0 > CH1 > CH3 > CH4 > CH5 > CH6 > CH7
1 1 Round robin mode
Bits 7 to 3—Reserved: These bits are always read as 0 , and shou ld only be written with 0.
Bit 2—Address Error Flag (AE): Indicates that an address error has occurred during DMA
transfer. If this bit is set during data transfer, transfers on all channels are suspended, and an
interrupt request (DMAE) is generated. The CPU cannot wr ite 1 to AE. This bit can only be
cleared by writing 0 after reading 1. For details of the setting s, see the description of the AE bit in
section 14.2.5, DMA Operation Register (DMAOR)
Bit 1—NMI Flag (NMIF): Indicates that NMI has been input. This bit is set regardless of
whether or not the DMAC is operating. If this bit is set during data transfer, tr ansfer s on all
channels are suspended. The CPU cannot write 1 to NMIF. This bit can only be cleared by writing
0 after reading 1. For details of the settings, see the description of the NMIF bit in section 14.2.5,
DMA Operation Register (DMAOR)
Bit 0—DMAC Master Enable (DME): Enables activation of the entire DMAC. When the DME
bit and the DE bit of the CHCR register for the co r responding channel are set to 1, that channel is
enabled for transfer. If this bit is cleared during data transfer, tran sfers on all channels are
suspended.
Even if the DME bit has been set, tran sf er is not enabled when TE is 1 or DE is 0 in CHCR, or
when the NMI or AE bit in DMAOR is 1. For details o f the settings, see the description of the
DME bit in section 14.2.5, DMA Operation Register (DMAOR)
Rev. 6.0, 07/02, page 586 of 986
14.8 Operation (SH7750R)
Operation specific to the SH7750R is described here. For details of operation, see section 14.3,
Operation.
14.8.1 Channel Specification for a Normal DMA Transfer
In normal DMA transfer mode, the DMAC always operates with eight channels, and external
requests are only accepted on channel 0 (DREQ) and channel 1 (DREQ1).
After setting the registers of the channels in use, including CHCR, SAR, DAR, and DMATCR,
DMA transfer is started on receiving a DMA transfer request in the transfer-enabled state (DE = 1,
DME = 1, TE = 0, NMIF = 0, AE = 0), in the order of predetermined priority. The transfer ends
when the transfer-end cond ition is satisfied. There are three modes for transfer requests: auto-
request, external request, and on-chip peripheral module request. The addressing modes for DMA
transfer are the single-address mode and the dual-address mode. Bus mode is selectable between
burst mode and cycle steal mode.
14.8.2 Channel Specification for DDT-Mode DMA Transfer
For DMA transfer in DDT mode, the DMAOR.DBL setting selects either four or eight channels.
External requests are accepted on channels 03 when DMAOR.DBL = 0, and on channels 07
when DMAOR.DBL = 1. For further informatio n on these settings, see the entry o n the DBL b it in
section 14.7.5, DMA Operation Register (DMAOR).
14.8.3 Transfer Channel Notification in DDT Mo de
When the DMAC is set up for four-channel external request acceptance in DDT mode
(DMAOR.DBL = 0), the ID [1:0] bits are used to notify the external device of the DMAC channel
that is to be used. For more details, see section 14.5, On-Demand Data Transfer Mode.
When the DMAC is set up for eight-channel external request acceptance in DDT mode
(DMAOR.DBL = 1) , the ID [1:0] bits and the sim u ltaneous (on the timing of TDACK assertion)
assertion of ID2 from the BAVL (bus-release notificatio n) p in are used to no tify the external
device of the DMAC channel that is to be used (see table 14 .15, Notification of Transfer Channel
in Eight-Channel DDT Mode).
When the DMAC is set up for eight-channel external request acceptance in DDT mode
(DMAOR.DBL = 1), it is important to note that the BAVL pin has the two functions as shown in
table 14.16.
Rev. 6.0, 07/02, page 587 of 986
Table 14.15 Notification of Transfer Channel in Eight-Channel DDT Mode
BAVL
BAVLBAVL
BAVL/ID2
ID2ID2
ID2 ID[1:0] Transfer Channel
00 CH0
01 CH1
10 CH2
1
11 CH3
00 CH4
01 CH5
10 CH6
0
11 CH7
Table 14.16 Function of BAVL
BAVLBAVL
BAVL
Function of BAVL
BAVLBAVL
BAVL
TDACK = High Bus available (data-bus enabled)
TDACK = Low Notification of channel number (ID2)
14.8.4 Clearing Request Queues by DTR Format
In DDT mode, the request queues of any channel can be cleared by using DTR.ID, DTR.MD,
DTR.SZ, and DTR.COUNT [7:4] in a DTR format. This function is only available when
DMAOR.DBL = 1. Table 14.17 shows the DTR format settings for clearing request queues.
Rev. 6.0, 07/02, page 588 of 986
Table 14.17 DTR Format for Clearing Request Queues
DMAOR.DBL DTR.ID DTR.MD DTR.SZ DTR.COUNT[7:4] Description
10 Clear t he request queues of all channels
(17).
Clear the CH0 request-accepted flag
000
11
110 *
Setting prohibited
10 *Clear the request queues of all channels
(17).
Clear the CH0 request-accepted flag.
0001 Clear the CH0 request -accepted flag
0010 Clear the CH1 request queues.
0011 Clear the CH2 request queues.
0100 Clear the CH3 request queues.
0101 Clear the CH4 request queues.
0110 Clear the CH5 request queues.
0111 Clear the CH6 request queues.
100
11
110
1000 Clear the CH7 request queues.
Note: (SH7750R) DTR.SZ = DTR[63:61], DTR.ID = DTR[59:58], DTR.MD = DTR[57:56],
DTR.COUNT[7:4] = DTR[55:52]
14.8.5 Interrupt- R equest Codes
When the number of transfers specified in DMATCR has been finished and the interrupt request is
enabled (CHCR.IE = 1), a tran sfer-end interrupt request can be sent to the CPU from each
channel. Table 14.18 lists the interrupt-request codes that are associated with these transfer-end
interrupts.
Rev. 6.0, 07/02, page 589 of 986
Table 14.1 8 DMAC Interrupt- R equest Codes
Source of the Interrupt Description INTEVT Code Priority
DMTE0 CH0 transfer-end interrupt H'640 High
DMTE1 CH1 transfer-end interrupt H'660
DMTE2 CH2 transfer-end interrupt H'680
DMTE3 CH3 transfer-end interrupt H'6A0
DMTE4 CH4 transfer-end interrupt H'780
DMTE5 CH5 transfer-end interrupt H'7A0
DMTE6 CH6 transfer-end interrupt H'7C0
DMTE7 CH7 transfer-end interrupt H'7E0
DMAE Address error interrupt H'6C0 Low
DMTE4DMTE7: These codes are not used in the SH7750 or SH7750S.
CKIO
RA
DTR
CA
D1 D2
RD
BA
00
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0
/
D0
Figure 14. 55 Single Address Mo de/Burst Mode/External B us
External Device 32-Byte
Block Transfer/Channel 0 On-Demand Data Transfer
Rev. 6.0, 07/02, page 590 of 986
CKIO
RA
DTR
CA
D1 D2
RD
BA
00
ID1, ID0
RAS,
CAS, WE
D63–D0
A25–A0
/
D0
Figure 14. 56 Single Address Mo de/Burst Mode/External B us
External Device/32-Byte Block Transfer/On-Demand Data Transfer on Channel 4
Rev. 6.0, 07/02, page 591 of 986
14.9 Usage Notes
1. When modifying SAR0–SAR3, DAR0–DAR3, DMATCR0–DMATCR3, and CHCR0–
CHCR3 in the SH7750 or SH7750S or when modifying SAR0–SAR7, DAR0–DAR7,
DMATCR0–DMATCR7, and CHCR0–CHCR7 in the SH7750R, first clear the DE bit for the
relevant channel.
2. The NMIF bit in DMAOR is set when an NMI interr upt is input even if the DMAC is no t
operating.
Confirma tion method when DMA tran sf er is not executed correctly:
With the SH7750 and SH7750S, read the NMIF, AE, and DME bits in DMAOR, the DE and
TE bits in CHCR0–CHCR3, and DMATCR0–DMATCR3. With the SH7750R, read the
NMIF, AE, and DME bits in DMAOR, the DE and TE bits in CHCR0–CHCR7, and
DMATCR0–DMATCR7. If NMIF was set before the transfer, the DMATCR transfer count
will remain at the set value. If NMIF was set during the transfer, when the DE bit is 1 and the
TE bit is 0 in CHCR0–CHCR3 in the SH7750 or SH7750S or CHCR0–CHCR7 in the
SH7750R, the DMATCR value will indicate the remaining number of transfers.
Also, the next addresses to be accessed can be found by reading SAR0–SAR3 and DAR0–
DAR3 in the SH7750 or SH7750S or SAR0–SAR7 and DAR0–DAR7 in the SH7750R. If the
AE bit has been set, an address error has occurred. Check the set values in CHCR, SAR, and
DAR.
3. Check that DMA transfer is not in progress before making a transition to the module standby
state, standby mode, or deep sleep mode.
Either check that TE = 1 in the SH7750 or SH7750S’s CHCR0–CHCR3 or in the SH7750R’s
CHCR0–CHCR7, or clear DME to 0 in DMAOR to terminate DMA transfer. When DME is
cleared to 0 in DMAOR, transfer halts at the end of the currently executing DMA bus cycle.
Note, therefore, that transfer may not end immediately, depending on the transfer data size.
DMA operation is not guaranteed if the module standby state, standby mode, or deep sleep
mode is entered without confirming that DMA transfer has ended.
4. Do not specify a DMAC, CCN, BSC, or UBC control register as the DMAC transfer source or
destination.
5. When activating the DMAC, make the SAR, DAR, and DMATCR register settings for the
relevant channel before setting DE to 1 in CHCR, or make the register settings with DE
cleared to 0 in CHCR, then set DE to 1. It does not ma tter whether setting of the DME bit to 1
in DMAOR is carried out first or last. To operate the relevant channel, DME and DE must both
be set to 1. The DMAC may not operate normally if the SAR, DAR, and DMATCR settings
are not made (with the exception of the unused register in single address mode).
6. After the DMATCR count reaches 0 and DMA transfer ends normally, always write 0 to
DMATCR even when executing the maximum number of transfers on the same channel.
7. When falling edge detection is used for external requests, keep the external request pin high
when making DMAC settings.
Rev. 6.0, 07/02, page 592 of 986
8. When using the DMAC in single address mode, set an external address as the address. All
channels will ha lt due to an address error if an on-chip peripheral module addr ess is set.
9. In external request (DREQ) edge detection in the SH7750R, an extern al request that has been
accepted can be cancelled in the following way. Firstly, negate DREQ and change the value of
CHCR.DS from 1 to 0. After that, set the CHCR.DS b it back to 1, th en assert DREQ. (Though
the SH7750R does not have a DMAOR.COD bit, similar to when the DMAOR.COD bit is 1 in
the SH7750S, external requ ests that have once been accepted can be cancelled when the
external request (DREQ) edge is detected.)
Rev. 6.0, 07/02, page 593 of 986
Section 15 Serial Communication Interf ace (SCI)
15.1 Overview
The SH7750 Series is equipped with a single-channel serial communication interface (SCI) and a
single-channel serial communication interface with built-in FIFO registers (SCI with FIFO: S CIF).
The SCI can handle both asynchronous and synchronous serial communication.
The SCI supports a smart card interface conforming to ISO/IEC 7816-3 (Identification Card) as a
serial communication interface function for IC card interface use. For details, see section 17,
Smart Card Interface.
The SCIF is a dedicated asynchronous communication serial interface with built-in 16-stage FIFO
registers for both transmission and reception. For details, see section 16, Serial Communication
Interface with FIFO (SCIF).
15.1.1 Features
SCI features are listed below.
Choice of synchronous or asynchronous serial communication mode
Asynchronous mode
Serial data communication is executed using an asynchronous system in which
synchronization is achieved character by character. Serial data communication can be
carried out with standard asyn chronous communication chips such as a Universal
Asynchronous Receiver/Transmitter (UART) or Asynchronous Communication Interface
Adapter (ACI A) . A multiprocessor co m munication function is also provided that enables
serial data communication with a number of processors.
There is a choice of 12 serial data transfer formats.
Data length: 7 or 8 bits
Stop bit length: 1 or 2 bits
Parity: Even/odd/none
Multiprocessor bit: 1 or 0
Receive error detection: Parity, overrun, and framing errors
Break detection: A break can be detected by reading the RxD pin level directly
from the serial po rt register (SCSPTR1) when a framing error
occurs.
Rev. 6.0, 07/02, page 594 of 986
Synchronous mode
Serial data communication is synchronized with a clock. Serial data communication can be
carried out with other chips that have a synchronous communication function.
There is a single serial data transfer format.
Data length: 8 bits
Receive error detection: Overrun errors
Full-duplex communication capab ility
The transmitter and receiver are mutually independent, enablin g transmission and reception to
be executed simultan eously. Double-buffering is used in both the transmitter and the receiver,
enabling continuous transmission and continuous reception of serial data.
On-chip baud rate generator allows any bit rate to be selected.
Choice of serial clock source: internal clock from baud rate generator or external clock from
SCK pin
Four interrupt sources
There are four interrupt sources—transmit-data-empty, transmit-end, receive-data-full, and
receive-error—that can issue requests independently. The transmit-data-empty interrupt and
receive-data-full interrupt can activate the DMA controller (DMAC) to execute a data transfer.
When not in use, the SCI can be stopped by halting its clock supply to reduce power
consumption.
Rev. 6.0, 07/02, page 595 of 986
15.1.2 Block Diagram
Figure 15.1 shows a block diagram of the SCI.
Module data bus
SCRDR1
SCRSR1
RxD
TxD
SCK
SCTDR1
SCTSR1
SCSSR1
SCSCR1
SCSMR1
SCBRR1
Parity generation
Parity check
Transmission/
reception
control
Baud rate
generator
Clock
External clock
Pφ
Pφ/4
Pφ/16
Pφ/64
TEI
TXI
RXI
ERI
SCI
Bus interface
Internal
data bus
SCSPTR1
SCRSR1: Receive shift register
SCRDR1: Receive data register
SCTSR1: Transmit shift register
SCTDR1: Transmit data register
SCSMR1: Serial mode register
SCSCR1: Serial control register
SCSSR1: Serial status register
SCBRR1: Bit rate register
SCSPTR1: Serial port register
Figure 15.1 Block Diagram of SCI
Rev. 6.0, 07/02, page 596 of 986
15.1.3 Pin Configuration
Table 15.1 shows the SCI pin configuration.
Table 15.1 SCI Pins
Pin Name Abbreviation I/O Function
Serial clock pin MD0/SCK I/O Clock input/output
Receive data pin RxD Input Receive data inp ut
Transmit data pin MD7/TxD Output Transmit data output
Note: The serial clock pin and transmit data pin function as mode input pins MD0 and MD7
after a power-on reset. They are made to function as serial pins by performing SCI
operation settings with the TE, RE, CKEI, and CKE0 bits in SCSCR1 and the C/A bit in
SCSMR1. Break state transmission and detection, can be set in the SCI’s SCSPTR1
register.
15.1.4 Register Configuration
The SCI has the internal registers shown in table 15.2. These registers are used to specify
asynchronous mode or synchronous mode, the data format, and the bit rate, and to perform
transmitter/receiver control.
With the exceptio n of the serial port register, th e SCI registers are initialized in standby mode and
in the module standby state as well as after a power-on reset or manual reset. Wh en recovering
from standby mode or the module standby state, the registers must be set again.
Table 15.2 SCI Registers
Name Abbreviation R/W Initial
Value P4 Address Area 7
Address Access
Size
Serial mode register SCSMR1 R/W H'00 H'FFE00000 H'1FE00000 8
Bit rate register SCBRR1 R/W H'FF H'FFE00004 H'1FE00004 8
Serial control register SCSCR1 R/W H'00 H'FFE00008 H'1FE00008 8
Transmit data regist er SCTDR1 R/W H'FF H'FFE0000C H'1FE0000C 8
Serial status register SCSSR1 R/(W)*1H'84 H'FFE00010 H'1FE00010 8
Receive data register SCRDR1 R H'00 H'FFE00014 H'1FE00014 8
Serial port register SCSPTR1 R/W H'00*2H'FFE0001C H'1FE0001C 8
Notes: *1 Only 0 can be written, to clear flags.
*2 The value of bits 2 and 0 is undefined.
Rev. 6.0, 07/02, page 597 of 986
15.2 Register Desc riptions
15.2.1 Receive Shift Register (SCRSR1)
Bit:76543210
R/W:————————
SCRSR1 is the register used to receive serial data.
The SCI sets serial data input from the RxD pin in SCRSR1 in the order received, starting with the
LSB (bit 0), and converts it to parallel data. When one byte of data has been received, it is
transferr e d to SCRDR1 automatically.
SCRSR1 cannot be directly read or written to by the CPU.
15.2.2 Receive Data Register (SCRDR1)
Bit:76543210
Initial value:00000000
R/W:RRRRRRRR
SCRDR1 is the register that stores received serial data.
When the SCI has received one byte of serial data, it transfers the received data from SCRSR1 to
SCRDR1 where it is stored, and comp letes the receive operation. SCRSR1 is then enabled for
reception.
Since SCRSR1 and SCRDR1 function as a double buffer in this way, it is possible to receive data
continuously.
SCRDR1 is a read- only register, and cannot be written to by the CPU.
SCRDR1 is initialized to H'00 by a power-on reset or manual reset, in standby mode, and in the
module standby state.
Rev. 6.0, 07/02, page 598 of 986
15.2.3 Transmit Shift Register (SCTSR1)
Bit:76543210
R/W:————————
SCTSR1 is the r e gister used to tran smit serial data.
To perform serial data transmission, the SCI first tr ansfers transmit data from SCTDR1 to
SCTSR1, then sends the data to the TxD pin starting with the LSB (b it 0).
When transmission of one byte is completed, the next transmit data is transferred from SCTDR1
to SCTSR1, and transmission star ted, automatically . However, data transf er from SCTDR1 to
SCTSR1 is no t performed if the TDRE flag in the serial status reg ister ( SCSSR1) is set to 1 .
SCTSR1 cannot be directly read or written to by the CPU.
15.2.4 Transmit Data Reg ister (SCTDR1)
Bit:76543210
Initial value:11111111
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
SCTDR1 is an 8-bit register that stores data for serial transmission.
When the SCI detects that SCTSR1 is em pty, it transf er s the transmit da ta wr itten in SCTDR1 to
SCTSR1 and starts serial transm ission. Continuous serial transm ission can be carried out by
writing the next transmit data to SCTDR1 during serial transmission of the data in SCTSR1.
SCTDR1 can be read or written to by the CPU at all times.
SCTDR1 is initialized to H'FF by a power-on reset or manual reset, in standby mode, and in the
module standby state.
Rev. 6.0, 07/02, page 599 of 986
15.2.5 Serial Mode Register (SCSMR1)
Bit:76543210
C/ACHR PE O/ESTOP MP CKS1 CKS0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
SCSMR1 is an 8-bit register used to set the SCI’s serial transfer format and select the baud rate
generator clock source.
SCSMR1 can be read or written to by the CPU at all times.
SCSMR1 is initialized to H'00 by a power-on reset or manual reset, in standby mode, and in the
module standby state.
Bit 7—Communication Mode (C/A
AA
A): Selects asynchronous mode or synchronous mode as the
SCI operating mode.
Bit 7: C/A
AA
ADescription
0 Asynchronous mode (Initial value)
1 Synchronous mode
Bit 6—Character Length (CHR): Selects 7 or 8 bits as the data length in asynchronous mode. In
synchronous mode, a fixed data length of 8 bits is used regardless of the CHR setting,
Bit 6: CHR Description
0 8-bit data (Initial val ue)
1 7-bit data*
Note: *When 7-bit data is selected, the MSB (bit 7) of SCTDR1 is not transmitted.
Bit 5—Parity Enable (PE): In asynchronous mode, selects whether or not parity bit addition is
performed in transmission, and parity bit checking in reception. In synchronous mode, parity bit
addition and checking is not performed, regardless of the PE bit setting.
Bit 5: PE Description
0 Parity bit addition and checking disabled (Initial value)
1 Parity bit addition and checking enabled*
Note: *When the PE bit is set to 1, the parity (even or odd) specified by the O/E bit is added to
transmit data before transmission. In reception, the parity bit is checked for the parity (even
or odd) specified by the O/E bit.
Rev. 6.0, 07/02, page 600 of 986
Bit 4—Parity Mode (O/E
EE
E): Selects either even or odd parity for use in parity addition and
checking. The O/E bit setting is only valid when th e PE bit is set to 1, enabling parity bit addition
and checking, in asynchronous mode. The O/E bit setting is invalid in synchronous mode, and
when parity addition and checking is disabled in asynchronous mode.
Bit 4: O/E
EE
EDescription
0 Even parity*1 (Initial value)
1 Odd parity*2
Notes: *1 When even parity is set, parity bit addition is performed in transmission so that the total
number of 1-bits in the transmit character plus the parity bit is even. In reception, a
check is performed to see if the total number of 1-bits in the receiv e chara cter plus the
parity bit is even.
*2 When odd parity is set, parity bit addition is performed in transmission so that the total
number of 1-bits in the transmit character plus the parity bit is odd. In reception, a check
is performed to see if the total number of 1-bits in the receive character plus the parity
bit is odd.
Bit 3—Stop Bit Length (STOP): Selects 1 or 2 bits as the stop bit length in asynchronous mode.
The STOP bit setting is only valid in asynchronous mode. If synchronous mode is set, the STOP
bit setting is invalid since stop bits are not added.
Bit 3: STOP Description
01 stop bit
*1 (Initial value)
1 2 stop bits*2
Notes: *1 In transmission, a single 1-bit (stop bit) is added to the end of a transmit character
before it is sent.
*2 In transmission, two 1-bits (stop bits) are added to the end of a transmit character
before it is sent.
In reception, only the first stop bit is checked, regardless of the STOP bit settin g. If the second
stop bit is 1, it is treated as a stop bit; if it is 0, it is treated as the start bit of the next transmit
character.
Rev. 6.0, 07/02, page 601 of 986
Bit 2—Multiprocessor Mode (MP): Selects a multiprocessor format. When a multiprocesso r
format is selected, the PE bit and O/E bit parity settings are inv alid. The MP bit settin g is only
valid in asynchronous mode; it is invalid in synchronous mode.
For details of the multiprocesso r communication func tion including notes on use, see section
15.3.3, Multiprocessor Communication Function.
Bit 2: MP Description
0 Multiprocessor func tion disabled (Initial value)
1 Multiprocessor format selected
Bits 1 and 0—Clock Select 1 and 0 (CKS1, CKS0): These bits select the clock source for the on-
chip baud rate generator. The clock source can be selected from Pφ, Pφ/4, Pφ/16, and Pφ/64,
according to the setting of bits CKS1 and CKS0.
For the relation between the clock source, the bit rate register setting, and the baud rate, see
section 15.2.9, Bit Rate Register (SCB RR1).
Bit 1: CKS1 Bit 0: CKS0 Description
00Pφ clock (Initial value)
1Pφ/4 clock
10Pφ/16 clock
1Pφ/64 clock
Note: Pφ: Peripheral clock
15.2.6 Serial Control Register (SCSCR1)
Bit:76543210
TIE RIE TE RE MPIE TEIE CKE1 CKE0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
The SCSCR1 register performs enabling or disabling of SCI transfer operations, serial clock
output in asyn chronous mode, and interrupt requests, and selection of the serial clock source.
SCSCR1 can be r ead or written to by the CPU at all times.
SCSCR1 is initialized to H'00 by a power -on reset or manual reset, in stand by mode, and in the
module standby state.
Rev. 6.0, 07/02, page 602 of 986
Bit 7—Transmit Interrupt Enable (TIE): Enables or d isables transmit-da ta- e mpty interrupt
(TXI) request generation when serial transmit da ta is transferred from SCTDR1 to SCTSR1 and
the TDRE flag in SCSSR1 is set to 1.
Bit 7: TIE Description
0 Transmit-data-empty interrupt (TXI) request disabled*(Initial value)
1 Transmit-data-empty interrupt (TXI) request enabled
Note: *TXI interrupt requests can be cleared by reading 1 from the TDRE flag, then clearing it to 0,
or by clearing the TIE bit to 0.
Bit 6—Receive Interrupt Enable (RIE): Enables or disables receive-data-full interrupt (RXI)
request and receive-error interrupt (ERI) request generation when serial receive data is transferred
from SCRSR1 to SC RDR1 and the RD RF flag in SCSSR1 is set to 1.
Bit 6: RIE Description
0 Receive-data-full interrupt (RXI) request and receive-error interrupt (ERI)
request disabled*(Initial value)
1 Receive-data-full interrupt (RXI) request and receive-error interrupt (ERI)
request enabled
Note: *RXI and ERI interrupt requests can be cleared by reading 1 from the RDRF flag, or the
FER, PER, or ORER flag, then clearing the flag to 0, or by clearing the RIE bit to 0.
Bit 5—Transmit Enable ( TE): Enables or disables the start of serial transmission by the SCI.
Bit 5: TE Description
0 Transmission disabl ed*1 (Initial value)
1 Transmission enabled*2
Notes: *1 The TDRE flag in SCSSR1 is fixed at 1.
*2 In this state, se rial transmission is started when transmit data is written to SCTDR1 and
the TDRE flag in SCSSR1 is cleared to 0.
SCSMR1 setting must be performed to decide the transmit format before setting the TE
bit to 1.
Rev. 6.0, 07/02, page 603 of 986
Bit 4—Receive Enable (RE): Enables or disables the start of serial reception by the SCI.
Bit 4: RE Description
0 Reception disabled *1(Initi al val ue)
1 Reception enabled*2
Notes: *1 Cle aring the RE bit to 0 does not affect the RDRF, FER, PER, and ORER flags, which
retain their states.
*2 Serial reception is started in this state when a start bit is detected in asynchronous
mode or serial clock input is detected in synchronous mode.
SCSMR1 setting must be performed to decide the receive format before setting the RE
bit to 1.
Bit 3—Multiprocessor Interrupt Enable (MPIE): Enables or disables multipro cessor interrupts.
The MPIE bit setting is only valid in asynchronous mode when the MP bit in SCSMR1 is set to 1.
The MPIE bit setting is invalid in synchronous mode or when the MP bit is cleared to 0.
Bit 3: MPIE Description
0 Multiprocessor interrupts disabled (normal reception performed) (Initial value)
[Clearing cond iti ons ]
When the MPIE bit is cleared to 0
When data with MPB = 1 is received
1 Multiprocessor interrupts enabled*
Note: *When receive data including MPB = 1 is received, the MPIE bit is cleared to 0 automatically,
and generation of RXI and ERI interrupts (when the TIE and RIE bits in SCSCR1 are set to
1) and FER and ORER flag setting is enabled.
Bit 2—Transmit-End inter r upt Enable (TEIE): Enables or disables transmit-end interrupt
(TEI) request g eneration when there is no valid transmit data in SCTDR1 at th e time for MSB data
transmission.
Bit 2: TEIE Description
0 Transmit-end interrupt (TEI) request disabled*(Initial val ue)
1 Transmit-end interrupt (TEI) request enabled*
Note: *TEI interrupt requests can be cleared by reading 1 from the TDRE flag in SCSSR1, then
clearing it to 0 and clearing the TEND flag to 0, or by clearing the TEIE bit to 0.
Rev. 6.0, 07/02, page 604 of 986
Bits 1 and 0—Clock Enable 1 and 0 (CKE1, CKE0): These bits are used to select the SCI clock
source and enable or disable clock outpu t from the SCK pin. The combination of the CKE1 and
CKE0 bits determines whether the SCK pin functions as the serial clock output pin or the serial
clock input pin.
The setting of the CKE0 bit, howev er, is only valid for internal clock operation (CKE1 = 0) in
asynchronous mode. The CKE0 bit setting is invalid in synchronous mode and in the case of
external clock operation (CKE1 = 1). The CKE1 and CKE0 bits must be set befo re determining
the SCI’s operating mode with SCSMR1.
For details of clock source selection, see table 15.9 in section 15.3, Operation.
Bit 1: CKE1 Bit 0: CKE0 Description
0 0 Asynchronous mode Internal clo ck/SC K pin functions as
input pin (input signal ignored)*1
Synchronous mo de Internal clock/SC K pin fun ctio ns as
serial clock output*1
1 Asynchronou s mode Internal clock/SC K pin fun ctio ns as
clock outp ut*2
Synchronous mo de Internal clock/SC K pin fun ctio ns as
serial clock output
1 0 Asynchronous mode External clock/SCK pin function s as
clock inp ut*3
Synchronous mo de External clock/SC K pin fun ction s as
serial clock input
1 Asynchronous mode External clock/SCK pin fun ction s as
clock inp ut*3
Synchronous mo de External clock/SC K pin fun ction s as
serial clock input
Notes: *1 Initial value
*2 Outputs a clock of the same frequency as the bit rate.
*3 Inputs a cloc k with a frequency 16 times the bit rate.
Rev. 6.0, 07/02, page 605 of 986
15.2.7 Serial Status Register (SCSSR1)
Bit:76543210
TDRE RDRF ORER FER PER TEND MPB MPBT
Initial value:1000010
R/W: R/(W)*R/(W)*R/(W)*R/(W)*R/(W)*RRR/W
Note: *Only 0 can be written, to clear the flag.
SCSSR1 is an 8-bit register containing status flags that indicate the operating status of the SCI,
and mu ltiprocessor bits.
SCSSR1 can be read or written to by the CPU at all times. However, 1 cannot be written to flags
TDRE, RDRF, ORER, PER, and FER. Also note that in order to clear these flags they must be
read as 1 beforehand. The TEND flag and MPB flag are read-only flags and cannot be modified.
SCSSR1 is initialized to H'84 by a power-on reset or manual r e set, in standby mode, and in the
module standby state.
Bit 7—Transmit Data Register Empty (TDR E): Indicates that data has been transferred from
SCTDR1 to SCTSR1 and th e next serial transmit data can be wr itten to SCTDR1.
Bit 7: TDRE Description
0 Valid transmit data has been written to SCTDR1
[Clearing cond iti ons ]
When 0 is written to TDRE after reading TDRE = 1
When data is written to SCTDR1 by the DMAC
1 There is no valid transmit data in SCTDR 1 (Initial value)
[Setting conditions]
Power-on reset, manual reset, standby mode, or module standby
When the TE bit in SCSCR1 is 0
When data is transferred from SCTDR1 to SCTSR1 and data can be
written to SCTDR1
Rev. 6.0, 07/02, page 606 of 986
Bit 6—Receive Data Register Full (RDRF): Indicates that the received data has been stored in
SCRDR1.
Bit 6: RDRF Description
0 There is no valid receive data in SCRDR1 (Initial value)
[Clearing cond iti ons ]
Power-on reset, manual reset, standby mode, or module standby
When 0 is written to RDRF after reading RDRF = 1
When data in SCRDR1 is read by the DMAC
1 There is valid receive data in SCRDR1
[Setting condition]
When serial reception ends normally and receive data is transferred from
SCRSR1 to SCRDR1
Note: SCRDR1 and the RDRF flag are not affected and retain their previous values when an error
is detected during reception or when the RE bit in SCSCR1 is cleared to 0.
If reception of the next data is completed while the RDRF flag is still set to 1, an overrun
error will occur and the receive data will be lost.
Bit 5—Overrun Error (ORER): Indicates that an overrun error occurred during reception,
causing abnormal termination.
Bit 5: ORER Description
0 Reception in progress, or reception has ended normally*1(Initial value)
[Clearing cond iti ons ]
Power-on reset, manual reset, standby mode, or module standby
When 0 is written to ORER after reading ORER = 1
1 An overrun error occurred during reception*2
[Setting condition]
When the next serial reception is completed while RDRF = 1
Notes: *1 The ORER flag is not affected and retains its previous state when the RE bit in
SCSCR1 is cleared to 0.
*2 The receive data prior to the overrun error is retained in SCRDR1, and the data
received subsequently is lost. Serial reception cannot be continued while the ORER flag
is set to 1. In synchronous mode, seri al transmission cannot be continued either.
Rev. 6.0, 07/02, page 607 of 986
Bit 4—Framing Error (FER): Indicates that a framing error occurred during reception in
asynchronous mode, causing abnormal termination.
Bit 4: FER Description
0 Reception in progress, or reception has ended normally*1(Initial value)
[Clearing cond iti ons ]
Power-on reset, manual reset, standby mode, or module standby
When 0 is written to FER after reading FER = 1
1 A framing error occurred during reception
[Setting condition]
When the SCI checks whether the stop bit at the end of the receive data is 1
when reception ends, and the stop bit is 0*2
Notes: *1 The FER flag is not affected and retains its previous state when the RE bit in SCSCR1
is cleared to 0.
*2 In 2-stop-bit mode, only the first stop bit is checked for a value of 1; the second stop bit
is not checked. If a framing error occurs, the receive data is transferred to SCRDR1 but
the RDRF flag is not set. Serial reception cannot be continued while the FER flag is set
to 1.
Bit 3—Parity Error (PER): Indicates that a parity error occurred during reception with parity
addition in asynchronous mode, causing abnormal termination.
Bit 3: PER Description
0 Reception in progress, or reception has ended normally*1(Initial value)
[Clearing cond iti ons ]
Power-on reset, manual reset, standby mode, or module standby
When 0 is written to PER after reading PER = 1
1 A parity error occurred during reception*2
[Setting condition]
When, in reception, the number of 1-bits in the receive data plus the parity
bit does not match the parity setting (even or odd) specified by the O/E bit in
SCSMR1
Notes: *1 The PER flag is not affected and retains its previous state when the RE bit in SCSCR1
is cleared to 0.
*2 If a parity error occurs, the receive data is transferred to SCRDR1 but the RDRF flag is
not set. Serial reception cannot be continued while the PER flag is set to 1.
Rev. 6.0, 07/02, page 608 of 986
Bit 2—Transmit End (TEN D): Ind icates that there is no valid da ta in SCTDR1 when the last b it
of the transmit character is sent, and transmission has been ended.
The TEND flag is read-only and cannot be modified.
Bit 2: TEND Description
0 Transmission is in progress
[Clearing cond iti ons ]
When 0 is written to TDRE after reading TDRE = 1
When data is written to SCTDR1 by the DMAC
1 Transmission has been ended (Initial value)
[Setting conditions]
Power-on reset, manual reset, standby mode, or module standby
When the TE bit in SCSCR1 is 0
When TDRE = 1 on transmission of the last bit of a 1-byte serial transmit
character
Bit 1—Multiprocessor Bit (MPB)*: This bit is read-only and cannot be written to. The read
value is undefined.
Note: * This bit is prepared for stor ing a multi-pr ocessor bit in the received data when the receipt
is carried out with a multi-processor for m at in asynchronous mode . This bit does not
function corr ectly in this LSI . Ho wever, do not use the read value from this bit.
Bit 0—Multiprocessor Bit Transfer (MPBT): When transmission is performed using a
multiprocessor format in asynchronous mode, MPBT stores the multiprocessor bit to be added to
the transmit data.
The MPBT bit setting is invalid in syn c hronous mode, when a multiprocessor format is no t used,
and when the operation is not transmission.
Unlike transm it d a ta, the MPBT bit is not double- buf fered, so it is necessary to check whether
transmission has been completed before changing its value.
Bit 0: MPBT Description
0 Data with a 0 multiprocessor bit is transmitted (Initial value)
1 Data with a 1 multiprocessor bit is transmitted
Rev. 6.0, 07/02, page 609 of 986
15.2.8 Serial Port Register (SCSPTR1)
Bit:76543210
EIO SPB1IO SPB1DT SPB0IO SPB0DT
Initial value:000000
R/W: R/W R/W R/W R/W R/W
SCSPTR1 is an 8-bit readable/writable register that contro ls input/output and data for the p ort p ins
multiplexed with th e serial communication interface (SCI) pins. Input data can be read from the
RxD pin, ou tput d ata written to the TxD pin, and breaks in serial transmission/receptio n
controlled, by means of bits 1 and 0. SCK pin data reading and output data writing can be
performed by means of bits 3 and 2. Bit 7 controls enabling and disabling of the RXI interrupt.
SCSPTR1 can b e read or written to by the CPU at all times. All SCSPTR1 bits except bits 2 and 0
are initialized to H'0 0 by a power-on reset or manua l reset; the v a lue of bits 2 and 0 is undefin ed.
SCSPTR1 is not initialized in the module standby state or standby mode.
Bit 7—Error Interrupt Only (EIO): When the EIO bit is 1, an RXI interrupt reque st is not sent
to the CPU even if the RIE bit is set to 1. When the DMAC is used, this setting m eans that only
ERI interrupts are handled by the CPU. The DMAC transfers read data to memory or another
peripheral module. This bit specifies enabling or disabling of the RXI interrupt.
Bit 7: EIO Description
0 When the RIE bit is 1, RXI and ERI interrupts are sent to INTC(Initial value)
1 When the RIE bit is 1, only ERI interrupts are sent to INTC
Bits 6 to 4—Reserved: These bits are always read as 0, and shou ld only be written with 0.
Bit 3—Serial Port Clock Port I/O (SPB1IO): Specifies serial port SCK pin inpu t/output. When
the SCK pin is actually set as a port output pin and outputs the value set by the SPB1DT bit, the
C/A bit in SCSMR1 and the CKE1 and CKE0 bits in SCSCR1 should be cleared to 0.
Bit 3: SPB1IO Description
0 SPB1DT bit value is not ou tput to the SCK pin (Initial value)
1 SPB1DT bit value is output to the SCK pin
Rev. 6.0, 07/02, page 610 of 986
Bit 2—Serial Port Clock Port Data (SPB1DT): Specifies the serial port SCK pin inpu t/output
data. Input or output is specified by the SPB1IO bit (see the description of bit 3, SPB1IO, for
details). Wh en output is specified, the value of the SPB1DT bit is output to the SCK pin. The SCK
pin value is r ead fr om the SPB1DT bit regardless o f the value of the SPB1IO b it. The initial value
of this bit after a power-on or manual reset is undefined.
Bit 2: SPB1DT Description
0 Input/output data is low-level
1 Input/output data is high-level
Bit 1—Serial Port Break I/O (SPB0IO): Specifies the serial port TxD pin output co ndition.
When the TxD pin is actually set as a port output pin and outputs the value set by the SPB0DT bit,
the TE bit in SCSCR1 should be cleared to 0.
Bit 1: SPB0IO Description
0 SPB0DT bit value is not output to the TxD pin (Initial value)
1 SPB0DT bit value is output to the TxD pin
Bit 0—Serial Port Break Data (SPB0DT) : Specifies the serial port Rx D pin input data and TxD
pin output data. The TxD pin output condition is specified by the SPB0IO bit (see the description
of bit 1, SPB0IO, for details). When the TxD pin is designated as an output, the value of the
SPB0DT bit is output to the TxD pin. The RxD pin value is read from the SPB0DT bit regardless
of the value of the SPB0I O bit. The initial value of this bit after a power-on or manual re set is
undefined.
Bit 0: SPB0DT Description
0 Input/output data is low-level
1 Input/output data is high-level
SCI I/O port block diagrams are shown in figures 15.2 to 15.4.
Rev. 6.0, 07/02, page 611 of 986
Reset
Reset
Internal data bus
SPTRW
SPTRW
SCI
R
QD
SPB1IO
C
R
QD
SPB1DT
C
SPTRR
Clock output enable signal
Serial clock output signal
Serial clock input signal
Clock input enable signal
*
MD0/SCK
Mode setting
register
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: *Signals that set the SCK pin function as internal clock output or external clock input according to
the CKE0 and CKE1 bits in SCSCR1 and the C/
bit in SCSMR1.
Figure 15.2 MD0/SCK Pin
Rev. 6.0, 07/02, page 612 of 986
Reset
Internal data bus
SPTRW
SCI
R
QD
SPB0IO
C
Reset
SPTRW
R
QD
SPB0DT
C
MD7/TxD
Mode setting register Transmit enable signal
Serial transmit data
SPTRW: Write to SPTR
Figure 15.3 MD7/TxD Pin
Internal data bus
SCI
RxD
SPTRR
Serial receive data
SPTRR: Read SPTR
Figure 15.4 RxD Pin
Rev. 6.0, 07/02, page 613 of 986
15.2.9 Bit Rate Register (SCBRR1)
Bit:76543210
Initial value:11111111
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
SCBRR1 is an 8-bit register that sets the serial transfer bit rate in accordance with the baud rate
generator operating clock selected by bits CKS1 and CKS0 in SCSMR1.
SCBRR1 can be read or written to by the CPU at all times.
SCBRR1 is in itialized to H'FF by a power-on reset or manual reset, in standby mode, and in the
module standby state.
The SCBRR1 setting is found from the following equations.
Asynchronous mode:
N = × 106 – 1
64 × 22n–1 × B
φ
P
Synchronous mode:
N = × 106 – 1
8 × 22n–1 × B
φ
P
Where B: Bit r a te (bits/s)
N: SCBRR1 setting for baud rate generator (0 N 255)
Pφ: Peripheral module operating frequency (MHz)
n: Baud rate generator input clock (n = 0 to 3)
(See the table below for the relation between n and the clock.)
SCSMR1 Setting
n Clock CKS1 CKS0
0Pφ00
1Pφ/4 0 1
2Pφ/16 1 0
3Pφ/64 1 1
Rev. 6.0, 07/02, page 614 of 986
The bit rate error in asynchronous mode is found from the following equation:
Error (%) = × 100
P × 10
6
(N + 1) × B × 64 × 2
2n–1
φ
– 1
Table 15.3 shows sample SCBR R1 settings in asynchronous mode, and table 15.4 shows sample
SCBRR1 settings in synchronous mode.
Rev. 6.0, 07/02, page 615 of 986
Table 15.3 Examples of Bit Rates and SCBRR1 Settings in Asynchronous Mode
Pφ
φφ
φ (MHz)
2 2.097152 2.4576 3
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 1 141 0.03 1 148 –0.04 1 174 –0.26 1 212 0.03
150 1 103 0.16 1 108 0.21 1 127 0.00 1 155 0.16
300 0 207 0.16 0 217 0.21 0 255 0.00 1 77 0.16
600 0 103 0.16 0 108 0.21 0 127 0.00 0 155 0.16
1200 0 51 0.16 0 54 –0.70 0 63 0.00 0 77 0.16
2400 0 25 0.16 0 26 1.14 0 31 0.00 0 38 0.16
4800 0 12 0.16 0 13 –2.48 0 15 0.00 0 19 –2.34
9600 0 6 –6.99 0 6 –2.48 0 7 0.00 0 9 –2.34
19200 0 2 8.51 0 2 13.78 0 3 0.00 0 4 –2.34
31250 0 1 0.00 0 1 4.86 0 1 22.88 0 2 0.00
38400 0 1 –18.62 0 1 –14.67 0 1 0.00
Pφ
φφ
φ (MHz)
3.6864 4 4.9152 5
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 2 64 0.70 2 70 0.03 2 86 0.31 2 88 –0.25
150 1 191 0.00 1 207 0.16 1 255 0.00 2 64 0.16
300 1 95 0.00 1 103 0.16 1 127 0.00 1 129 0.16
600 0 191 0.00 0 207 0.16 0 255 0.00 1 64 0.16
1200 0 95 0.00 0 103 0.16 0 127 0.00 0 129 0.16
2400 0 47 0.00 0 51 0.16 0 63 0.00 0 64 0.16
4800 0 23 0.00 0 25 0.16 0 31 0.00 0 32 –1.36
9600 0 11 0.00 0 12 0.16 0 15 0.00 0 15 1.73
19200 0 5 0.00 0 6 –6.99 0 7 0.00 0 7 1.73
31250 0 3 0.00 0 4 –1.70 0 4 0.00
38400 0 2 0.00 0 2 8.51 0 3 0.00 0 3 1.73
Legend
Blank: No setting is availabl e.
—: A setting is availa ble but error occ urs.
Rev. 6.0, 07/02, page 616 of 986
Table 15.3 Examples of Bit Rates and SC BRR1 Settings in A sy nchronous Mode (c ont)
Pφ
φφ
φ (MHz)
6 6.144 7.37288 8
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 2 106 –0.44 2 108 0.08 2 130 –0.07 2 141 0.03
150 2 77 0.16 2 79 0.00 2 95 0.00 2 103 0.16
300 1 155 0.16 1 159 0.00 1 191 0.00 1 207 0.16
600 1 77 0.16 1 79 0.00 1 95 0.00 1 103 0.16
1200 0 155 0.16 0 159 0.00 0 191 0.00 0 207 0.16
2400 0 77 0.16 0 79 0.00 0 95 0.00 0 103 0.16
4800 0 38 0.16 0 39 0.00 0 47 0.00 0 51 0.16
9600 0 19 –2.34 0 19 0.00 0 23 0.00 0 25 0.16
19200 0 9 –2.34 0 9 0.00 0 11 0.00 0 12 0.16
31250 0 5 0.00 0 5 2.40 0 6 5.33 0 7 0.00
38400 0 4 –2.34 0 4 0.00 0 5 0.00 0 6 –6.99
Pφ
φφ
φ (MHz)
9.8304 10 12 12.288
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 2 174 –0.26 2 177 –0.25 2 212 0.03 2 217 0.08
150 2 127 0.00 2 129 0.16 2 155 0.16 2 159 0.00
300 1 255 0.00 2 64 0.16 2 77 0.16 2 79 0.00
600 1 127 0.00 1 129 0.16 1 155 0.16 1 159 0.00
1200 0 255 0.00 1 64 0.16 1 77 0.16 1 79 0.00
2400 0 127 0.00 0 129 0.16 0 155 0.16 0 159 0.00
4800 0 63 0.00 0 64 0.16 0 77 0.16 0 79 0.00
9600 0 31 0.00 0 32 –1.36 0 38 0.16 0 39 0.00
19200 0 15 0.00 0 15 1.73 0 19 0.16 0 19 0.00
31250 0 9 –1.70 0 9 0.00 0 11 0.00 0 11 2.40
38400 0 7 0.00 0 7 1.73 0 9 –2.34 0 9 0.00
Rev. 6.0, 07/02, page 617 of 986
Table 15.3 Examples of Bit Rates and SC BRR1 Settings in A sy nchronous Mode (c ont)
Pφ
φφ
φ (MHz)
14.7456 16 19.6608 20
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 3 64 0.70 3 70 0.03 3 86 0.31 3 88 –0.25
150 2 191 0.00 2 207 0.16 2 255 0.00 3 64 0.16
300 2 95 0.00 2 103 0.16 2 127 0.00 2 129 0.16
600 1 191 0.00 1 207 0.16 1 255 0.00 2 64 0.16
1200 1 95 0.00 1 103 0.16 1 127 0.00 1 129 0.16
2400 0 191 0.00 0 207 0.16 0 255 0.00 1 64 0.16
4800 0 95 0.00 0 103 0.16 0 127 0.00 0 129 0.16
9600 0 47 0.00 0 51 0.16 0 63 0.00 0 64 0.16
19200 0 23 0.00 0 25 0.16 0 31 0.00 0 32 –1.36
31250 0 14 –1.70 0 15 0.00 0 19 –1.70 0 19 0.00
38400 0 11 0.00 0 12 0.16 0 15 0.00 0 15 1.73
Pφ
φφ
φ (MHz)
24 24.576 28.7 30
Bit Rate
(bits/s) n N Error
(%) n N Error
(%) n N Error
(%) n N Error
(%)
110 3 106 –0.44 3 108 0.08 3 126 0.31 3 132 0.13
150 3 77 0.16 3 79 0.00 3 92 0.46 3 97 –0.35
300 2 155 0.16 2 159 0.00 2 186 –0.08 2 194 0.16
600 2 77 0.16 2 79 0.00 2 92 0.46 2 97 –0.35
1200 1 155 0.16 1 159 0.00 1 186 –0.08 1 194 0.16
2400 1 77 0.16 1 79 0.00 1 92 0.46 1 97 –0.35
4800 0 155 0.16 0 159 0.00 0 186 –0.08 0 194 –1.36
9600 0 77 0.16 0 79 0.00 0 92 0.46 0 97 –0.35
19200 0 38 0.16 0 39 0.00 0 46 –0.61 0 48 –0.35
31250 0 23 0.00 0 24 –1.70 0 28 –1.03 0 29 0.00
38400 0 19 –2.34 0 19 0.00 0 22 1.55 0 23 1.73
Rev. 6.0, 07/02, page 618 of 986
Table 15. 4 Examples of Bit Rates and SCBRR1 Settings in Synchronous Mode
Pφ
φφ
φ (MHz)
4 8 16 28.7 30
Bit Rate (bits/s)nN nN nN nN nN
10 —— —— —— —— ——
250 2 249 3 124 3 249
500 2 124 2 249 3 124 3 223 3 233
1k 1 249 2 124 2 249 3 111 3 116
2.5k 1 99 1 199 2 99 2 178 2 187
5k 0 199 1 99 1 199 2 89 2 93
10k 0 99 0 199 1 99 1 178 1 187
25k 0 39 0 79 0 159 1 71 1 74
50k 0 19 0 39 0 79 0 143 0 149
100k 0 9 0 19 0 39 0 71 0 74
250k 0 3 0 7 0 15 0 29
500k 0 1 0 3 0 7 0 14
1M 0 0*01 03
2M 0 0*0 1 —— ——
Note: As far as possible, the setting should be made so that the error is within 1%.
Legend
Blank: No setting is availabl e.
—: A setting is availa ble but error occ urs.
* Continuous transmission/reception is not possible.
Rev. 6.0, 07/02, page 619 of 986
Table 15.5 shows the maximum bit rate for various frequencies in asynchronous mode. Tables
15.6 and 15.7 show the maximum bit rates with external clock input.
Table 15.5 Maximum Bit Rate for Va rious Frequencies with Baud Rate Generat or
(Asynchrono us Mo de)
Settings
Pφ
φφ
φ (MHz) Maximum Bit Rate (bits/s) n N
2 62500 0 0
2.097152 65536 0 0
2.4576 76800 0 0
3 93750 0 0
3.6864 115200 0 0
4 125000 0 0
4.9152 153600 0 0
8 250000 0 0
9.8304 307200 0 0
12 375000 0 0
14.7456 460800 0 0
16 500000 0 0
19.6608 614400 0 0
20 625000 0 0
24 750000 0 0
24.576 768000 0 0
28.7 896875 0 0
30 937500 0 0
Rev. 6.0, 07/02, page 620 of 986
Table 15.6 Maximum Bit Rate with External Clock Input (Asynchronous Mode)
Pφ
φφ
φ (MHz) External Input Clock (MHz) Maximum Bit Rate (bits/s)
2 0.5000 31250
2.097152 0.5243 32768
2.4576 0.6144 38400
3 0.7500 46875
3.6864 0.9216 57600
4 1.0000 62500
4.9152 1.2288 76800
8 2.0000 125000
9.8304 2.4576 153600
12 3.0000 187500
14.7456 3.6864 230400
16 4.0000 250000
19.6608 4.9152 307200
20 5.0000 312500
24 6.0000 375000
24.576 6.1440 384000
28.7 7.1750 448436
30 7.5000 468750
Table 15.7 Maximum Bit Rate with External Clock In put (Synchronous Mode)
Pφ
φφ
φ (MHz) External Input Clock (MHz) Maximum Bit Rate (bits/s)
8 1.3333 1333333.3
16 2.6667 2666666.7
24 4.0000 4000000.0
28.7 4.7833 4783333.3
30 5.0000 5000000.0
Rev. 6.0, 07/02, page 621 of 986
15.3 Operation
15.3.1 Overview
The SCI can carry out serial communication in two modes: asynchronous mode in which
synchronization is achieved character by character, and synchronous mode in which
synchronization is achieved with clock pulses.
Selection of asynchronous or synchronous mode and the transmission format is made using
SCSMR1 as shown in table 15.8. The SCI clock source is determined by a combination of the C/A
bit in SCSMR1 and the CKE1 and CKE0 bits in SCSCR1, as shown in table 15.9.
Asynchronous mode
Data length: Choice of 7 or 8 bits
Choice of parity addition, mu ltiprocessor bit add ition, and addition of 1 or 2 sto p bits (the
combination of these parameters determines the transfer format and character length)
Detection of framing, parity, and overrun errors, and breaks, during reception
Choice of internal or external clock as SCI clock source
When internal clock is selected: The SCI operates on the baud rate generator clock and a
clock with the same frequency as the bit rate can be output.
When external clock is selected: A clock with a frequency of 16 times the bit rate must be
input (the on-chip baud rate generator is not used).
Synchronous mode
Transfer form at: Fixed 8-bit data
Detection of overrun errors during reception
Choice of internal or external clock as SCI clock source
When internal clock is selected: The SCI operates on the baud rate generator clock and a
serial clock is output off-chip.
When external clock is selected: The on-chip baud rate generator is not used, and the SCI
operates on the input serial clock.
Rev. 6.0, 07/02, page 622 of 986
Table 15.8 SCSMR1 Settings for Serial Transfer Format Selection
SCSMR1 Settings SCI Transfer Format
Bit 7:
C/A
AA
ABit 6:
CHR Bit 2:
MP Bit 5:
PE Bit 3:
STOP Mode Data
Length
Multi-
processor
Bit Parity
Bit Stop Bit
Length
0 0 0 0 0 8-bit data No No 1 bit
12 bits
10 Yes1 bit
12 bits
1 0 0 7-bit data No 1 bit
12 bits
10 Yes1 bit
1
Asynchronous
mode
2 bits
01*0 8-bit data Yes No 1 bit
12 bits
1 0 7-bit data 1 bit
1
Asynchronous
mode
(multiprocessor
format) 2 bits
1****Synchronous
mode 8-bit data No None
Note: An asterisk in the table means “Don’t care.”
Table 15.9 SCSMR1 and SCSCR1 Settings for SCI Clock Source Selection
SCSMR1 SCSCR1 Setting SCI Transmit/Receive Clock
Bit 7:
C/A
AA
ABit 1:
CKE1 Bit 0:
CKE0 Mode Clock
Source SCK Pin Function
0 0 0 Internal SCI does not use SCK pin
1 Outputs clock with same
frequency as bit rate
1 0 External Inputs clock with frequency of
16 times the bit rate
1
Asynchronous
mode
1 0 0 Internal Outputs serial cloc k
1
1 0 External Inputs serial clock
1
Synchronous
mode
Rev. 6.0, 07/02, page 623 of 986
15.3.2 Operation in Asynchronous Mode
In asynchronous mode, characters are sent or received, each preceded by a start bit indicating the
start of communication and followed by one or two stop bits indicating the end of communication.
Serial communication is thus carried out with synchronization established on a character-by-
character basis.
Inside the SCI, the tran smitter and receive r are independ ent un its, en abling full-d uplex
communication. Both the transmitter and the receiver also have a double-buffered structure, so
that data can be read or written du ring transmission or reception, en abling continuous data
transfer.
Figure 15.5 shows the general format for asynchronous serial communication.
In asynchronous serial communication, the transmission line is usually held in the mark state (high
level). The SCI monitors the transmission line, and when it goes to the space state (low level),
recognizes a start bit and starts serial communication.
One serial communication character consists of a start bit (low level), followed by data (in LSB-
first order), a parity bit (high or low level), and finally one or two stop bits (high level).
In asynchro nous mode, the SCI performs synchronization at the f a llin g edge of the start bit in
reception. The SCI samples the data on the eighth pulse of a clock with a frequency of 16 times
the length of one bit, so that the transfer data is latched at the center of each bit.
Serial
data
(LSB)
7 or 8 bits
One unit of transfer data (character or frame)
Parity
bit
1 bit,
or none 1 or
2 bits
Stop
bit(s)
1 1
0D0 D1 D2 D3 D4 D5 D6 D7 0/1 1 1
Idle state (mark state)
Start
bit
1 bit
(MSB)
Transmit/receive data
Figure 15.5 Data Format in Asynchronous Communication (Example with 8-Bit Data,
Parity, Two Stop Bits)
Data Transfer Format
Table 15.10 shows the data transfer fo rmats that can be used in asynchronous mode. Any of 12
transfer formats can be selected accordin g to the SCSMR1 setting.
Rev. 6.0, 07/02, page 624 of 986
Table 15.10 Serial Transfer Formats (Asynchronous Mode)
SCSMR1 Settings Serial Transfer Format and Frame Length
CHRPEMPSTOP 123456789101112
0 000 S 8-bit data STOP
0 0 0 1 S 8-bit data STOP STOP
0 100 S 8-bit data PSTOP
0 1 0 1 S 8-bit data P STOP STOP
1 000 S 7-bit data STOP
1 0 0 1 S 7-bit data STOP S TOP
1 100 S 7-bit data PSTOP
1 1 0 1 S 7-bit data P STOP STOP
0*1 0 S 8-bit data MPB STOP
0*1 1 S 8-bit data MPB STOP STOP
1*1 0 S 7-bit dat a MPB STOP
1*1 1 S 7-bit data MPB STOP STOP
S: Start bit
STOP: Stop bit
P: Parity bit
MPB: Multiprocessor bit
Note: An asterisk in the table means “Don’t care.”
Rev. 6.0, 07/02, page 625 of 986
Clock
Either an internal clock generated by the on-chip baud rate generator or an external clock input at
the SCK pin can b e selected as the SCI’s serial clock, acco rdin g to the setting of the C/A bit in
SCSMR1 and the CKE1 and CKE0 bits in SCSCR1. For details of SCI clock source selection, see
table 15.9.
When an external clock is input at the SCK pin, the clock frequency should be 16 times the bit rate
used.
When the SCI is operated on an internal clock, the clock can be output from the SCK pin. The
frequen cy of the clock output in this case is equ al to the bit rate, and the phase is such that the
rising edge of the clock is at the center of each transmit data bit, as shown in figure 15.6.
D0 D1 D2 D3 D4 D5 D6 D7 0/1 1 1
One frame
0
Figure 15.6 Relation between Output Clock and Transfer Data Phase
(Asynchrono us Mo de)
Data Transfer Operations
SCI Initialization (Asynchronous Mode): Before transmitting and receiving data, it is necessary
to clear the TE and RE bits in SCSCR1 to 0, then initialize the SCI as described below.
When the operating mode, transfer format, etc., is changed, the TE and RE bits must be cleared to
0 before making the change using the following procedure. When the TE bit is cleared to 0, the
TDRE flag is set to 1 an d SCTSR1 is initialized. Note th at clear ing the RE bit to 0 does not change
the contents of the RDRF, PER, FER, and ORER flags, or the contents of SCRDR1.
When an external clock is used the clock should not be stopped du ring operation, including
initialization, sin ce operation will be unreliable in this case.
Figure 15.7 shows a sample SCI initialization flowchart.
Rev. 6.0, 07/02, page 626 of 986
Initialization
Clear TE and RE bits
in SCSCR1 to 0
Set CKE1 and CKE0 bits
in SCSCR1 (leaving TE and
RE bits cleared to 0)
Set transmit/receive format
in SCSMR1
Set value in SCBRR1
1-bit interval elapsed?
Set TE and RE bits in SCSCR1
to 1, and set RIE, TIE, TEIE,
and MPIE bits
End
Yes
Wait
No
1. Set the clock selection in SCSCR1.
Be sure to clear bits RIE, TIE, TEIE,
and MPIE, and bits TE and RE, to 0.
When clock output is selected in
asynchronous mode, it is output
immediately after SCSCR1 settings
are made.
2. Set the transmit/receive format in
SCSMR1.
3. Write a value corresponding to the
bit rate into SCBRR1. (Not
necessary if an external clock is
used.)
4. Wait at least one bit interval, then set
the TE bit or RE bit in SCSCR1 to 1.
Also set the RIE, TIE, TEIE, and
MPIE bits.
Setting the TE and RE bits enables
the TxD and RxD pins to be used.
When transmitting, the SCI will go to
the mark state; when receiving, it will
go to the idle state, waiting for a start
bit.
Figure 15.7 Sample SCI Initialization Flowchart
Serial Data Transmission (Asynchronous Mode): Figure 15.8 shows a sample flowchart for
serial tr a nsmission .
Use the following procedure for serial data transmission after enabling the SCI for transmission.
Rev. 6.0, 07/02, page 627 of 986
Start of transmission
Read TDRE flag in SCSSR1
TDRE = 1?
All data transmitted?
TEND = 1?
Break output?
Clear TE bit in SCSCR1 to 0
End of transmission
Yes
No
Yes
No
Yes
No
Yes
No
Write transmit data to SCTDR1
and clear TDRE flag
in SCSSR1 to 0
Read TEND flag in SCSSR1
Clear SPB0DT to 0 and
set SPB0IO to 1
1. SCI status check and transmit data
write: Read SCSSR1 and check that
the TDRE flag is set to 1, then write
transmit data to SCTDR1 and clear
the TDRE flag to 0.
2. Serial transmission continuation
procedure: To continue serial
transmission, read 1 from the TDRE
flag to confirm that writing is possible,
then write data to SCTDR1, and then
clear the TDRE flag to 0. (Checking
and clearing of the TDRE flag is
automatic when the direct memory
access controller (DMAC) is activated
by a transmit-data-empty interrupt
(TXI) request, and data is written to
SCTDR1.)
3. Break output at the end of serial
transmission: To output a break in
serial transmission, clear the SPB0DT
bit to 0 and set the SPB0IO bit to 1 in
SCSPTR, then clear the TE bit in
SCSCR1 to 0.
Figure 15.8 Sample Serial Transmission Flowchart
Rev. 6.0, 07/02, page 628 of 986
In serial transmission, the SCI operates as described below.
1. The SCI monitors the TDRE flag in SCSS R1. When TDRE is cleared to 0, the SCI recognizes
that data has been written to SCTDR1, and tr ansfers the data from SCTDR1 to SCTSR1.
2. After transferring data from SCTDR1 to SCTSR1, the SCI sets the TDRE flag to 1 and starts
transmission . If the TIE bit is set to 1 at this time, a transmit-data-empty interrup t (TXI) is
generated.
The serial transmit d a ta is sent from the TxD pin in the follo wing order.
a. Start bit: One 0-b it is output.
b. Transm it data: 8-bit or 7-bit data is output in LSB-f irst order.
c. Parity bit or m ultiprocessor bit: On e parity bit (even or odd parity), or one multiprocessor
bit is outpu t. ( A format in which neither a parity bit nor a multip rocessor bit is o utput can
also be selected.)
d. Stop bit(s): One or two 1-bits (stop bits) are output.
e. Mark state: 1 is outp ut continuously un til the start bit that starts the next transmission is
sent.
3. The SCI checks the TDRE flag at the timing for sending the stop bit. If the TDRE flag is
cleared to 0, data is transferred from SCTDR1 to SCTSR1, the stop bit is sent, and then serial
transmission of the next frame is started.
If the TDRE flag is set to 1, the TEND flag in SCSSR1 is set to 1, the stop bit is sent, and then
the line goe s to the mark state in which 1 is output continuously. If the TEIE bit in SCSCR1 is
set to 1 at this time, a TEI interrupt request is gener a ted.
Figure 15.9 shows an example of the operation for transmission in asynchronous mode.
Rev. 6.0, 07/02, page 629 of 986
1
0 D0 D1 D7 0/1 1 0 D0 D1 D7 0/1 1
1
TDRE
TEND
Serial
data
Start
bit Data Parity
bit Stop
bit Start
bit Idle state
(mark state)
Data Parity
bit Stop
bit
TXI interrupt
request Data written to SCTDR1
and TDRE flag cleared to
0 by TXI interrupt handler
One frame
TEI interrupt
request
TXI interrupt
request
Figure 15.9 Example of Transmit Operation in Asynchronous Mode
(Example with 8-Bit Data, Parity, One Stop Bit)
Serial Data Reception (Asynchronous Mode): Figure 15.10 shows a sample flowchart for serial
reception.
Use the following procedure for serial data reception after en abling the SCI for reception.
Rev. 6.0, 07/02, page 630 of 986
Start of reception
Read ORER, PER, and FER flags
in SCSSR1
Read RDRF flag in SCSSR1
PER or FER
or ORER = 1?
RDRF = 1?
All data received?
Clear RE bit in SCSCR1 to 0
End of reception
Error handling
Yes
No
Yes
No
Yes
No
Read receive data in SCRDR1,
and clear RDRF flag
in SCSSR1 to 0
1. Receive error handling and
break detection: If a receive
error occurs, read the ORER,
PER, and FER flags in
SCSSR1 to identify the error.
After performing the
appropriate error handling,
ensure that the ORER, PER,
and FER flags are all cleared to
0. Reception cannot be
resumed if any of these flags
are set to 1. In the case of a
framing error, a break can be
detected by reading the value
of the RxD pin.
2. SCI status check and receive
data read : Read SCSSR1 and
check that RDRF = 1, then read
the receive data in SCRDR1
and clear the RDRF flag to 0.
3. Serial reception continuation
procedure: To continue serial
reception, complete zero-
clearing of the RDRF flag
before the stop bit for the
current frame is received. (The
RDRF flag is cleared
automatically when the direct
memory access controller
(DMAC) is activated by an RXI
interrupt and the SCRDR1
value is read.)
Figure 15.10 Sample Serial Reception Flowchart (1)
Rev. 6.0, 07/02, page 631 of 986
Error handling
ORER = 1?
FER = 1?
Break?
PER = 1?
End
Yes
Yes
No
Yes
No
No
No
Yes
Clear ORER, PER, and FER flags
in SCSSR1 to 0
Parity error handling
Framing error handling Clear RE bit in SCSCR1 to 0
Overrun error handling
Figure 15.10 Sample Serial Reception Flowchart (2)
Rev. 6.0, 07/02, page 632 of 986
In serial reception, the SCI operates as described below.
1. The SCI m onitors the transmission line, and if a 0 start bit is detected, performs in ter nal
synchronization and starts reception.
2. The received data is stored in SCRSR1 in LSB-to-MSB order.
3. The parity bit and stop bit are received.
After receiving these bits, the SCI carries out the following checks.
a. Parity check: The SCI checks whether the number of 1-bits in the receive data agrees with
the parity ( even or odd ) set in the O/E bit in SCSMR1.
b. Stop bit check: The SCI checks whether the stop bit is 1. If there are two stop bits, only the
first is checked.
c. Status check: The SCI checks whether the RDRF flag is 0, indicating that the receive data
can be transferred from SCRSR1 to SCRDR1.
If all the above checks are passed, the RDRF flag is set to 1, and the receive data is stored in
SCRDR1.
If a receive error is detected in the error check, the operation is as shown in table 15.11.
Note: No further receive operations can be performed when a receive erro r has occurred. Also
note that the RDRF flag is not set to 1 in reception, and so the error flags must be cleared
to 0.
4. If the EIO bit in SCSPTR1 is cleared to 0 and the RIE bit in SCSCR1 is set to 1 when the
RDRF flag changes to 1, a receive-data-full interrupt (RXI) request is generated.
If the RIE bit in SCSCR1 is set to 1 when the ORER, PER, or FER flag changes to 1 , a
receive-error interrupt (ERI) request is generated. A receive-data-full request is always output
to the DMAC when the RDRF flag changes to 1.
Table 15.11 Receive Error Conditions
Receive Error Abbreviation Condition Data Transfer
Overrun error ORER Reception of next data is
completed while RDRF flag
in SCSSR1 is set to 1
Receive data is not tran sferre d
from SCRSR1 to SCRDR1
Framing error FER Stop bit is 0 Receive data is transferred
from SCRSR1 to SCRDR1
Parity error PER Received data parity differs
from that (even or odd) set
in SCSMR1
Receive data is transferre d
from SCRSR1 to SCRDR1
Figure 15.11 shows an example of the operation for reception in asynchronous mode.
Rev. 6.0, 07/02, page 633 of 986
1
0 D0 D1 D7 0/1 1 0 D0 D1 D7 0/1 0/10
RDRF
FER
Serial
data
Start
bit Data Parity
bit Stop
bit Start
bit Data Parity
bit Stop
bit
RXI interrupt
request
One frame
SCRDR1 data read and
RDRF flag cleared to 0
by RXI interrupt handler
ERI interrupt request
generated by framing
error
Figure 15.11 Example of SCI Receive Operation
(Example with 8-Bit Data, Parity, One Stop Bit)
Rev. 6.0, 07/02, page 634 of 986
15.3.3 Multiprocessor Communication Function
The multipr ocessor communication fun ction performs serial comm unicatio n using a
multipro cessor format, in which a multiprocessor bit is ad ded to the transfer data, in asynchronous
mode. Use of this function enables data transfer to be performed among a number of processo rs
sharing a serial transmission line.
When multipro cessor communication is carried out, each receiving station is addr essed by a
unique ID code.
The serial communication cycle consists of two cycles: an ID transmission cycle which specifies
the receiving station , and a data transmission cycle. The multiprocesso r bit is used to differentiate
between the ID transmission cycle and the data transmission cycle.
The transmitting statio n first sends the ID of the receiving station with which it wants to perform
serial communication as data with a 1 multiprocessor bit added. It then sends transmit data as data
with a 0 multiprocessor bit added.
The receiving station sk ips the data until data with a 1 multiprocessor bit is sen t* .
When data with a 1 multip rocessor bit is received, the receiving station compares that data with its
own ID. The station whose ID matches then receives the data sent next. Stations whose ID does
not match continue to skip the data until data with a 1 multiprocessor bit is again received*. In this
way, data communication is carried out among a number of processors.
Figure 15.12 shows an examp le of in ter-p rocessor communicatio n using a multiprocessor format.
Note: * With this LSI, the RDRF f lag in SCSSR1 is also set to 1 wh en data with a 0
multiprocessor b it transmitted to another station is received . When the RDRF flag in
SCSSR1 is set to 1, check th e state of the MPIE bit in SCSCR1 w ith the exception
handlin g routine, and if the MPIE bit is 1, sk ip the data. That is to say, data sk ipping is
implemented in cooperation with the exception handling routine.
Rev. 6.0, 07/02, page 635 of 986
Transmitting
station
Receiving
station A Receiving
station B Receiving
station C Receiving
station D
(ID = 01) (ID = 02) (ID = 03) (ID = 04)
Serial transmission line
(MPB = 1) (MPB = 0)
H'01 H'AA
MPB: Multiprocessor bit
Serial
data
ID transmission cycle:
Receiving station
specification
Data transmission cycle:
Data transmission to
receiving station specified
by ID
Figure 15.12 Example of Inter-Processor Communication Using Multiprocessor Format
(Transmission of Data H'AA to Receiving Statio n A)
Data Transfer Formats
There are four data transfer formats. When the multiprocessor format is specified , the parity bit
specification is in valid. For details, see table 15.10.
Clock
See the description under Clock in section 15.3.2.
Data Transfer Operations
Multiprocessor Serial Data Transmission: Figure 15.13 shows a sample flowchart for
multiprocessor serial da ta transmission.
Use the following procedure for mu ltiprocessor serial data tr ansmission after enabling the SCI for
transmission.
Rev. 6.0, 07/02, page 636 of 986
Start of transmission
Read TEND flag in SCSSR1
TEND = 1?
Clear TDRE flag to 0
Read TEND flag in SCSSR1
TEND = 1?
Clear MPBT bit in SCSSR1 to 0
Write data to SCTDR1
Clear TDRE flag to 0
Read TDRE flag in SCSSR1
End of transmission
No
Yes
No
Yes
All data transmitted?
TDRE = 1?
Yes
Yes
No
No
Set MPBT bit in SCSSR1 to 1 and
write ID data to SCTDR1
1. SCI status check and ID data write:
Read SCSSR1 and check that the
TEND flag is set to 1, then set the
MPBT bit in SCSSR1 to 1 and write
ID data to SCTDR1. Finally, clear the
TDRE flag to 0.
2. Preparation for data transfer: Read
SCSSR1 and check that the TEND
flag is set to 1, then set the MPBT bit
in SCSSR1 to 1.
3. Serial data transmission: Write the
first transmit data to SCTDR1, then
clear the TDRE flag to 0.
To continue data transmission, be
sure to read 1 from the TDRE flag to
confirm that writing is possible, then
write data to SCTDR1, and then clear
the TDRE flag to 0. (Checking and
clearing of the TDRE flag is
automatic when the direct memory
access controller (DMAC) is
activated by a transmit-data-empty
interrupt (TXI) request, and data is
written to SCTDR1.)
Figure 15.13 Sample Multiprocessor Serial Transmission Flowchart
Rev. 6.0, 07/02, page 637 of 986
In serial transmission, the SCI operates as described below.
1. The SCI monitors the TDRE flag in SCSS R1. When TDRE is cleared to 0, the SCI recognizes
that data has been written to SCTDR1, and tr ansfers the data from SCTDR1 to SCTSR1.
2. After transferring data from SCTDR1 to SCTSR1, the SCI sets the TDRE flag to 1 and starts
transmission.
The serial transmit d a ta is sent from the TxD pin in the following order.
a. Start bit: One 0-b it is output.
b. Transm it data: 8-bit or 7-bit data is output in LSB- f ir st o rder.
c. Multiprocessor bit: One multiprocessor bit (MPBT value) is output.
d. Stop bit(s): One or two 1-bits (stop bits) are output.
e. Mark state: 1 is outp ut continuously un til the start bit that starts the next transmission is
sent.
3. The SCI checks the TDRE flag at the timing f or sen ding the stop bit. If the TDRE flag is set to
1, the TEND flag in SCSSR1 is set to 1, th e stop bit is sent, and then the line goes to the mark
state in which 1 is output. If the TEIE bit in SCSCR1 is set to 1 at this time, a tr ansmit-end
interrupt (TEI) request is generated.
4. The SCI monitors the TDRE flag. When TDRE is cleared to 0, the SCI recognizes that data
has been wr itten to SCTDR1, and transfers the data from SCTDR1 to SCTSR1.
5. After transferring data from SCTDR1 to SCTSR1, the SCI sets the TDRE flag to 1 and starts
transmitting. If the transmit- data-empty interrupt enable bit (TIE bit) in SCSCR1 is set to 1 at
this time, a transm it-data-empty interrupt (TXI) requ est is generated.
The order of transmission is the same as in step 2.
Figure 15.14 shows an exam ple of SCI opera tion for transmission using a multiprocessor format.
Rev. 6.0, 07/02, page 638 of 986
Serial
data
MPBT bit cleared to 0, data
written to SCTDR1, and
TDRE flag cleared to 0 by
TEI interrupt handler
Data written to SCTDR1
and TDRE flag cleared
to 0 by TXI interrupt
handler
TXI interrupt
request TEI interrupt
request
1 1
0D0D1 D7 0 00D0 D1 D7 0D0 D1 D711 1
Multi-
proces-
sor bit
Multi-
proces-
sor bit
Multi-
proces-
sor bit
Stop
bit Start
bit Stop
bit Stop
bit
Start
bit
Data Data DataStart
bit
TDRE
TEND
One frame
Idle state
(mark state)
Figure 15.14 Example of SCI Transmit Operation (Example with 8-Bit Data,
Multiprocessor Bit, One Stop Bit)
Multiprocessor Serial Data Reception: Figure 15.15 shows a sample flowchart for
multiprocessor serial reception.
Use the following procedure for multiprocessor serial d ata reception after enabling the SCI for
reception.
Rev. 6.0, 07/02, page 639 of 986
Start of reception
Set MPIE bit in SCSCR1 to 1
Read ORER and FER flags
in SCSSR1
FER = 1 or ORER = 1?
Read RDRF flag in SCSSR1
Read MPIE bit in SCSCR1
RDRF = 1 and MPIE = 0?
Read receive data in SCRDR1
This station’s ID?
FER = 1 or ORER = 1?
Read RDRF flag in SCSSR1
RDRF = 1?
Read receive data in SCRDR1
All data received?
End of reception
Error handling
Yes
No
Yes
No
Yes
No
Yes
No
No
No
Yes
Yes
Read ORER and FER flags
in SCSSR1
1. ID reception cycle: Set the MPIE
bit in SCSCR1 to 1.
2. SCI status check, ID reception
and comparison: Read SCSSR1
and SCSCR1, and check that the
RDRF flag is set to 1 and MPIE
bit is set to 0, then read the
receive data in SCRDR1 and
compare it with this station’s ID.
If the data is not this station’s ID,
set the MPIE bit to 1 again, and
clear the RDRF flag to 0. If the
data is this station’s ID, clear the
RDRF flag to 0.
3. SCI status check and data
reception: Read SCSSR1 and
check that the RDRF flag is set to
1, then read the data in SCRDR1.
4. Receive error handling and break
detection: If a receive error
occurs, read the ORER and FER
flags in SCSSR1 to identify the
error. After performing the
appropriate error handling,
ensure that the ORER and FER
flags are all cleared to 0.
Reception cannot be resumed if
either of these flags is set to 1. In
the case of a framing error, a
break can be detected by reading
the RxD pin value.
Figure 15.15 Sample Multiprocessor Serial Reception Flowchart (1)
Rev. 6.0, 07/02, page 640 of 986
ORER = 1?
FER = 1?
Error handling
Overrun error handling
Break?
Framing error handling Clear RE bit in SCSCR1 to 0
Clear ORER and FER flags
in SCSSR1 to 0
End
Yes
No
No
Yes
Yes
No
Figure 15.15 Sample Multiprocessor Serial Reception Flowchart (2)
Rev. 6.0, 07/02, page 641 of 986
Figure 15.16 sh o ws an examp le of SCI op eration for multiprocessor format reception.
1
0 D0 D1 D7 1 1 0 D0 D1 D7 0 1
1
MPB
MPIE
RDRF
ID1 ID2 Data2
1
0 D0 D1 D7 1 1 0 D0 D1 D7 0 1
1
MPB MPB
MPIE
RDRF
SCRDR1
value ID1
Serial
data
Start
bit Data (ID1) Stop
bit Start
bit Idle state
(mark state)
Data
(Data1) Stop
bit
(b) Data matches station’s ID
RXI interrupt request
(multiprocessor
interrupt)
MPIE = 0
SCRDR1 data read
and RDRF flag
cleared to 0 by RXI
interrupt handler
As data is not this
station’s ID, MPIE
bit is set to 1 again
RXI interrupt
request The RDRF flag
is cleared to 0
by the RXI
interrupt handler.
MPB
Serial
data
Start
bit Data (ID2) Stop
bit Start
bit Data
(Data2) Stop
bit Idle state
(mark state)
(a) Data does not match station’s ID
SCRDR1
value
RXI interrupt request
(multiprocessor interrupt)
MPIE = 0
SCRDR1 data read
and RDRF flag
cleared to 0 by RXI
interrupt handler
As data matches this
station’s ID, reception
continues and data is
received by RXI
interrupt handler
MPIE bit set
to 1 again
Figure 15.16 Example of SCI Receive Operation (Example with 8-Bit Data, Multiprocessor
Bit, One Stop Bit)
Rev. 6.0, 07/02, page 642 of 986
In multiprocessor mod e serial reception , the SCI operates as described below.
1. The SCI m onitors the transmission line, and if a 0 start bit is detected, performs in ter nal
synchronization and starts reception.
2. The received data is stored in SCRSR1 in LSB-to-MSB order.
3. If the MPIE bit is 1, MPIE is cleared to 0 when a 1 is received in the mu ltiprocessor bit
position. I f the multiprocessor bit is 0, the MPIE bit is not ch ange d.
4. If the MPIE bit is 0, RDRF is checked at the stop bit position, and if RDRF is 1 the overrun
error bit is set. If th e stop bit is not 0, th e framing error bit is set. If RDRF is 0, the value in
SCRSR1 i s tr ansferred to SCRDR1, and if the stop bit is 0, RDRF is set to 1.
15.3.4 Operation in Synchronous Mode
In synchronous mode, data is transmitted or received in synchronization with clock pulses, makin g
it suitable for high-speed serial communication.
Inside the SCI, the tran smitter and receive r are independ ent un its, en abling full-d uplex
communication. Both the transmitter and the receiver also have a double-buffered structure, so
that data can be read or written du ring transmission or reception, en abling continuous data
transfer.
Figure 15.17 shows the general format for synchronous serial communication.
One unit of transfer data (character or frame)
Note:
*
High except in continuous transmission/reception
Serial clock
Serial data
LSB
Bit 0
MSB
**
Bit 1 Bit 2 Bit 3 Bit 4 Bit 5 Bit 6 Bit 7
Don’t careDon’t care
Figure 15.17 Data Format in Synchronous Communication
In synchronous serial commu nication, data on the transmissio n line is outpu t fro m one falling edge
of the serial clock to the next. Data confirmation is guaranteed at the rising edge of the serial
clock.
Rev. 6.0, 07/02, page 643 of 986
In serial communication, one character consists of data output starting with the LSB and ending
with the MSB. After th e MSB is output, the transmission line holds the MSB state.
In synchronous mode, the SCI receives data in synchronization with the falling edge of the serial
clock.
Data Transfer Format
A fixed 8-bit da ta format is used. No parity or multiprocessor bits are added.
Clock
Either an internal clock generated by the on-chip baud rate generator or an external serial clock
input at the SCK pin can be selected, according to the setting of the C/A bit in SCSMR1 and the
CKE1 and CKE0 bits in SCSCR1. For details of SCI clock source selection, see table 15.9.
When the SCI is operated on an internal clock, the serial clock is output from the SCK pin.
Eight serial clock pulses are output in the transfer of one character, and when no transfer is
performed the clock is fixed high. In reception only, if an on-chip clock source is selected, clock
pulses are output while RE = 1. When the last data is received, RE should be cleared to 0 before
the end of bit 7.
Data Transfer Operations
SCI Initializa tion (Synchronous Mode): Before transmitting and receiving da ta, it is n ecessary
to clear the TE and RE bits in SCSCR1 to 0, then initialize the SCI as described below.
When the operating mode, transfer format, etc., is changed, the TE and RE bits must be cleared to
0 before making the change using the following procedure. When the TE bit is cleared to 0, the
TDRE flag is set to 1 an d SCTSR1 is initialized. Note th at clear ing the RE bit to 0 does not change
the contents of the RDRF, PER, FER, and ORER flags, or the contents of SCRDR1.
Figure 15.18 shows a samp le SCI initialization flo w chart.
Rev. 6.0, 07/02, page 644 of 986
Set transmit/receive format
in SCSMR1
1-bit interval elapsed?
End
No
Wait
Yes
Set TE and RE bits in SCSCR1
to 1, and set RIE, TIE, TEIE,
and MPIE bits
Set value in SCBRR1
Set RIE, TIE, TEIE, MPIE, CKE1,
and CKE0 bits in SCSCR1
(leaving TE and RE bits
cleared to 0)
Clear TE and RE bits
in SCSCR1 to 0
Initialization 1. Set the clock selection in SCSCR1.
Be sure to clear bits RIE, TIE, TEIE,
and MPIE, TE and RE, to 0.
2. Set transmit/receive format in
SCSMR1.
3. Write a value corresponding to the bit
rate into SCBRR1. (Not necessary if
an external clock is used.)
4. Wait at least one bit interval, then set
the TE bit or RE bit in SCSCR1 to 1.
Also set the RIE, TIE, TEIE, and MPIE
bits. Setting the TE and RE bits
enables the TxD and RxD pins to be
used.
Figure 15.18 Sa mple SCI Initialization Flo w chart
Rev. 6.0, 07/02, page 645 of 986
Serial Data Transmission (Synchronous Mode): Figure 15.19 shows a sample flowchart for
serial tr a nsmission .
Use the following procedure for serial data transmission after enabling the SCI for transmission.
Start of transmission
Read TDRE flag in SCSSR1
TDRE = 1?
All data transmitted?
Read TEND flag in SCSSR1
Clear TE bit in SCSCR1 to 0
End
TEND = 1?
No
Yes
No
Yes
Yes
No
Write transmit data to SCTDR1
and clear TDRE flag
in SCSSR1 to 0
1. SCI status check and transmit
data write: Read SCSSR1 and
check that the TDRE flag is set to
1, then write transmit data to
SCTDR1 and clear the TDRE flag
to 0.
2. To continue serial transmission,
be sure to read 1 from the TDRE
flag to confirm that writing is
possible, then write data to
SCTDR1, and then clear the
TDRE flag to 0. (Checking and
clearing of the TDRE flag is
automatic when the direct
memory access controller
(DMAC) is activated by a
transmit-data-empty interrupt
(TXI) request, and data is written
to SCTDR1.)
Figure 15.19 Sample Serial Transmission Flowchart
Rev. 6.0, 07/02, page 646 of 986
In serial transmission, the SCI operates as described below.
1. The SCI monitors the TDRE flag in SCSS R1. When TDRE is cleared to 0, the SCI recognizes
that data has been written to SCTDR1, and tr ansfers the data from SCTDR1 to SCTSR1.
2. After transferring data from SCTDR1 to SCTSR1, the SCI sets the TDRE flag to 1 and starts
transmission . If the TIE bit is set to 1 at this time, a transmit-data-empty interrup t (TXI)
request is generated.
When clock output mode has been set, the SCI outputs 8 serial clock pulses. When use of an
external clock has been specified, data is output synchronized with the input clock.
The serial transmit data is sent from the TxD pin starting with the LSB (bit 0) and ending with
the MSB (bit 7 ) .
3. The SCI checks the TDRE flag at the timing for sending the MSB (bit 7).
If the TDRE flag is cleared to 0, data is transferred from SCTDR1 to SCTSR1, and serial
transmission of the next frame is started.
If the TDRE flag is set to 1, the TEND flag in SCSSR1 is set to 1, the MSB (bit 7) is sent, and
the TxD pin maintains its state.
If the TEIE bit in SCSCR1 is set to 1 at th is time, a transmit-end interrupt (TEI) request is
generated.
4. After completion of serial transmission, the SCK pin is fixed high.
Figure 15.20 shows an example of SCI operation in transmission.
LSB MSB
TDRE
TEND
Bit 0 Bit 1 Bit 7 Bit 0 Bit 1 Bit 6 Bit 7
Serial clock
Serial data
Transfer
direction
TXI interrupt
request
Data written to SCTDR1
and TDRE flag cleared to
0 in TXI interrupt handler
TEI interrupt
request
One frame
TXI interrupt
request
Figure 15.20 Example of SCI Transmit Operation
Rev. 6.0, 07/02, page 647 of 986
Serial Data Reception (Synchronous Mode): Figure 15.21 shows a sample flowchart for serial
reception.
Use the following procedure for serial data reception after en abling the SCI for reception.
When changing the operating mode from asynchronous to synchronous, be sure to check that the
ORER, PER, and FER f lags are all cleared to 0. The RDRF flag will not be set if th e FER or PER
flag is set to 1, and neith er transmit nor receive operations will be possible.
Start of reception
Read ORER flag in SCSSR1
ORER = 1?
Read RDRF flag in SCSSR1
RDRF = 1?
Read receive data in SCRDR1,
and clear RDRF flag
in SCSSR1 to 0
All data received?
Clear RE bit in SCSCR1 to 0
End of reception
Yes
No
Yes
Yes
No
No
Error handling
1. Receive error handling: If a
receive error occurs, read the
ORER flag in SCSSR1 , and
after performing the appropriate
error handling, clear the ORER
flag to 0. Transfer cannot be
resumed if the ORER flag is set
to 1.
2. SCI status check and receive
data read: Read SCSSR1 and
check that the RDRF flag is set
to 1, then read the receive data
in SCRDR1 and clear the RDRF
flag to 0. Transition of the RDRF
flag from 0 to 1 can also be
identified by an RXI interrupt.
3. Serial reception continuation
procedure: To continue serial
reception, finish reading the
RDRF flag, reading SCRDR1,
and clearing the RDRF flag to 0,
before the MSB (bit 7) of the
current frame is received. (The
RDRF flag is cleared
automatically when the direct
memory access controller
(DMAC) is activated by a
receive-data-full interrupt (RXI)
request and the SCRDR1 value
is read.)
Figure 15.21 Sample Serial Reception Flowchart (1)
Rev. 6.0, 07/02, page 648 of 986
Error handling
Overrun error handling
Clear ORER flag in SCSSR1 to 0
ORER = 1?
End
Yes
No
Figure 15.21 Sample Serial Reception Flowchart (2)
In serial reception, the SCI operates as described below.
1. The SCI p erforms internal in itialization in synchronization with serial clock input or output.
2. The received data is stored in SCRSR1 in LSB-to-MSB order.
After reception, the SCI checks whether the RDRF flag is 0, indicating that the receive data
can be transferred from SCRSR1 to SCRDR1.
If this check is passed, the RDRF flag is set to 1, and the receive data is stored in SCRDR1. If
a receive error is detected in the error check, the operation is as shown in table 15.11.
Neither transmit nor receive operations can be performed subsequently when a receive error
has been found in the error check.
Also, as the RDRF flag is not set to 1 when receiving, the flag must be cleared to 0.
3. If the RIE bit in SCRSR1 is set to 1 when the RDRF flag changes to 1, a receive-data-full
interrup t ( RXI) request is gener a ted. I f the RIE b it in SCRSR1 is set to 1 when the ORER flag
changes to 1, a receive-error interrupt (ERI) request is generated.
Figure 15.22 shows an example of SCI operation in reception.
Rev. 6.0, 07/02, page 649 of 986
RDRF
ORER
Transfer
direction
Serial clock
Serial data Bit 7 Bit 0 Bit 7 Bit 0 Bit 1 Bit 6 Bit 7
RXI interrupt
request Data read from
SCRDR1 and RDRF
flag cleared to 0 in RXI
interrupt handler
One frame
RXI interrupt
request ERI interrupt
request due to
overrun error
Figure 15.22 Example of SCI Receive Operation
Simultaneous Serial Data Transmission and Reception (Synchronous Mode): Figure 15.23
shows a sample flowchart for simultaneous serial transmit and receive operations.
Use the following procedure for simultaneous serial data transmit and receive operations after
enabling the SCI for transmission and reception.
Rev. 6.0, 07/02, page 650 of 986
Read TDRE flag in SCSSR1
TDRE = 1?
Write transmit data
to SCTDR1 and clear TDRE flag
in SCSSR1 to 0
Read ORER flag in SCSSR1
ORER = 1?
Error handling
Read RDRF flag in SCSSR1
RDRF = 1?
Read receive data in SCRDR1,
and clear RDRF flag
in SCSSR1 to 0
All data transferred?
Clear TE and RE bits
in SCRSR1 to 0
End of transmission/reception
Start of transmission/reception
No
Yes
Yes
No
No
Yes
Yes
No
1. SCI status check and transmit data
write:
Read SCSSR1 and check that the
TDRE flag is set to 1, then write
transmit data to SCTDR1 and clear
the TDRE flag to 0. Transition of the
TDRE flag from 0 to 1 can also be
identified by a TXI interrupt.
2. Receive error handling:
If a receive error occurs, read the
ORER flag in SCSSR1 , and after
performing the appropriate error
handling, clear the ORER flag to 0.
Transmission/reception cannot be
resumed if the ORER flag is set to 1.
3. SCI status check and receive data
read:
Read SCSSR1 and check that the
RDRF flag is set to 1, then read the
receive data in SCRDR1 and clear the
RDRF flag to 0. Transition of the
RDRF flag from 0 to 1 can also be
identified by an RXI interrupt.
4. Serial transmission/reception
continuation procedure:
To continue serial transmission/
reception, finish reading the RDRF
flag, reading SCRDR1, and clearing
the RDRF flag to 0, before the MSB
(bit 7) of the current frame is received.
Also, before the MSB (bit 7) of the
current frame is transmitted, read 1
from the TDRE flag to confirm that
writing is possible, then write data to
SCTDR1 and clear the TDRE flag to
0.
(Checking and clearing of the TDRE
flag is automatic when the DMAC is
activated by a transmit-data-empty
interrupt (TXI) request, and data is
written to SCTDR1. Similarly, the
RDRF flag is cleared automatically
when the DMAC is activated by a
receive-data-full interrupt (RXI)
request and the SCRDR1 value is
read.)
Note: When switching from transmit or receive operation to simultaneous transmit and receive
operations, first clear the TE bit and RE bit to 0, then set both these bits to 1.
Figure 15.23 Sample Flowchart for Serial Data Transmission and Reception
Rev. 6.0, 07/02, page 651 of 986
15.4 SCI Interrupt Sources and DMAC
The SCI has four interrupt sources: the transmit-end interrupt (TEI) request, receive-error interrupt
(ERI) request, receive-data-full interrupt (RXI) request, and transmit-data-empty interrupt (TXI)
request.
Table 15.12 sh ows the interrupt sources an d their relative priorities. In dividual interrupt sources
can be enabled or disabled with the TIE, RIE, and TEIE bits in SCRSR1, and the EIO bit in
SCSPTR1. Each kind of interrupt request is sent to the interrupt controller independently.
When the TDRE flag in the serial status register (SCSSR1) is set to 1, a TDR-empty request is
generated separately from the interrupt request. A TDR-empty request can activate the direct
memory access controller (DMAC) to perform data transfer. The TDRE flag is cleared to 0
automatically when a write to the transmit d a ta r egister ( SCTDR1 ) is performe d by the DMAC.
When the RDRF flag in SCSSR1 is set to 1, an RDR- full reque st is generated separately from the
interrup t r eque st. An RDR-full requ est can activate the DMAC to perform data transfer.
The RDRF flag is cleared to 0 automatically when a receive data register (SCRDR1) read is
performed by the DMAC.
When the ORER, FER, or PER flag in SCSSR1 is set to 1, an ERI interrupt request is g enerated.
The DMAC cannot be activated by an ERI interrupt request. When receive data processing is to be
carried out by the DMAC and receive error handling is to be performed by means of an interrupt
to the CPU, set the RIE b it to 1 and also set the EIO b it in SCSPTR1 to 1 so that an interrupt error
occurs only for a receive error. If the EIO bit is cleared to 0, interrupts to the CPU will be
generated even during normal data reception.
When the TEND flag in SCSSR1 is set to 1, a TEI interrupt request is generated. The DMAC
cannot be activated by a TEI interrupt request.
A TXI interrup t indicates that tr ansmit data can be written, and a TEI interr upt in dicates that the
transmit operation has ended.
Table 15.12 SCI Interrupt Sources
Interrupt
Source Description DMAC
Activation Priority on
Reset Release
ERI Receive error (ORER, FER, or PER) Not possible High
RXI Receive data register full (RDRF) Possible
TXI Transmit data register empty (TDRE) Possible
TEI Transmit end (TEND) Not possible Low
See section 5, Exceptions, for the priority order and relation to non-SCI interrupts.
Rev. 6.0, 07/02, page 652 of 986
15.5 Usage Notes
The following points should be noted when using the SCI.
SCTDR1 Writing and the TDRE Flag: The TDRE flag in SCSSR1 is a status flag that indicates
that transmit data has been tr ansferred from SCTDR1 to SCTS R1. When the SCI tr ansfers data
from SCTDR1 to SCTSR1, the TDRE flag is set to 1.
Data can be written to SCTDR1 regardless o f the state of the TDRE flag. However, if new data is
written to SCTDR1 wh en the TDRE flag is cleared to 0, the data stored in SCTDR1 will be lost
since it has not yet been transferred to SCTSR1. It is therefore essential to check that the TDRE
flag is set to 1 before writing transmit data to SCTDR1.
Simultaneous Multiple Receive Errors: If a number of receive errors occur at the same time, the
state of the status flags in SCSSR1 is as shown in table 15.13. If there is an overrun error, data is
not transferred from SCRSR1 to SCRDR1, and the receive data is lost.
Table 15.13 SCSSR1 Status Flags and Transfer of Receive Data
SCSSR1 Status Flags
Receive Errors RDRF ORER FER PER
Receive Data
Transfer
SCRSR1
SCRDR1
Overrun error 1 1 0 0 X
Framing error 0 0 1 0 O
Parity error 0 0 0 1 O
Overrun error + framing error 1 1 1 0 X
Overrun error + parity error 1 1 0 1 X
Framing error + parity error 0 0 1 1 O
Overrun error + framing error +
parity error 1111X
O: Receive data is transferred from SCRSR1 to SCRDR1.
X: Receive data is not transferred from SCRSR1 to SCRDR1.
Break Detection and Processing: Break signals can be detected by reading the RxD pin directly
when a framing error (FER) is detected. In the break state the input from the RxD pin consists of
all 0s, so the FER flag is set and the parity erro r flag ( PER) m ay also be set. Note that the SCI
receiver continues to operate in the break state, so if the FER flag is cleared to 0 it will be set to 1
again.
Rev. 6.0, 07/02, page 653 of 986
Sending a Break Signal: The input/o utput condition and level of the TxD pin are de termined by
bits SPB0IO and SPB0DT in the serial port register (SCSPTR1). This feature can be used to send
a break signal.
After the serial tran sm itter is initialized, the TxD pin fun c tio n is not selected and the value of the
SPB0DT bit sub stitutes for the mark state until the TE bit is set to 1 (i.e. transmission is enabled).
The SPB0IO and SPB0DT bits should therefore be set to 1 (designating output and high level)
beforehand.
To send a break signal during serial transmission, clear the SPB0DT bit to 0 (designating low
level), then clear the TE bit to 0 (halting tr ansmission). When the TE bit is cleared to 0, the
transmitter is initialized regardless of its current state, and the TxD pin becomes an outpu t port
outputting the value 0.
Receive Error Flags and Transmit Operations (Synchronous Mode Only): Transmission
cannot be started when a receive error flag (ORER, PER, or FER) is set to 1, even if the TDRE
flag is set to 1. Be sure to clear the receive error flags to 0 before starting transmission.
Note also that the receive error flags are not cleared to 0 by clearing the RE bit to 0.
Receive Data Sampling Timing and Receive Margin in Asynchronous Mode: The SCI
operates on a base clock with a frequency of 16 times the bit rate. In reception, the SCI
synchronizes internally with the fall of the start bit, which it samples on the base clock. Receive
data is latched at the rising edge of the eighth base clock pulse. The timing is shown in figure
15.24.
Rev. 6.0, 07/02, page 654 of 986
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5
D0 D1
16 clocks
8 clocks
Base clock
Receive data
(RxD) Start bit
–7.5 clocks +7.5 clocks
Synchronization
sampling timing
Data sampling
timing
Figure 15.24 Receive Data Sampling Timing in Asynchronous Mode
The receive margin in asynchronous mode can therefore be expressed as shown in equation (1).
M = (0.5 – ) – (L – 0.5) F – (1 + F) × 100%
1
2N | D – 0.5 |
N
................. (1)
M: Receive margin (%)
N: Ratio of clock frequency to bit rate (N = 16)
D: Clock duty cycle (D = 0 to 1.0)
L: Frame length (L = 9 to 12)
F: Absolute deviation of clock frequency
From equation (1), if F = 0 and D = 0.5, the receive margin is 46.875%, as given by equation (2).
When D = 0.5 and F = 0:
M = (0.5 – 1/(2 × 16)) × 100% = 46.875% ............................................ (2)
This is a theoretical value. A reasonable margin to allow in system d esigns is 20% to 30%.
Rev. 6.0, 07/02, page 655 of 986
When Using the DMAC:
When an external clock source is used as the serial clock, the transmit clock should not be
input until at least 5 peripheral operating clock cycles after SCTDR1 is updated by th e DMAC.
Incorrect operation may result if the transmit clock is input within 4 cycles after SCTDR1 is
updated. (See figure 15.25)
SCK
TDRE
TxD D0 D1 D2 D3 D4 D5 D6 D7
t
Note: When operating on an external clock, set t > 4.
Figure 15.25 Example of Synchronous Transmission by DMAC
When SCRDR1 is read by the DMAC, be sure to set the SCI receive-data-full interrupt (RXI)
as the activation source with bits RS3 to RS0 in CHCR.
When using the DMAC for transmission/reception, making a settin g to disable RXI and TXI
interrup t r equ e sts to the interrupt controller. Even if issuance of in terru pt requests is set,
interrupt r equ ests to the interrupt contro ller will be cleared by the DMAC indepe nden tly of the
interrupt handling program.
When Using Synchronous External Clock Mode:
Do not set TE or RE to 1 until at least 4 peripheral operating clock cycles after external clock
SCK has changed from 0 to 1.
Only set both TE and RE to 1 when external clock SCK is 1.
In reception, note that if RE is cleared to 0 from 2.5 to 3.5 peripheral operating clock cycles
after the rising edge of the RxD D7 bit SCK input, RDRF will be set to 1 but copying to
SCRDR1 will not be possib le.
When Using Synchronous Internal Clock Mode: In reception, note that if RE is cleared to zero
1.5 peripheral operating clock cycles after the rising edge of the RxD D7 bit SCK output, RDRF
will be set to 1 b ut copying to SCRDR1 will not be possib le.
When Using DMAC: When using the DMAC for transmissio n/reception, make a setting to
suppress output of RXI and TXI interrupt requests to the interrupt controller. Even if a setting is
made to output interrupt requests, interrupt requests to the interrupt controller will be clear ed by
the DMAC independently of the interrupt handling program.
Rev. 6.0, 07/02, page 656 of 986
Rev. 6.0, 07/02, page 657 of 986
Section 16 Serial Communication Interf a ce with FIFO
(SCIF)
16.1 Overview
The SH7750 Series is equipped with a single-channel serial communication interface with built-in
FIFO buffers (Serial Communication Interface with FIFO: SCIF). The SCIF can perform
asynchronous serial communication.
Sixteen-stage FIFO registers are provided for both transmission and reception, enabling fast,
efficient, and continuous communication.
16.1.1 Features
SCIF features are listed below.
Asynchronous serial communication
Serial data communication is executed using an asynchronous system in which
synchronization is achieved character by character. Serial data communication can be carried
out with standard asynchronous communication chips such as a Universal Asynchronous
Receiver/Transmitter (UART) or Asynchronous Communication Interface Adapter (ACIA).
There is a choice of 8 serial data transfer formats.
Data length: 7 or 8 bits
Stop bit length: 1 or 2 bits
Parity: Even/odd/none
Receive error detection: Parity, framing, and overrun errors
Break detection: If the receive data following that in which a framing error occurred is also
at the space “0” level, and there is a frame error, a break is detected. When a framing error
occurs, a break can also be detected by reading the RxD2 pin level directly from the serial
port register (SCSPTR2).
Full-duplex communication capab ility
The transmitter and receiver are independent units, enabling transmission and reception to be
performed simultaneously.
The transmitter and receiver both have a 16-stage FIFO buffer structure, enabling fast and
continuous serial data transmission and reception.
On-chip baud rate generator allows any bit rate to be selected.
Choice of serial clock source: internal clock from baud rate generator or external clock from
SCK2 pin
Rev. 6.0, 07/02, page 658 of 986
Four interrupt sources
There are four interrupt sources—transmit-FIFO-data-empty, break, receive-FIFO-data-full,
and receive-error—that can issue requests independently.
The DMA controller (DMAC) can be activated to execute a data transfer by issuing a DMA
transfer request in the event of a transmit-FIFO-data-empty or receive-FIFO-data-full interrupt.
When not in use, the SCIF can be stopped by halting its clock supply to reduce power
consumption.
Modem control functions (RTS2 and CTS2) are provided.
The amount of data in the transmit/receive FIFO registers, and the number of receive errors in
the receive data in the receive FIFO register, can be ascertained.
A timeout error (DR) can be detected during reception.
Rev. 6.0, 07/02, page 659 of 986
16.1.2 Block Diagram
Figure 16.1 shows a block diagram of the SCIF.
Module data bus
SCFRDR2
(16-stage)
SCRSR2
RxD2
TxD2
SCK2
SCFTDR2
(16-stage)
SCTSR2
SCSMR2
SCLSR2
SCFDR2
SCFCR2
SCFSR2
SCBRR2
Parity generation
Parity check
Transmission/
reception
control
Baud rate
generator
Clock
External clock
Pφ
Pφ/4
Pφ/16
Pφ/64
TXI
RXI
ERI
BRI
SCIF
Bus interface
Internal
data bus
SCSCR2
SCSPTR2
SCRSR2: Receive shift register
SCFRDR2: Receive FIFO data register
SCTSR2: Transmit shift register
SCFTDR2: Transmit FIFO data register
SCSMR2: Serial mode register
SCSCR2: Serial control register
SCFSR2: Serial status register
SCBRR2: Bit rate register
SCSPTR2: Serial port register
SCFCR2: FIFO control register
SCFDR2: FIFO data count register
SCLSR2: Line status register
Figure 16.1 Block Diagram of SCIF
Rev. 6.0, 07/02, page 660 of 986
16.1.3 Pin Configuration
Table 16.1 shows the SCIF pin configuration.
Table 16.1 SCIF Pins
Pin Name Abbreviation I/O Function
Serial clock pin SCK2/MRESET Input Clock input
Receive data pin MD2/RxD2 Input Receive data inp ut
Transmit data pin MD1/TxD2 Output Transmit data output
Modem control pin CTS2 I/O Transmission enabled
Modem control pin MD8/RTS2 I/O Transmission request
Note: After a power-on reset, these pins function as mode input pins MD0, MD1, MD2, MD7, and
MD8. These pins can function as serial pins by setting the SCIF operation with the TE, RE,
and CKE1 bits in SCSCR2 and the MCE bit in SCFCR2. These pins are made to function
as serial pins by performing SCIF operation settings with the TE, RE, and CKE1 bits in
SCSCR2 and the MCE bit in SCFCR2. Break state transmission and detection can be set in
the SCIF’s SCSPTR2 register.
Rev. 6.0, 07/02, page 661 of 986
16.1.4 Register Configuration
The SCIF has the internal registers shown in table 16.2. These registers are used to specify the
data format and bit rate, and to perform transmitter/receiver control.
Table 16.2 SCIF Regist ers
Name Abbrevia-
tion R/W Initial
Value P4
Address Area 7
Address Access
Size
Serial mode register SCSMR2 R/W H'0000 H'FFE80000 H'IFE80000 16
Bit rate register SCBRR2 R/W H'FF H'FFE80004 H'IFE80004 8
Serial control register SCSCR2 R/W H'0000 H'FFE80008 H'IFE80008 16
Transmit FIFO data register SCFTDR2 W Undefined H'FFE8000C H'IFE8000C 8
Serial status register SCFSR2 R/(W)*1H'0060 H'FFE80010 H'IFE80010 16
Receive FIFO data register SCFRDR2 R Undefined H'FFE80014 H'IFE80014 8
FIFO control register SCFCR2 R/W H'0000 H'FFE80018 H'IFE80018 16
FIFO data count register SCFDR2 R H'0000 H'FFE8001C H'IFE8001C 16
Serial port register SCSPTR2 R/W H'0000*2H'FFE80020 H'IFE80020 16
Line status regi ster SCLSR2 R/(W)*3H'0000 H'FFE80024 H'IFE80024 16
Notes: *1 Only 0 can be written, to clear flags. Bits 15 to 8, 3, and 2 are read-only, and cannot be
modified.
*2 The value of bits 6, 4, and 0 is undefined.
*3 Only 0 can be written, to clear flags. Bits 15 to 1 are read-only, and cannot be modified.
16.2 Register Desc riptions
16.2.1 Receive Shift Register (SCRSR2)
Bit:76543210
R/W:————————
SCRSR2 is the register used to receive serial data.
The SCIF sets serial data input from the RxD2 pin in SCRSR2 in the order received, starting with
the LSB (bit 0), and converts it to parallel data. When one byte of data has been received, it is
transferred to the receive FIFO register, SCFRDR2, automatically.
SCRSR2 cannot be directly read or written to by the CPU.
Rev. 6.0, 07/02, page 662 of 986
16.2.2 Receive FIFO Data Register (SCFRDR2)
Bit:76543210
R/W:RRRRRRRR
SCFRDR2 is a 16-stage FIFO register that stores received serial data.
When the SCIF has received one byte of serial data, it transfers the received data from SCRSR2 to
SCFRDR2 where it is stored, and completes the receive operation. SCRSR2 is then enabled for
reception, and consecutive receive operations can be performed until the receive FIFO register is
full (16 data bytes).
SCFRDR2 is a read -only register, and cannot be wr itten to by the CPU.
If a read is performed when there is no receive data in the receive FIFO register, an undefined
value will be returned. Wh en the receive FIFO register is full of receive data, subsequent serial
data is lost.
The contents of SCFRDR2 are undefined after a power-on reset or manual reset.
16.2.3 Transmit Shift Register (SCTSR2)
Bit:76543210
R/W:————————
SCTSR2 is the r e gister used to tran smit serial data.
To perform serial data transmission, the SCIF first tran sfers transmit d a ta f rom SCFTDR2 to
SCTSR2, then sends the data to the TxD2 pin star ting with the LSB (bit 0).
When transmission of one byte is completed, the next transmit data is transferred from SCFTDR2
to SCTSR2, and tr ansmission started, automatically.
SCTSR2 cannot be directly read or written to by the CPU.
Rev. 6.0, 07/02, page 663 of 986
16.2.4 Transmit FIFO Data Register (SCFTDR2)
Bit:76543210
R/W:WWWWWWWW
SCFTDR2 is an 8-bit 16-stage FIFO register that stores data for serial transmission.
If SCTSR2 is emp ty when transm it data has been written to SCFTDR2, the SCIF transf ers the
transmit da ta wr itten in SCFTDR2 to SCTSR2 and starts serial transmission.
SCFTDR2 is a write-only register, and cannot be read by the CPU.
The next data cannot be wr itten when SCFTDR2 is filled with 1 6 bytes of tran smit data. Data
written in this case is ignored.
The contents of SCFTDR2 are undefined after a power-on reset or manual reset.
16.2.5 Serial Mode Register (SCSMR2)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
CHR PE O/ESTOP CKS1 CKS0
Initial value:00000000
R/W: R R/W R/W R/W R/W R R/W R/W
SCSMR2 is a 16-bit register used to set the SCIF’s serial transfer format and select the baud rate
generator clock source.
SCSMR2 can be read or written to by the CPU at all times.
SCSMR2 is initialized to H'0000 by a power-on reset or manual reset. It is not initialized in
standby mode or in the module standby state.
Bits 15 to 7—Reserved: These bits are always read as 0, and should only be written with 0.
Rev. 6.0, 07/02, page 664 of 986
Bit 6—Character Length (CHR): Selects 7 or 8 bits as the asynchronous mode data length.
Bit 6: CHR Description
0 8-bit data (Initial val ue)
1 7-bit data*
Note: *When 7-bit data is selected, the MSB (bit 7) of SCFTDR2 is not transmitted.
Bit 5—Parity Enable (PE): Selects whether or not parity bit addition is performed in
transmission, and parity bit checking in reception.
Bit 5: PE Description
0 Parity bit addition and checking disabled (Initial value)
1 Parity bit addition and checking enabled*
Note: *When the PE bit is set to 1, the parity (even or odd) specified by the O/E bit is added to
transmit data before transmission. In reception, the parity bit is checked for the parity (even
or odd) specified by the O/E bit.
Bit 4—Parity Mode (O/E
EE
E): Selects either even or odd parity for use in parity addition and
checking. The O/E bit setting is only valid when th e PE bit is set to 1, enabling parity bit addition
and checking. The O/E bit setting is inv a lid when parity additio n and checking is disabled.
Bit 4: O/E
EE
EDescription
0 Even parity*1(Initial value)
1 Odd parity*2
Notes: *1 When even parity is set, parity bit addition is performed in transmission so that the total
number of 1-bits in the transmit character plus the parity bit is even. In reception, a
check is performed to see if the total number of 1-bits in the receiv e chara cter plus the
parity bit is even.
*2 When odd parity is set, parity bit addition is performed in transmission so that the total
number of 1-bits in the transmit character plus the parity bit is odd. In reception, a check
is performed to see if the total number of 1-bits in the receive character plus the parity
bit is odd.
Bit 3—Stop Bit Length (STOP): Selects 1 or 2 bits as th e stop bit length.
Bit 3: STOP Description
01 stop bit
*1(Initial value)
1 2 stop bits*2
Notes: *1 In transmission, a single 1-bit (stop bit) is added to the end of a transmit character
before it is sent.
*2 In transmission, two 1-bits (stop bits) are added to the end of a transmit character
before it is sent.
Rev. 6.0, 07/02, page 665 of 986
In reception, only the first stop bit is checked, regardless of the STOP bit settin g. If the second
stop bit is 1, it is treated as a stop bit; if it is 0, it is treated as the start bit of the next transmit
character.
Bit 2—Reserved: This bit is always read as 0, and should only be written with 0.
Bits 1 and 0—Clock Select 1 and 0 (CKS1, CKS0): These bits select the clock source for the on-
chip baud rate generator. The clock source can be selected from Pφ, Pφ/4, Pφ/16, and Pφ/64,
according to the setting of bits CKS1 and CKS0.
For the relation between the clock source, the bit rate register setting, and the baud rate, see
section 16.2.8, Bit Rate Register (SCB RR2).
Bit 1: CKS1 Bit 0: CKS0 Description
00Pφ clock (Initial value)
1Pφ/4 clock
10Pφ/16 clock
1Pφ/64 clock
Note: Pφ: Peripheral clock
16.2.6 Serial Control Register (SCSCR2)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
TIE RIE TE RE REIE CKE1
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R R/W R
The SCSCR2 register performs enabling or disabling of SCIF transfer operations, and interrupt
requests, and selection of the serial clock source.
SCSCR2 can be r ead or written to by the CPU at all times.
SCSCR2 is initialized to H'0000 by a power-on reset or manual reset. It is not initialized in
standby mode or in the module standby state.
Rev. 6.0, 07/02, page 666 of 986
Bits 15 to 8, 2, and 0—Reserved: These bits are always read as 0, and should only be written
with 0.
Bit 7—Transmit Interrupt Enable (TIE): Enables or disables transmit-FIFO-data-empty
interrupt (TXI) request generation when serial transmit data is transferred from SCFTDR2 to
SCTSR2, the number of data bytes in the transmit FIFO register falls to or belo w the transmit
trigger set number, and the TDFE flag in the serial status register (SCFSR2) is set to 1.
Bit 7: TIE Description
0 Transmit-FIFO-data-empty interrupt (TXI) request disabled*(Initial value)
1 Transmit-FIFO-data-empty interrupt (TXI) request enabled
Note: *TXI interrupt requests can be cleared by writing transmit data exceeding the transmit trigger
set number to SCFTDR2 after reading 1 from the TDFE flag, then clearing it to 0, or by
clearing the TIE bit to 0.
Bit 6—Receive Interrupt Enable (RIE): Enables or disables generation of a receive-data-full
interrupt (RXI) request when the RDF flag or DR flag in SCFSR2 is set to 1, a receive-error
interrup t ( ERI) request when the ER f lag in SCFSR2 is set to 1, and a break interrupt ( BRI)
request wh en the BRK flag in SCFSR2 or the ORER flag in SCLSR2 is set to 1.
Bit 6: RIE Description
0 Receive-data-full interrupt (RXI) request, receive-error interrupt (ERI)
request, and break interrupt (BRI) request disabled*(Initi al value)
1 Receive-data-full interrupt (RXI) request, receive-error interrupt (ERI)
request, and break interrupt (BRI) request enabled
Note: *An RXI interrupt request can be cleared by reading 1 from the RDF or DR flag, then
clearing the flag to 0, or by clearing the RIE bit to 0. ERI and BRI interrupt requests can be
cleared by reading 1 from the ER, BRK, or ORER flag, then clearing the flag to 0, or by
clearing the RIE and REIE bits to 0.
Bit 5—Transmit Enable (TE): Enables or disables the start of serial transmission by the SCIF.
Bit 5: TE Description
0 Transmission disabl ed (Initial value)
1 Transmission enabled*
Note: *Serial transmission is started when transmit data is written to SCFTDR2 in this state.
Serial mode register (SCSMR2) and FIFO control register (SCFCR2) settings must be
made, the transmission format decided, and the transmit FIFO reset, before the TE bit is set
to 1.
Rev. 6.0, 07/02, page 667 of 986
Bit 4—Receive Enable (RE): Enables or disables the start of serial reception by the SCIF.
Bit 4: RE Description
0 Reception disabled *1(Initi al val ue)
1 Reception enabled*2
Notes: *1 Clearing the RE bit to 0 does not affect the DR, ER, BRK, RDF, FER, PER, and ORER
flags, which retain their states.
*2 Serial transmission is started when a start bit is detected in this state.
Serial mode register (SCSMR2) and FIFO control register (SCFCR2) settings must be
made, the reception format decided, and the receive FIFO reset, before the RE bit is set
to 1.
Bit 3—Receive Error Interrupt Enable (REIE): Enables or disables generation of receive-error
interrupt (ERI) and break interrupt (BRI) requests. The REIE bit setting is valid only when the
RIE bit is 0.
Bit 3: REIE Description
0 Receive-error interrupt (ERI) and break interrupt (BRI) requests disabled*
(Initial value)
1 Receive-error interrupt (ERI) and break interrupt (BRI) requests enabled
Note: *Receive-error interrupt (ERI) and break interrupt (BRI) requests can be cleared by reading 1
from the ER, BRK, or ORER flag, then clearing the flag to 0, or by clearing the RIE and
REIE bits to 0. When REIE is set to 1, ERI and BRI interrupt requests will be generated
even if RIE is cleared to 0. In DMAC transfer, this setting is made if the interrupt controller is
to be notified of ERI and BRI interrupt requests.
Bit 1—Clock Enable 1 (CKE1): Selects the SCIF clock source. The CKE1 bit mu st be set before
determining the SCIF’s operating mode with SCSMR2.
Bit 1: CKE1 Description
0 Internal clock/SCK2 pin functions as port (Initial value)
1 External clock/SCK2 pin functions as clock input*
Note: * Inputs a clock with a frequency 16 times the bit rate.
Rev. 6.0, 07/02, page 668 of 986
16.2.7 Serial Status Register (SCFSR2)
Bit: 15 14 13 12 11 10 9 8
PER3 PER2 PER1 PER0 FER3 FER2 FER1 FER0
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
ER TEND TDFE BRK FER PER RDF DR
Initial value:01100000
R/W: R/(W)*R/(W)*R/(W)*R/(W)*RRR/(W)*R/(W)*
Note: *Only 0 can be written, to clear the flag.
SCFSR2 is a 16-bit register. The lower 8 bits consist of status flags that indicate the operating
status of the SCIF, and the upper 8 bits indicate the number of receive errors in the data in the
receive FIFO register.
SCFSR2 can be read or written to by the CPU at all times. However, 1 cannot be written to flags
ER, TEND, TDFE, BRK, RDF, and DR. Also note that in order to clear th ese flags they must be
read as 1 beforehand. The FER flag and PER flag are read-only flags and cannot be modified.
SCFSR2 is initialized to H'0060 by a power-on reset or manual reset. It is not initialized in
standby mode or in the module standby state.
Bits 15 to 12—Number of Parity Errors (PER3–PER0): These bits indicate the number of data
bytes in which a parity error occurred in the receive data stored in SCFRDR2.
After the ER bit in SCFSR2 is set, the va lu e indicated by bits 15 to 12 is the n umber of data bytes
in which a parity error o ccurred.
If all 16 bytes of receive data in SCFRDR2 have parity errors, the value indicated by bits PER3 to
PER0 will be 0.
Bits 11 to 8—Number of Framing Errors (FER3–FER0): These bits indicate the number of
data bytes in which a framing error occurred in the receive data stored in SCFRDR2.
After the ER bit in SCFSR2 is set, the value indicated by bits 1 1 to 8 is the number of data by tes in
which a framing error occurred.
If all 16 bytes of receive data in SCFRDR2 have framing errors, the value indicated by bits FER3
to FER0 will be 0 .
Rev. 6.0, 07/02, page 669 of 986
Bit 7—Receive Error (ER): Indicates that a framing error or parity error occurred during
reception.*
Note: * The ER flag is no t affected and retains its previo us state when the RE bit in SCSCR2 is
cleared to 0. When a receive error occurs, the receive data is still transferred to SCFRDR2,
and reception continues.
The FER and PER bits in SCFSR2 can be used to determine whether there is a receive
error th at is to be from SCFRDR2.
Bit 7: ER Description
0 No framing error or parity error occurred during reception (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When 0 is written to ER after reading ER = 1
1 A framing error or parity error occurred during rece ptio n
[Setting conditions]
When the SCIF checks whether the stop bit at the end of the receive
data is 1 when reception ends, and the stop bit is 0*
When, in reception, the number of 1-bits in the receive data plus the
parity bit does not match the parity setting (even or odd) spec ified by the
O/E bit in SCSMR2
Note: * In 2-stop-bit mode, only the first stop bit is checked for a value of 1; the second stop bit is
not checked.
Rev. 6.0, 07/02, page 670 of 986
Bit 6—Transmit End (TEN D): Ind icates that there is no valid d ata in SCFTDR2 when the last
bit of the transmit character is sent, and transmission has been ended.
Bit 6: TEND Description
0 Transmission is in progress
[Clearing cond iti ons ]
When transmit data is written to SCFTDR2, and 0 is written to TEND
after reading TEND = 1
When data is written to SCFTDR2 by the DMAC
1 Transmission has been ended (Initial value)
[Setting conditions]
Power-on reset or manual reset
When the TE bit in SCSCR2 is 0
When there is no transmit data in SCFTDR2 on transmission of the last
bit of a 1-byte serial transmit character
Rev. 6.0, 07/02, page 671 of 986
Bit 5—Transmit FIFO Data Empty (TDFE): Indicates that data has been tran sferred from
SCFTDR2 to SCTSR2, the number of data bytes in SCFTDR2 has fallen to or below the transmit
trigger data number set by bits TTRG1 and TTRG0 in the FIFO control register (SCFCR2), and
new transmit data can be written to SCFTDR2.
Bit 5: TDFE Description
0 A number of transmit data bytes exceeding the transmit trigger set number
have been written to SCFTDR2
[Clearing cond iti ons ]
When transmit data exceeding the transmit trigger set number is written
to SCFTDR2 after reading TDFE = 1, and 0 is written to TDFE
When transmit data exceeding the transmit trigger set number is written
to SCFTDR2 by the DMAC
1 The number of transmit data bytes in SCFTDR2 does not exceed the
transmit trigger set num ber (Initial val ue)
[Setting conditions]
Power-on reset or manual reset
When the number of SCFTDR2 transmit data bytes falls to or below the
transmit trigger set number as the result of a transmit operation *
Note: *As SCFTDR2 is a 16-byte FIFO register, the maximum number of bytes that can be written
when TDFE = 1 is 16 - (transmit trigger set number). Data written in excess of this will be
ignored.
The number of data bytes in SCFTDR2 is indicated by the upper bits of SCFDR2.
Bit 4—Break Detect (BRK): Indicates that a receive data break signal has been detected.
Bit 4: BRK Description
0 A break signal has not been received (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When 0 is written to BRK after reading BRK = 1
1 A break signal has been received*
[Setting condition]
When data with a framing error is received, followed by the space “0” level
(low level ) for at least one frame length
Note: *When a break is detected, the receive data (H'00) following detection is not transferred to
SCFRDR2. When the break ends and the receive signal returns to mark “1”, receive data
transfer is resumed.
Rev. 6.0, 07/02, page 672 of 986
Bit 3—Framing Error (FER): Indicates whether or not a framing error has been found in the
data that is to be read next from SCFRDR2.
Bit 3: FER Description
0 There is no framing error that is to be read from SCFRDR2 (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When there is no framing error in the data that is to be read next from
SCFRDR2
1 There is a framing error that is to be read from SCFRDR2
[Setting condition]
When there is a framing error in the data that is to be read next from
SCFRDR2
Bit 2—Parity Error (PER): Indicates whether or not a parity error has been found in the data that
is to be read next from SCFRDR2.
Bit 2: PER Description
0 There is no parity error that is to be read from SCFRDR2 (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When there is no parity error in the data that is to be read next from
SCFRDR2
1 There is a parity error in the receive data that is to be read from SCFRDR2
[Setting condition]
When there is a parity error in the data that is to be read next from
SCFRDR2
Rev. 6.0, 07/02, page 673 of 986
Bit 1—Receive FIFO Data Full (RDF): Indicates that the received data has been transferred
from SCRSR2 to SCFRDR2, and the number of receive data bytes in SCFRDR2 is equal to or
greater than the receive trigger number set by bits RTRG1 and RTRG0 in the FIFO control
register (SCFCR2).
Bit 1: RDF Description
0 The number of receive data bytes in SCFRDR2 is less than the receive
trigger set number (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When SCFRDR2 is read until the number of receive data bytes in
SCFRDR2 falls below the receive trigger set number a f ter reading RDF
= 1, and 0 is written to RDF
When SCFRDR2 is read by the DMAC until the number of receive data
bytes in SCFRDR2 falls below the rece ive trigger set number
1 The number of receive data bytes in SCFRDR2 is equal to or greater than
the receive trigger set number
[Setting condition]
When SCFRDR2 contains at least the receive trigger s et number of receive
data bytes*
Note: *SCFRDR2 is a 16-byte FIFO register. When RDF = 1, at least the receive trigger set
number of data bytes can be read. If all the data in SCFRDR2 is read and another read is
performed, the data value will be undefined. The number of receiv e data bytes in SCFRDR2
is indicated by the lower bits of SCFDR2.
Rev. 6.0, 07/02, page 674 of 986
Bit 0—Receive Data Ready (DR): Indicates that there are fewer than the receive trigger set
number of data bytes in SCFRDR2, and no further data has arrived for at least 15 etu after the stop
bit of the last data received.
Bit 0: DR Description
0 Reception is in progress or has ended normally and there is no receiv e data
left in SCFRDR2 (Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When all the receive data in SCFRDR2 has been read after reading DR
= 1, and 0 is written to DR
When all the receive data in SCFRDR2 has been read by the DMAC
1 No further receive data has arrived
[Setting condition]
When SCFRDR2 contains fewer than the receive trigger set numbe r of
receive data bytes, and no further data has arrived for at leas t 15 etu after
the stop bit of the last data received*
Note: *Equivalent to 1.5 frames with an 8-bit, 1-stop-bit format.
etu: Elementary time unit (time fo r transfer of 1 bit)
16.2.8 Bit Rate Register (SCBRR2)
Bit:76543210
Initial value:11111111
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
SCBRR2 is an 8-bit register that sets the serial transfer bit rate in accordance with the baud rate
generator operating clock selected by bits CKS1 and CKS0 in SCSMR2.
SCBRR2 can be read or written to by the CPU at all times.
SCBRR2 is initialized to H'FF by a power-on reset or manual reset. It is not initialized in standby
mode or in the module standby state.
Rev. 6.0, 07/02, page 675 of 986
The SCBRR2 setting is found from the following equation.
Asynchronous mode:
N = × 106 – 1
64 × 22n–1 × B
φ
P
Where B: Bit r a te (bits/s)
N: SCBRR2 setting for baud rate generator (0 N 255)
Pφ: Peripheral module operating frequency (MHz)
n: Baud rate generator input clock (n = 0 to 3)
(See the table below for the relation between n and the clock.)
SCSMR2 Setting
n Clock CKS1 CKS0
0Pφ00
1Pφ/4 0 1
2Pφ/16 1 0
3Pφ/64 1 1
The bit rate error in asynchronous mode is found from the following equation:
Error (%) = – 1 × 100
P × 10
6
(N + 1) × B × 64 × 2
2n–1
φ
16.2.9 FIFO Control Reg ister (SCFCR2)
Bit: 15 14 13 12 11 10 9 8
—————
RSTRG2*RSTRG1*RSTRG0*
Initial value:00000000
R/W:RRRRRR/WR/WR/W
Bit:76543210
RTRG1 RTRG0 TTRG1 TTRG0 MCE TFRST RFRST LOOP
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: * Reserved bit in the SH7750.
Rev. 6.0, 07/02, page 676 of 986
SCFCR2 performs data count resetting and trigger data number setting for the transmit and receive
FIFO registers, and also contains a loopback test enable bit.
SCFCR2 can be r ead or written to by the CPU at all times.
SCFCR2 is initialized to H'0000 by a power-on reset or manual reset. It is not initialized in
standby mode or in the module standby state.
Bits 15 to 11—Reserved: These bits are always read as 0, and should only be written with 0.
Bits 10 to 8 (SH7750)—Reserved: These bits are always read as 0, and should only be written
with 0.
Bits 10 to 8 (SH7750S, SH7750R)—RTS2
RTS2RTS2
RTS2 Output Active Trigger (RSTRG2, RSTG1, and
RSTG0): These bits output the high level to the RTS2 signal when the number of received data
stored in the receive FIFO data register (SCFRDR2) exceeds the trigger number, as shown in the
table below.
Bit 10: RSTRG2 Bit 9: RSTRG1 Bit 8: RSTRG0 RTS2
RTS2RTS2
RTS2 Output Active Trigger
0 15 (Initial value)
0
11
04
0
1
16
080
110
012
1
1
114
Bits 7 and 6—Receive FIFO Data Number Trigger (RTRG1, RTRG0): These bits are used to
set the number of receive data bytes that sets the receive data full (RDF) flag in the serial status
register (SCFSR2).
The RDF flag is set when the number of receive data bytes in SCFRDR2 is equal to or greater than
the trigger set number shown in the following table.
Bit 7: RTRG1 Bit 6: RTRG0 Receive Trigger Number
0 0 1 (Initial value)
14
10 8
114
Rev. 6.0, 07/02, page 677 of 986
Bits 5 and 4—Transmit FIFO Data Number Trigger (TTRG1, TTRG0): These bits are used
to set the number of remaining transmit data bytes that sets the tr ansmit FIFO data register empty
(TDFE) flag in the serial status register (SCFSR2). The TDFE flag is set when the number of
transmit data bytes in SCFTDR2 is eq ual to or less than the trigger set number shown in th e
following table.
Bit 5: TTRG1 Bit 4: TTRG0 Transmit Trigger Number
0 0 8 (8) (Initial value)
1 4 (12)
1 0 2 (14)
1 1 (15)
Note: Figures in parentheses are the number of empty bytes in SCFTDR2 when the flag is set.
Bit 3—Modem Co nt rol Enable (MCE): Enables the CTS2 and RTS2 modem control signals.
Bit 3: MCE Description
0 Modem signals disabled*(Initial value)
1 Modem signals enabled
Note: *CTS2 is fixed at active-0 regardless of the input value, and RTS2 output is also fixed at 0.
Bit 2—Transmit FIFO Data Register Reset (TFRST): Invalidates the tran smit data in the
transmit FIFO d ata register and r esets it to the empty state.
Bit 2: TFRST Description
0 Reset operation disabled *(Initi al val ue)
1 Reset operation enabled
Note: *A reset operation is pe rformed in the event of a power-on reset or manual reset.
Bit 1—Receive FIFO Data Register Reset (RFRST): Invalidates the receive data in the receive
FIFO data register and resets it to the em pty state.
Bit 1: RFRST Description
0 Reset operation disabled *(Initi al val ue)
1 Reset operation enabled
Note: *A reset operation is pe rformed in the event of a power-on reset or manual reset.
Rev. 6.0, 07/02, page 678 of 986
Bit 0—Loopback Test (LOOP): Internally connects the transmit output pin (TxD2) and receive
input pin (RxD2), and the RTS2 pin and CTS2 pin, enabling loopback testing.
Bit 0: LOOP Description
0 Loopback test disabled (Initial value)
1 Loopback test enabled
16.2.10 FIFO Data Count Register (SCFDR2)
SCFDR2 is a 16-bit register that indicates the number of data bytes stored in SCFTDR2 and
SCFRDR2.
The upper 8 bits show the number of transmit data bytes in SCFTDR2, and the lower 8 bits show
the number of receive data bytes in SCFRDR2.
SCFDR2 can be read by the CPU at all times.
Bit: 15 14 13 12 11 10 9 8
T4 T3 T2 T1 T0
Initial value:00000000
R/W:RRRRRRRR
These bits show the number of untransmitted data bytes in SCFTDR2. A valu e of H'00 indicates
that there is no transmit data, and a valu e of H'1 0 indicates that SCFTDR2 is full o f transmit data.
Bit:76543210
R4 R3 R2 R1 R0
Initial value:00000000
R/W:RRRRRRRR
These bits show the number of receive data bytes in SCFRDR2. A value of H'00 indicates that
there is no receive data, and a value of H'10 indicates that SCFRDR2 is full of receive data.
Rev. 6.0, 07/02, page 679 of 986
16.2.11 Serial Port Register (SCSPTR2)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
RTSIO RTSDT CTSIO CTSDT SPB2IO SPB2DT
Initial value: 0 0 0 0 0
R/W: R/W R/W R/W R/W R R R/W R/W
SCSPTR2 is a 16-bit readable/writable register that controls input/output and data for the port pins
multiplexed with the serial communication interface (SCIF) pins. Input data can be read from the
RxD2 pin, outp ut data written to the TxD2 pin, and breaks in serial transmission/reception
controlled, by m eans of bits 1 an d 0. Data can be read from, and output data written to, the CTS2
pin by means of bits 5 an d 4. Data can be read from, and output data written to, the RTS2 pin by
means of bits 6 and 7.
SCSPTR2 can b e read or written to by the CPU at all tim es. All SCSPTR2 bits except bits 6, 4,
and 0 are initialized to 0 by a power-on reset or manual reset; the v alue of bits 6, 4, and 0 is
undefined. SCSPTR2 is not initialized in standby mode or in the module standby state.
Bits 15 to 8—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 7—Serial Port RTS Port I/O (RTSIO): Specifies the serial port RTS2 pin input/output
condition. When the RTS2 pin is actually set as a port output pin and outputs the value set by the
RTSDT bit, the MCE bit in SCFCR2 should be cleared to 0.
Bit 7: RTSIO Description
0 RTSDT bit value is not output to RTS2 pin (Initial value)
1 RTSDT bit value is output to RTS2 pin
Rev. 6.0, 07/02, page 680 of 986
Bit 6—Serial Port RTS Port Data (RTSDT): Specifies the serial port RTS2 pin input/output
data. Input or output is specified by the RTSIO bit (see the description of bit 7, RTSIO, for
details). In o utput mode, the RTSDT bit value is outp ut to the RTS2 pin. The RTS2 pin value is
read from the RTSDT b it r e gardless of the value of the RTSIO b it. Th e initial value of this bit
after a power-on reset or manual reset is undefined.
Bit 6: RTSDT Description
0 Input/output data is low-level
1 Input/output data is high-level
Bit 5—Serial Port CTS Port I/O (CTSIO): Specifies the serial port CTS2 pin input/output
condition. When the CTS2 pin is actually set as a port output pin and outputs the value set by the
CTSDT bit, the MCE bit in SCFCR2 should be cleared to 0.
Bit 5: CTSIO Description
0 CTSDT bit value is not output to CTS2 pin (Initial value)
1 CTSDT bit value is output to CTS2 pin
Bit 4—Serial Port CTS Port Data (CTSDT): Specifies the serial port CTS2 pin input/output
data. Input or output is specified by the CTSIO bit (see the description of bit 5, CTSIO, for
details). In o utput mode, the CTSDT bit value is outp ut to the CTS2 pin. The CTS2 pin value is
read from the CTSDT b it r e gardless of the value of the CTSIO b it. Th e initial value of this bit
after a power-on reset or manual reset is undefined.
Bit 4: CTSDT Description
0 Input/output data is low-level
1 Input/output data is high-level
Bits 3 and 2—Reserved: These bits are always read as 0, an d should only be written with 0.
Bit 1—Serial Port Break I/O (SPB2IO): Specifies the serial port TxD2 pin output condition.
When the TxD2 pin is actually set as a port output pin and outputs the value set by the SPB2DT
bit, the TE bit in SCSCR2 should be cleared to 0.
Bit 1: SPB2IO Description
0 SPB2DT bit value is not output to the TxD2 pin (Initial value)
1 SPB2DT bit value is output to the TxD2 pin
Rev. 6.0, 07/02, page 681 of 986
Bit 0—Serial Port Break Data (SPB2DT): Specifies the serial port RxD2 pin input data and
TxD2 pin output data. The TxD2 pin output condition is specified by the SPB2IO bit (see the
description of bit 1, SPB2IO, for details). When the TxD2 pin is designated as an output, the value
of the SPB2DT bit is output to the TxD2 pin. Th e RxD2 pin value is read from the SPB2DT bit
regardless of the value of the SPB2IO bit. The initial value of this bit af ter a power -on reset or
manual reset is undefined.
Bit 0: SPB2DT Description
0 Input/output data is low-level
1 Input/output data is high-level
SCIF I/O port block diagrams are shown in figu res 16.2 to 16.5.
Reset
Internal data bus
SPTRW
D7
D6
SCIF
R
QD
RTSIO
C
Reset
Mode setting
register
SPTRR
SPTRW
R
QD
RTSDT
C
MD8/
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: * The pin function is designated as modem control by the MCE bit in SCFCR2.
Modem control
enable signal*
signal
Figure 16.2 MD8/RTS2
RTS2RTS2
RTS2 Pin
Rev. 6.0, 07/02, page 682 of 986
Reset
Internal data bus
SPTRW
D5
D4
SCIF
R
QD
CTSIO
C
Reset
SPTRR
SPTRW
R
QD
CTSDT
C
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: * The pin function is designated as modem control by the MCE bit in SCFCR2.
Modem control enable signal*
signal
Figure 16.3 CTS2
CTS2CTS2
CTS2 Pin
Rev. 6.0, 07/02, page 683 of 986
Reset
Internal data bus
SPTRW
Mode setting
register
SCIF
R
QDD1
D0
SPB2IO
C
Reset
SPTRW
R
QD
SPB2DT
C
MD1/TxD2
SPTRW: Write to SPTR
Transmit enable
signal
Serial transmit data
Figure 16.4 MD1/TxD2 Pin
Internal data bus
Mode setting
register
SCIF
MD2/RxD2
SPTRR
D0
Serial receive
data
SPTRR: Read SPTR
Figure 16.5 MD2/RxD2 Pin
Rev. 6.0, 07/02, page 684 of 986
16.2.12 Line Status Register (SCLSR2)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
———————ORER
Initial value:00000000
R/W:RRRRRRR(R/W)*
Note: * Only 0 can be written, to clear the flag.
Bits 15 to 1—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 0—Overrun Error (ORER): Indicates that an overrun error occurred during reception,
causing abnormal termination.
Bit 0: ORER Description
0 Reception in progress, or reception has ended normally*1(Initial value)
[Clearing cond iti ons ]
Power-on reset or manual reset
When 0 is written to ORER after reading ORER = 1
1 An overrun error occurred during reception*2
[Setting condition]
When the next serial reception is completed while the receive FIFO is full
Notes: *1 The ORER flag is not affected and retains its previous state when the RE bit in
SCSCR2 is cleared to 0.
*2 The receive data prior to the overrun error is retained in SCFRDR2, and the data
received subsequently is lost. Serial reception cannot be continued while the ORER flag
is set to 1.
Rev. 6.0, 07/02, page 685 of 986
16.3 Operation
16.3.1 Overview
The SCIF can carry out serial communication in asynchronous mode, in which synchronization is
achieved character by character. See section 15.3.2, Operation in Asynchronous Mode, for details.
Sixteen-stage FIFO buffers are prov ided for both transmission and reception, reducing the CPU
overhead and enabling fast, continuous communication to be performed. RTS2 and CTS2 signals
are also provided as modem control signals.
The transmission format is selected using the serial mode register (SCSMR2), as shown in table
16.3. The SCIF clock source is determined by the CKE1 bit in the serial control register
(SCSCR2), as shown in table 16.4.
Data length: Choice of 7 or 8 bits
Choice of pa r ity addition and addition of 1 or 2 stop bits (the combin ation of these parameters
determines the transfer format and character length)
Detection of framing errors, parity errors, receive-FIFO-data-full state, overrun errors, receive-
data-ready state, and breaks, during reception
Indication of the number of data by tes stored in the transmit and receive FIFO registers
Choice of internal or external clock as SCIF clock source
When internal clock is selected: The SCIF operates on the baud rate generator clock, and can
output a clock with a frequency of 16 times the bit rate.
When external clock is selected: A clock with a frequency of 16 times the bit rate must be
input (the on-chip baud rate generator is not used).
Table 16.3 SCSMR2 Settings for Serial Transfer Format Selection
SCSMR2 Settings SCIF Transfer Format
Bit 6:
CHR Bit 5:
PE Bit 3:
STOP Mode Data
Length Multiprocessor
Bit Parity
Bit Stop Bit
Length
0 0 0 Asynchronous mode 8-bit data No No 1 bit
12 bits
10 Yes1 bit
12 bits
1 0 0 7-bit data No 1 bit
12 bits
10 Yes1 bit
12 bits
Rev. 6.0, 07/02, page 686 of 986
Table 16.4 SCSCR2 Settings for SCIF Clock Source Selection
SCSCR2 Setting SCIF Transmit/Receive Clock
Bit 1: CKE1 Mode Clock Source SCK2 Pin Function
0 Asynchronous mode Internal SCIF does not use SCK2 pin
1 External Inputs clock with frequency of 16
times the bit rate
16.3.2 Serial Operation
Transmit/Receive Format
Table 16.5 shows the tran smit/receiv e formats that can be used. Any of 8 transfer formats can be
selected according to the SCSMR2 settings.
Rev. 6.0, 07/02, page 687 of 986
Table 16.5 Serial Transmit/Receive Formats
SCSMR2
Settings Serial Transmit/Receive Format and Frame Length
CHRPESTOP 123456789101112
0 0 0 S 8-bit dat a STOP
0 0 1 S 8-bit dat a STOP STOP
0 1 0 S 8-bit data P STOP
0 1 1 S 8-bit dat a P STOP ST OP
1 0 0 S 7-bit dat a STOP
1 0 1 S 7-bit dat a STOP STOP
1 1 0 S 7-bit data P STOP
1 1 1 S 7-bit dat a P STOP ST OP
S: Start bit
STOP: Stop bit
P: Parity bit
Clock
Either an internal clock generated by the on-chip baud rate generator or an external clock input at
the SCK2 pin can b e selected as the SCIF’s serial clock, accordin g to the setting of the CKE1 bit
in SCSCR2. For details of SCIF clock source selection, see table 16.4.
When an external clock is input at the SCK2 pin, the clock frequency should be 16 times the bit
rate used.
Rev. 6.0, 07/02, page 688 of 986
Data Transfer Operations
SCIF Initialization: Before tran smitting and receiving data, it is necessary to clear the TE and RE
bits in SCSCR2 to 0, then in itialize the SCIF as described below.
When the transfer format, etc., is changed, the TE and RE bits must be cleared to 0 before making
the change using the following procedure. When the TE bit is cleared to 0, SCTSR2 is initial ized.
Note that clearing the TE and RE bits to 0 does not change the contents of SCFSR2, SCFTDR2, or
SCFRDR2. Th e TE bit should be cleared to 0 after all tran smit data has been sent and the TEND
flag in SCFSR2 has been set. TEND can also be cleared to 0 during transmission, but the data
being tran smitted will go to the mark state after the clearance. Before setting TE again to start
transmission, the TFRST bit in SCFCR2 should first be set to 1 to reset SCFTDR2.
When an external clock is used the clock should not be stopped du ring operation, including
initialization, sin ce operation will be unreliable in this case.
Figure 16.6 shows a sample SCIF initialization flowch art.
Rev. 6.0, 07/02, page 689 of 986
Initialization
Clear TE and RE bits
in SCSCR2 to 0
Set TFRST and RFRST bits
in SCFCR2 to 1
Set CKE1 bit in SCSCR2
(leaving TE and RE bits
cleared to 0)
Set transmit/receive format
in SCSMR2
Set value in SCBRR2
1-bit interval elapsed?
Set RTRG1–0, TTRG1–0,
and MCE bits in SCFCR2
Clear TFRST and RFRST bits to 0
Set TE and RE bits
in SCSCR2 to 1,
and set RIE, TIE, and REIE bits
End
Wait
No
Yes
1. Set the clock selection in SCSCR2.
Be sure to clear bits RIE and TIE,
and bits TE and RE, to 0.
2. Set the transmit/receive format in
SCSMR2.
3. Write a value corresponding to the
bit rate into SCBRR2. (Not
necessary if an external clock is
used.)
4. Wait at least one bit interval, then
set the TE bit or RE bit in SCSCR2
to 1. Also set the RIE, REIE, and
TIE bits.
Setting the TE and RE bits enables
the TxD2 and RxD2 pins to be
used. When transmitting, the SCIF
will go to the mark state; when
receiving, it will go to the idle state,
waiting for a start bit.
Figure 16.6 Sample SCIF Initialization Flowchart
Rev. 6.0, 07/02, page 690 of 986
Serial Data Transmission: Figure 16.7 shows a sample flowchart for serial transmission.
Use the following procedure for serial data transmission after enabling the SCIF for transmission.
Start of transmission
Read TDFE flag in SCFSR2
TDFE = 1?
Write transmit data (16 - transmit
trigger set number) to SCFTDR2,
read 1 from TDFE flag and TEND
flag in SCFSR2, then clear to 0
All data transmitted?
Read TEND flag in SCFSR2
TEND = 1?
Break output?
Clear SPB2DT to 0 and
set SPB2IO to 1
Clear TE bit in SCSCR2 to 0
End of transmission
No
Yes
No
Yes
No
Yes
No
Yes
1. SCIF status check and transmit data
write:
Read SCFSR2 and check that the
TDFE flag is set to 1, then write
transmit data to SCFTDR2, read 1
from the TDFE and TEND flags, then
clear these flags to 0.
The number of transmit data bytes
that can be written is 16 - (transmit
trigger set number).
2. Serial transmission continuation
procedure:
To continue serial transmission, read
1 from the TDFE flag to confirm that
writing is possible, then write data to
SCFTDR2, and then clear the TDFE
flag to 0.
3. Break output at the end of serial
transmission:
To output a break in serial
transmission, clear the SPB2DT bit to
0 and set the SPB2IO bit to 1 in
SCSPTR2, then clear the TE bit in
SCSCR2 to 0.
In steps 1 and 2, it is possible to
ascertain the number of data bytes
that can be written from the number
of transmit data bytes in SCFTDR2
indicated by the upper 8 bits of
SCFDR2.
Figure 16.7 Sample Serial Transmission Flowchart
Rev. 6.0, 07/02, page 691 of 986
In serial transmission, the SCIF operates as described below.
1. When data is written into SCFTDR2, the SCIF transf ers the data from SCFTDR2 to SCTSR2
and starts tran sm itting. Confirm that the TDFE flag in the serial status reg ister ( SCFSR2) is set
to 1 before wr iting transmit data to SCFTDR2. The number of data bytes th at can be written is
at least 16 - transmit trigger setting.
2. When data is transferred from SCFTDR2 to SCTSR2 and transmission is started, consecutive
transmit op e rations are performed until there is no transm it data left in SCFTDR2. Wh en the
numbe r of transmit data by tes in SCFTDR2 falls to o r below the transm it trigger number set in
the FIFO control register (SCFCR2), the TDFE flag is set. If the TIE bit in SCSCR2 is set to 1
at this time, a transm it- FIFO-data-empty interrupt (TXI) request is generated.
The serial transmit d a ta is sent from the TxD2 pin in the following order.
a. Start bit: One 0-b it is output.
b. Transm it data: 8-bit or 7-bit data is output in LSB- f ir st o rder.
c. Par ity bit: One parity bit (even or odd parity) is o utput. (A format in which a parity bit is
not output can also be selected.)
d. Stop bit(s): One or two 1-bits (stop bits) are output.
e. Mark state: 1 is outp ut continuously un til the start bit that starts the next transmission is
sent.
3. The SCIF checks the SCFTDR2 transm it data at the timing f or sending the sto p bit. I f data is
present, the d a ta is tr ansferred from SCFTDR2 to SCTSR2, the stop bit is sent, and then serial
transmission of the next frame is started.
If there is no tran smit data, the TEND flag in SCFSR2 is set to 1, the stop bit is sent, and then
the line goe s to the mark state in which 1 is output.
Figure 16.8 shows an example of the operation for transmission in asynchronous mode.
Rev. 6.0, 07/02, page 692 of 986
1
0 D0 D1 D7 0/1 1 0 D0 D1 D7 0/1 1
1
TDFE
TEND
Serial
data
Start
bit Data Parity
bit Stop
bit Start
bit Idle state
(mark state)
Data Parity
bit Stop
bit
TXI interrupt
request Data written to SCFTDR2
and TDFE flag read as 1
then cleared to 0 by TXI
interrupt handler
One frame
TXI interrupt
request
Figure 16.8 Example of Transmit Operation
(Example with 8-Bit Data, Parity, One Stop Bit)
4. When modem control is enabled, transmission can be stopped and restarted in accordance with
the CTS2 input value. When CTS2 is set to 1, if transmission is in progress, the line goes to the
mark state after transmission of one frame. When CTS2 is set to 0, th e nex t tr ansmit data is
output starting from the start bit.
Figure 16.9 shows an example of the operation when modem control is used.
Serial data
TxD2 0 D0 D1 D7 0/1 01 D0 D1 D7 0/1
CTS2
Drive high before stop bit
Start
bit
Parity
bit
Stop
bit Start
bit
Figure 16.9 Example of Operation Using Modem Control (CTS2
CTS2CTS2
CTS2)
Rev. 6.0, 07/02, page 693 of 986
Serial Data Reception: Figure 16.10 shows a sample flowchart for serial reception.
Use the following procedure for serial data reception after en abling the SCIF for reception.
Start of reception
Read ER, DR, BRK flags in
SCFSR2 and ORER
flag in SCLSR2
ER or DR or BRK or ORER
= 1?
Read RDF flag in SCFSR2
RDF = 1?
Read receive data in
SCFRDR2, and clear RDF
flag in SCFSR2 to 0
All data received?
Clear RE bit in SCSCR2 to 0
End of reception
Yes
No
Yes
Yes
No
No
Error handling
1. Receive error handling and
break detection: Read the DR,
ER, and BRK flags in
SCFSR2, and the ORER flag
in SCLSR2, to identify any
error, perform the appropriate
error handling, then clear the
DR, ER, BRK, and ORER
flags to 0. In the case of a
framing error, a break can also
be detected by reading the
value of the RxD2 pin.
2. SCIF status check and receive
data read : Read SCFSR2 and
check that RDF = 1, then read
the receive data in SCFRDR2,
read 1 from the RDF flag, and
then clear the RDF flag to 0.
The transition of the RDF flag
from 0 to 1 can also be
identified by an RXI interrupt.
3. Serial reception continuation
procedure: To continue serial
reception, read at least the
receive trigger set number of
receive data bytes from
SCFRDR2, read 1 from the
RDF flag, then clear the RDF
flag to 0. The number of
receive data bytes in
SCFRDR2 can be ascertained
by reading the lower bits of
SCFDR2.
Figure 16.10 Sample Serial Reception Flowchart (1)
Rev. 6.0, 07/02, page 694 of 986
Error handling
Receive error handling
ER = 1?
BRK = 1?
Break handling
DR = 1?
Read receive data in SCFRDR2
Clear DR, ER, BRK flags
in SCFSR2,
and ORER flag in SCLSR2, to 0
End
Yes
Yes
Yes
No
Overrun error handling
ORER = 1?
Yes
No
No
No
1. Whether a framing error or parity error
has occurred that is to be read from
SCFRDR2 can be ascertained from
the FER and PER bits in SCFSR2.
2. When a break signal is received,
receive data is not transferred to
SCFRDR2 while the BRK flag is set.
However, note that the last data in
SCFRDR2 is H'00 (the break data in
which a framing error occurred is
stored).
Figure 16.10 Sample Serial Reception Flowchart (2)
Rev. 6.0, 07/02, page 695 of 986
In serial reception, the SCIF operates as described below.
1. The SCIF mo nitors the transm ission line, and if a 0 start bit is detected, performs internal
synchronization and starts reception.
2. The received data is stored in SCRSR2 in LSB-to-MSB order.
3. The parity bit and stop bit are received.
After receiving these bits, the SCIF carries out the following checks.
a. Stop bit check: The SCIF checks whether the stop bit is 1. If there are two stop bits, only
the first is checked.
b. The SCIF checks whether receive data can be transferred from the receive shift register
(SCRSR2) to SCFRDR2.
c. Overrun error check: The SCIF checks that the ORER flag is 0, indicating that no overrun
error has occurred.
d. Break check: The SCIF checks that the BRK flag is 0, indicating that the break state is not
set.
If b, c, and d checks are passed, the receive data is stored in SCFRDR2.
Note: Reception continues when parity error, framing error occurs.
4. If the RIE bit in SCSCR2 is set to 1 when the RDF or DR flag changes to 1, a receive-FIFO-
data-full interrupt (RXI) request is generated.
If the RIE bit or REIE bit in SCSCR2 is set to 1 when the ER flag changes to 1, a receive-error
interrupt (ERI) request is generated.
If the RIE b it or REI E bit in SCSCR2 is se t to 1 when the BRK or ORER flag chan ges to 1, a
break reception interrupt (BRI) request is generated.
Figure 16.11 shows an example of the operation for reception in asynchronous mode.
Rev. 6.0, 07/02, page 696 of 986
1
0 D0 D1 D7 0/1 1 0 D0 D1 D7 0/1 0/10
RDF
FER
Serial
data
Start
bit Data Parity
bit Stop
bit Start
bit Data Parity
bit Stop
bit
RXI interrupt
request
One frame Data read and RDF flag
read as 1 then cleared to
0 by RXI interrupt handler
ERI interrupt request
generated by receive
error
Figure 16.11 Example of SCIF Receive Operation
(Example with 8-Bit Data, Parity, One Stop Bit)
5. When modem control is enabled, the RTS2 signal is output when SCFRDR2 is empty. When
RTS2 is 0, reception is possible.
SH7750: When RTS2 is 1, this indicates that SCFRDR2 contains 15 or more
bytes of data.
SH7750S, SH7750R: When RTS2 is 1, this indicates that SCFRDR2 contains a number of
data bytes equal to or greater than the RTS2 output active trigger set
number. The RTS2 output active trigger value is specified by bits 10 to
8 in the FIFO control register (SCFCR2), described in section 16.2.9,
FIFO control register (SCFCR2).
RTS2 also becomes 1 when bit 4 (RE) in SCSCR2 is 0.
Figure 16.12 shows an example of the operation when modem control is used.
D0 D1 D2 D7 0/1 1 00
Serial data
RxD2
Start
bit
Parity
bit
Stop
bit Start
bit
Figure 16.12 Example of Operation Using Modem Control (RTS2
RTS2RTS2
RTS2)
Rev. 6.0, 07/02, page 697 of 986
16.4 SCIF Interrupt Sources and the DMAC
The SCIF has four interrupt sources: transmit-FIFO-data-empty interrupt (TXI) request, receive-
error interrupt (ERI) request, receive-FIFO-data-full interrup t (RXI) request, and break interrupt
(BRI) request.
Table 16.6 shows the interrupt sources and their order of priority. The interrupt sources are
enabled or disabled by means of the TIE, RIE, and REIE bits in SCSCR2. A separate interrupt
request is sent to the interrupt controller for each of these interrupt sources.
When transmission/reception is carried out using the DMAC, output of interrupt requests to the
interrup t contr oller can be inhibited by clearing the RIE bit in SCSCR2 to 0. By setting the REIE
bit to 1 while the RIE bit is cleared to 0, it is possible to outpu t ERI and BRI interrupt requ ests, but
not RXI interrupt requests.
When the TDFE flag in the serial status register (SCFSR2) is set to 1, a transmit-FIFO-data-empty
request is generated separately from the interrupt request. A transmit-FIFO-data-empty request
can activate the DMAC to perform data transfer.
When the RDF flag or DR flag in SCFSR2 is set to 1, a receive-FIFO-data-full request is
generated separately from the interrupt request. A receive-FIFO-data-full request can activate the
DMAC to perfo r m data transfer.
When using the DMAC for transmission/reception, set and enable the DMAC before making the
SCIF settings. See section 14, Direct Memory Access Controller (DMAC), for details of the
DMAC setting procedure.
When the BRK flag in SCFSR2 or the ORER flag in the lin e status register (SCLSR2) is set to 1, a
BRI interrupt request is generated.
The TXI interrupt indicates that tr an smit data can be written , and the RXI inter r upt in dicates that
there is receive data in SCFRDR2.
Table 16.6 SCIF Interrupt Sources
Interrupt
Source Description DMAC
Activation Priority on
Reset Release
ERI Interrupt initiated by receive error flag (ER) Not possible High
RXI Interrupt initiated by receive FIFO data full flag
(RDF) or receive data ready flag (DR) Possible
BRI Interrupt initiated by break flag (BRK) or overrun
error flag (ORER) Not possible
TXI Interrupt initiated by transmit FIFO data empty
flag (TDFE) Possible Low
Rev. 6.0, 07/02, page 698 of 986
See section 5, Ex ceptions, for priorities and the r e lationship with non-SCIF interrupts.
16.5 Usage Notes
Note the follo wing when using the SCIF.
SCFTDR2 Writing and the TDFE Flag: The TDFE flag in the serial status register (SCFSR2) is
set when the number of transmit d ata by tes wr itten in the transm it FI FO data register (SCFTDR2)
has fallen to or below the transmit trig ger number set by bits TTRG1 and TTRG0 in the FIFO
control register (SCFCR2). After TDFE is set, transmit data up to the number of empty bytes in
SCFTDR2 can be written, allowing efficient continuous transmission.
However, if the number of data bytes written in SCFTDR2 is equal to or less than the tran smit
trigger numb er, the TDFE flag will be set to 1 again after being read as 1 and cleared to 0. TDFE
clearing should therefore be carried out when SCFTDR2 contains more than the transmit trigger
number of tran smit data bytes.
The number of transmit data bytes in SCFTDR2 can be found fr om the upper 8 bits of the FIFO
data count register (SCFDR2).
SCFRDR2 Reading and the RDF Flag: The RDF flag in the serial status register (SCFSR2) is
set when the number of receive data bytes in the receive FIFO data register (SCFRDR2) has
become equal to or greater than the receive trigger nu mber set by bits RTRG1 and RTRG0 in the
FIFO control register (SCFCR2). After RDF is set, receive data equivalent to the trigger number
can be read from SCFRDR2, allowing efficient continuous reception.
However, if the number of data bytes in SCFRDR2 is equal to or greater than the trigger number,
the RDF flag will be set to 1 again if it is cleared to 0. RDF should therefore be cleared to 0 after
being read as 1 after all the receive data has been read.
The number of receive data bytes in SCFRDR2 can be found from the lower 8 bits of the FIFO
data count register (SCFDR2).
Break Detection and Processing: Break signals can be detected by reading the RxD2 pin directly
when a framing error (FER) is detected. In the break state the input from the RxD2 pin consists of
all 0s, so the FER flag is set and the parity error flag (PER) may also be set.
Although the SCIF stops transferring receive data to SCFRDR2 after receiving a break, the receive
operation continues.
Sending a Break Signal: The input/o utput condition and level of the TxD2 pin are d etermin ed by
bits SPB2IO and SPB2DT in the serial port register (SCSPTR2). This feature can be used to send
a break signal.
Rev. 6.0, 07/02, page 699 of 986
After the serial transmitter is initialized, the TxD2 pin function is not selected and the value of the
SPB2DT bit sub stitutes for the mark state until the TE bit is set to 1 (i.e. transmission is enabled).
The SPB2IO and SPB2DT bits should therefore be set to 1 (designating output and high level)
beforehand.
To send a break signal during serial transmission, clear the SPB2DT bit to 0 (designating low
level), then clear the TE bit to 0 (halting tr ansmission). When the TE bit is cleared to 0, the
transmitter is initialized, regardless o f its current state, and 0 is output from the TxD2 pin.
Receive Data Sampling Timing and Receive Margin: The SCIF operates on a base clock with a
frequency of 16 times the transfer rate. In reception, the SCIF synchronizes internally with the fall
of the start bit, which it samples on the base clock. Receive data is latched at the rising edge of the
eighth base clock pulse. The timing is shown in figure 16.13.
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1 2 3 4 5
D0 D1
16 clocks
8 clocks
Base clock
Receive data
(RxD2) Start bit
–7.5 clocks +7.5 clocks
Synchronization
sampling timing
Data sampling
timing
Figure 16.13 Receive Data Sampling Timing in Asynchronous Mode
The receive margin in asynchronous mode can therefore be expressed as shown in equation (1).
M = (0.5 – ) – (L – 0.5) F – (1 + F) × 100%
1
2N | D – 0.5 |
N
...................... (1)
M: Receive margin (%)
N: Ratio of clock frequency to bit rate (N = 16)
D: Clock duty cycle (D = 0 to 1.0)
L: Frame length (L = 9 to 12)
F: Absolute deviation of clock frequency
Rev. 6.0, 07/02, page 700 of 986
From equation (1), if F = 0 and D = 0.5, the receive margin is 46.875%, as given by equation (2).
When D = 0.5 and F = 0:
M = (0.5 1 / (2 × 16) ) × 100% = 46.875% ............................................... (2)
This is a theoretical value. A reasonable margin to allow in system d esigns is 20% to 30%.
SCK2/MRESET
MRESETMRESET
MRESET: As the manua l reset pin is multiplexed with th e SCK2 p in , a manual reset must
not be executed while the SCIF is operating in external clock mode.
When Using the DMAC: When using the DMAC for transmission/reception, inhibit output of
RXI and TXI in ter rupt requests to the inter r upt controller. If interrupt reque st output is enabled,
interrupt requests to the interrupt controller will be cleared by the DMAC without regard to the
interrupt handler.
Serial Ports: Note that, when the SCIF pin v a lu e is read using a serial port, the value read will be
the value two peripheral clock cycles earlier.
Overrun Error Flag (SH7750): SCIF overrun error flag is not set in the case that overrun error
and flaming error occurred simultaneously in receiving data, that means 17th byte data which
overrun was accompanying with flaming error. In such case, only SCFSR2. ER flag which shows
occurrence of flaming error is set. Receive FIFO stores data received before the overrun and does
not store (i. e. lose) overrun data. SCIF has no bit which corresponds to SCFSR2. FER for the lost
data.
In addition to the overr un error handling software routine, exception handler should check co-
occurrence of overrun error when a flaming error is occurred and when a co-occurrence is found,
it should handle also overrun error (When (i) a overrun error solely occurred without
accompanying with other receive error and (ii) when a parity error is accompan ied with overrun
error, usual overrun error handling can be used. Overrun error handling should rather be done
primarily).
Rev. 6.0, 07/02, page 701 of 986
Read receive FIFO
Last data?
No
No
Yes
Yes
Normal error handling
Error handling
No
Yes
Overrun error handling
+
framing error handling
Framing error occurrence Flow chart:
When flaming error (SCFSR.ER=1) is occurred, bit7 to
bit0 should be read out from SCFDR2. If bit7 to bit0
equals H'10, contents of the receive FIFO should be
read. When the data received last is not accompanied
with flaming error (SCFSR2.FER=0) both overrun error
handling and flaming error handling shoud be
conducted.
Bits 7 to 0
in SCFDR2 = H'10?
PER or FER bit
in SCFSR2 set to 1?
Figure 16.14 Overrun Error Flag
Rev. 6.0, 07/02, page 702 of 986
Rev. 6.0, 07/02, page 703 of 986
Section 17 Smart Card Interface
17.1 Overview
The subset of the IC card (smart card) interface conforming to ISO/IEC7816-3 (Identification
Card) is supported as a serial communication interface (SCI) extension function.
Switching between the normal serial communication interface and the smart card interface is
carried out by means of a register setting.
17.1.1 Features
Features of the smart card interface are listed below.
Asynchronous mode
Data length: 8 bits
Parity bit generation and checking
Transmission of error signal (parity error) in receive mode
Error signal detection and automatic data retr ansmission in transmit mode
Direct convention and inverse convention both supported
On-chip baud rate generator allows any bit rate to be selected
Three interrupt sources
There are three interrupt sources—transmit-data-empty, receive-data-full, and transmit/receive
error—that can issue requests independently.
The transmit-data-empty interrupt and receive-data-full interrupt can activate the DMA
controller ( DMAC) to execute data transfer.
Rev. 6.0, 07/02, page 704 of 986
17.1.2 Block Diagram
Figure 17.1 shows a block diagram of the smart card interface.
Module data bus
SCRDR1
SCRSR1
RxD
TxD
SCK
SCTDR1
SCTSR1
SCSCMR1
SCSSR1
SCSCR1
SCBRR1
Parity generation
Parity check
Transmission/
reception
control
Baud rate
generator
Clock
External clock
Pφ
Pφ/4
Pφ/16
Pφ/64
TXI
RXI
ERI
SCI
Bus interface
Internal
data bus
SCSMR1
SCSCMR1: Smart card mode register
SCRSR1: Receive shift register
SCRDR1: Receive data register
SCTSR1: Transmit shift register
SCTDR1: Transmit data register
SCSMR1: Serial mode register
SCSCR1: Serial control register
SCSSR1: Serial status register
SCBRR1: Bit rate register
SCSPTR1: Serial port register
SCSPTR1
Figure 17.1 Block Diagram of Smart Card Interface
Rev. 6.0, 07/02, page 705 of 986
17.1.3 Pin Configuration
Table 17.1 shows the sm art card interface pin configuration.
Table 17.1 Smart Card Interface Pins
Pin Name Abbreviation I/O Function
Serial clock pin MD0/SCK I/O Clock input/output
Receive data pin RxD Input Receive data inp ut
Transmit data pin MD7/TxD Output Transmit data output
17.1.4 Register Configuration
The smart card interface has the internal registers shown in table 17.2. Details of the SCBRR1,
SCTDR1, SCRDR1, and SCSPTR1 registers are the same as for the normal SCI function: see the
register descriptions in section 15, Serial Communication Interf ace (SCI).
With the exceptio n of th e serial port register, the smart card interface registers are initialized in
standby mode and in the module standby state as well as by a power-on reset or manual reset.
When recovering from standby mode or the module standby state, the registers must be set again.
Table 17.2 Smart Card Interface Registers
Name Abbreviation R/W Initial
Value P4 Address Area 7
Address Access
Size
Serial mode register SCSMR1 R/W H'00 H'FFE00000 H'1FE00000 8
Bit rate register SCBRR1 R/W H'FF H'FFE00004 H'1FE00004 8
Serial control register SCSCR1 R/W H'00 H'FFE00008 H'1FE00008 8
Transmit data regist er SCTDR1 R/W H'FF H'FFE0000C H'1FE0000C 8
Serial status register SCSSR1 R/(W)*1H'84 H'FFE00010 H'1FE00010 8
Receive data register SCRDR1 R H'00 H'FFE00014 H'1FE00014 8
Smart card mode
register SCSCMR1 R/W H'00 H'FFE00018 H'1FE00018 8
Serial port register SCSPTR1 R/W H'00*2H'FFE0001C H'1FE0001C 8
Notes: *1 Only 0 can be written, to clear flags.
*2 The value of bits 2 and 0 is undefined.
Rev. 6.0, 07/02, page 706 of 986
17.2 Register Desc riptions
Only registers that have been added, and bit functions that have been modified, for the smart card
interface are described here.
17.2.1 Smart Card Mode Register (SCSCMR1)
SCSCMR1 is an 8-bit readable/writable r e gister that selects the smart car d interface functio n.
SCSCMR1 is in itialized to H'00 by a power - on r e set or m anual r e set, in standby mode, and in the
module standby state.
Bit:76543210
————SDIRSINVSMIF
Initial value:———— 0 0 0
R/W:————R/WR/WR/W
Bits 7 to 4 and 1—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 3—Smart Card Data Transfer Direction (SDIR): Selects the serial/parallel conversion
format.
Bit 3: SDIR Description
0 SCTDR1 contents are transmitted LSB-first (Initial value)
Receive data is stored in SCRDR1 LSB-first
1 SCTDR1 contents are transmitted MSB-first
Receive data is stored in SCRDR1 MSB-first
Bit 2—Smart Card Data Invert (SINV): Specifies inversion of the data logic level. This
function is used together with the bit 3 function for communication with an inverse convention
card. The SINV bit do es not affect the logic level of the parity bit. For parity-related setting
procedures, see section 17.3.4, Register Settings.
Bit 2: SINV Description
0 SCTDR1 contents are transmitted as they are (Initial value)
Receive data is stored in SCRDR1 as it is
1 SCTDR1 contents are inverted before being transmitted
Receive data is stored in SCRDR1 in inverted form
Rev. 6.0, 07/02, page 707 of 986
Bit 0—Smart Card Interface Mode Select (SMIF): Enables or disables the smart card interface
function.
Bit 0: SMIF Description
0 Smart card interface function is di sabl ed (Initial value)
1 Smart card interface function is ena ble d
17.2.2 Serial Mode Register (SCSMR1)
Bit 7 of SCSMR1 has a different function in smart card interface mode.
Bit:76543210
GM(C/A) CHR PE O/ESTOP MP CKS1 CKS0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit 7—GSM Mode (GM): Sets the smart card interface function to GSM mode.
With the nor mal smart card interface, this bit is cleared to 0. Setting this bit to 1 selects GSM
mode, an additional mode for controlling the timing for setting the TEND flag th at indicates
completion of transmission, and the type of clock output used. The details of the additional clock
output control mode are specified by the CKE1 and CKE0 bits in the serial control register
(SCSCR1). In GSM mode, the pulse width is guaranteed when SCK start/stop specifications are
made by CKE1 and CKE0.
Bit 7: GM Description
0 Normal smart card interface mode operatio n (Initial value)
The TEND flag is set 12.5 etu after the beginning of the start bit
Clock output on/off control only
1 GSM mode smart card interface mode operation
The TEND flag is set 11.0 etu after the beginning of the start bit
Clock output on/off and fixed-high/fixed-low control (s et in SCSCR1)
Note: etu: Elementary time unit (time for transfer of 1 bit)
Bits 6 to 0: Operate in the same way as for the normal SCI. See section 15, Serial Communication
Interface (SCI), for details. With the smart card interface, the following settings should be used:
CHR = 0, PE = 1, STOP = 1, MP = 0.
Rev. 6.0, 07/02, page 708 of 986
17.2.3 Serial Control Register (SCSCR1)
Bits 1 and 0 of SCSCR1 have a different function in smart card interface mode.
Bit:76543210
TIE RIE TE RE CKE1 CKE0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bits 7 to 4: Operate in the same way as for the normal SCI. See section 15, Serial Communication
Interface (SCI), for details.
Bits 3 and 2—Reserved: Not used with the smar t car d interface.
Bits 1 and 0—Clock Enable 1 and 0 (CKE1, CKE0): These bits specify the function of the SCK
pin. In smart card interface mode, an internal clock is always used as the clock source. In smart
card interface mode, it is possible to specify a fixed high level or fixed low level for the clock
output, in addition to the usual switching between enabling and disabling of the clock outp ut.
GM CKE1 CKE0 SCK Pin Function
0 0 0 Port I/O pin
1 Clock output as SCK output pin
1 0 Invalid setting : must not be used
1 Invalid setting : must not be used
1 0 0 Output pin with output fixed low
1 Clock output as output pin
1 0 Output pin with output fixed high
1 Clock output as output pin
Rev. 6.0, 07/02, page 709 of 986
17.2.4 Serial Status Register (SCSSR1)
Bit 4 of SCSSR1 has a different function in smart card interface mode. Coupled with this, the
setting conditions for bit 2 (TEND) are also different.
Bit:76543210
TDRE RDRF ORER FER/
ERS PER TEND
Initial value:10000100
R/W: R/(W)*R/(W)*R/(W)*R/(W)*R/(W)*RRR/W
Note: *Only 0 can be written, to clear the flag.
Bits 7 to 5: Operate in the same way as for the normal SCI. See section 15, Serial Communication
Interface (SCI), for details.
Bit 4—Error Signal Status (ERS): In smart card interface mode, bit 4 indicates the status of the
error signal sent back from the receiving side during transmission. Framing errors are not detected
in smart card interface mode.
Bit 4: ERS Description
0 Normal reception, no error signal (Initial value)
[Clearing cond iti ons ]
Power-on reset, manual reset, standby mode, or module standby
When 0 is written to ERS after reading ERS = 1
1 An error signal has been sent from the receiv ing side indi cat ing detection of
a parity error
[Setting condition]
When the low level of the error signal is detected
Note: Clearing the TE bit in SCSCR1 to 0 does not affect the ERS flag, which retains its previous
state.
Bit 3—Parity Error (PER): Operates in the same way as for the normal SCI. See section 15,
Serial Communication Interface (SCI), for details.
Rev. 6.0, 07/02, page 710 of 986
Bit 2—Transmit End (TEN D): The setting conditions for the TEND flag are as follows.
Bit 2: TEND Description
0 Transmission in progress
[Clearing cond iti on]
When 0 is written to TDRE after reading TDRE = 1
1 Transmission has been ended (Initial value)
[Setting conditions]
Power-on reset, manual reset, standby mode, or module standby
When the TE bit in SCSCR1 is 0 and the FER/ERS bit is also 0
When the GM bit in SCSMR1 is 0, and TDRE = 1 and FER/ERS = 0
(normal transmission) 2.5 etu after transmission of a 1-byte serial
character
When the GM bit in SCSMR1 is 1, and TDRE = 1 and FER/ERS = 0
(normal transmission) 1.0 etu after transmission of a 1-byte serial
character
etu: Elementary Time Unit (time for transfer for 1 bit)
Bits 1 and 0—Reserved: Not used with the smar t card interface.
17.3 Operation
17.3.1 Overview
The main functions of the smart card interface are as follows.
One frame consists of 8-bit data plus a parity bit.
In transmission, a guard time of at least 2 etu (elementary time unit: the time for transfer of one
bit) is left between th e end of the parity bit and the start of the next frame.
If a parity error is detected during reception, a low error signal level is output for a 1-etu period
10.5 etu after the start bit.
If an error sig nal is detected during transmission, the same data is transmitted au tomatically
after the elapse of 2 etu or longer.
Only asynchronous communication is supported; there is no synchronous communication
function.
Rev. 6.0, 07/02, page 711 of 986
17.3.2 Pin Connections
Figure 17.2 shows a schematic diagram of smart card interface related pin connections.
In communication with an IC card, since both transmission and reception are carried out on a
single data transmission line, the TxD pin and RxD pin should be connected outside the chip. The
data transmission line should be pulled up on the VCC power supply side with a resistor.
When the clock generated on the smart card interface is used by an IC card, the SCK pin output is
input to the CLK pin of the IC card. No connection is needed if the IC card uses an internal clock.
Chip po r t output is used as the r e set signal.
Other pins must normally be connected to the power supply or ground.
Note: If an IC card is not connected, and both TE and RE are set to 1, closed
transmission/reception is possible, enabling self-diagnosis to be carried out.
SH7750
Series
Connected equipment
TxD
RxD
SCK
Px (port)
Data line
Clock line
Reset line
IO
CLK
RST
IC card
V
CC
Figure 17.2 Schematic Diagram of Smart Card Interface Pin Connections
Rev. 6.0, 07/02, page 712 of 986
17.3.3 Data Format
Figure 17.3 shows the smart card interface data format. In reception in this mode, a parity check is
carried out on each fram e, and if an error is detected an error signal is sent ba ck to th e transmitting
side to request retransmission of the data. If an error signal is detected during transmission, the
same data is retr ansmitted.
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp DE
Ds: Start bit
D0–D7: Data bits
Dp: Parity bit
DE: Error signal
When there is no parity error
When a parity error occurs
Transmitting station output
Transmitting station output
Receiving
station
output
Figure 17.3 Smart Card Interface Data Format
The operation sequence is as follows.
1. When th e data line is not in use it is in the h igh-impedance state, and is fixed h igh with a pull-
up resistor.
2. The transmitting station star ts tr ansmission of one frame of data. The data frame starts with a
start bit (Ds, low-level), followed by 8 data bits (D0 to D7) and a parity bit (Dp).
3. With the smart card interface, the data line then returns to the high-impedance state. The data
line is pulled high with a pull-up r esistor.
4. The receiving station carries out a parity check.
If there is no parity error and the data is received normally, the receiving station waits for
reception of the next data.
Rev. 6.0, 07/02, page 713 of 986
If a parity error occurs, however, the receiving station outputs an error signal (DE, low-level)
to reque st r e transmission o f the data. After outputting the error signal for the prescrib ed length
of time, the receiving station places the signal line in the high-impedance state again. The
signal line is pulled high again by a pull-up resistor.
5. If the transmittin g station does not receive an error signal, it proceeds to transmit the next data
frame.
If it receives an error signal, however, it returns to step 2 and retransmits the erroneous data.
17.3.4 Register Settings
Table 17.3 shows a bit map of the registers used by the smart card interface. Bits indicated as 0 or
1 must be set to the value shown. The setting of other bits is described below.
Table 17.3 Smart Card Interface Register Settings
Bit
Register Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0
SCSMR1 GM 0 1 O/E1 0 CKS1 CKS0
SCBRR1 BRR7 BRR6 BRR5 BRR4 BRR3 BRR2 BRR1 BRR0
SCSCR1 TIE RIE TE RE 0 0 CKE1 CKE0
SCTDR1 TDR7 TDR6 TDR5 TDR4 TDR3 TDR2 TDR1 TDR0
SCSSR1 TDRE RDRF ORER FER/ERS PER TEND 0 0
SCRDR1 RDR7 RDR6 RDR5 RDR4 RDR3 RDR2 RDR1 RDR0
SCSCMR1 SDIR SINV SMIF
SCSPTR1 EIO SPB1IO SPB1DT SPB0IO SPB0DT
Note: A dash indicates an unused bit.
Serial Mode Register ( SCSMR1) Setting s: The GM bit is used to select the tim ing of TEND flag
setting, and, toge th er with the CKE1 and CKE0 b its in the serial control register (SCSCR1 ) , to
select the clock output state.
The O/E bit is cleared to 0 if the IC card is of the direct convention type, and set to 1 if of the
inverse convention type.
Bits CKS1 and CKS0 select the clock source of the on-chip baud rate generator. See section
17.3.5, Clock.
Rev. 6.0, 07/02, page 714 of 986
I/O data
TXI
(TEND interrupt)
etu: Elementary Time Unit (time for transfer for 1 bit)
Guard
time
Ds Da Db Dc Dd De Df Dg Dh Dp DE
12.5 etu
11.0 etu
GM = 0
GM = 1
Figure 17.4 TEND Generation Timing
Bit Rate Register (SCBRR1) Setting: SCBRR1 is used to set the bit rate. See section 17.3.5,
Clock, fo r the method of calculating th e value to be set.
Serial Control Register (SCSCR1) Setting s: Th e f u nction of the TIE, RIE, TE, and RE bits is
the same as for the normal SCI. See section 15, Serial Communication Interface (SCI), for details.
The CKE1 and CKE0 bits specify the clock output state. See section 17 .3.5, Clock, for details.
Smart Card Mode Register (SCSCMR1) Settings: The SDIR bit and SINV bit are both cleared
to 0 if the IC card is of the direct convention type, and both set to 1 if of the inverse convention
type.
The SMIF bit is set to 1 when the smart card interface is used.
Figure 17.5 shows examples of register settings and the waveform of the start character for the two
types of IC card (direct convention and inverse convention).
With the direct convention type, the logic 1 level corresponds to state Z and the logic 0 level to
state A, and transfer is performed in LSB-first order. The start character data in this case is H'3B.
The parity bit is 1 since even parity is stipulated for the smart card.
With the inverse convention type, the logic 1 level corresponds to state A and the logic 0 level to
state Z, and transfer is performed in MSB-first order. The start character data in this case is H'3F.
The parity bit is 0, corresponding to state Z, since even parity is stipulated for the smart card.
Inversion specified by the SINV bit applies only to the data bits, D7 to D0. For parity bit
inversion, the O/E bit in SCSMR1 is set to odd parity mode. (This applies to both transmission and
reception).
Rev. 6.0, 07/02, page 715 of 986
(Z)
(a) Direct convention (SDIR = SINV = O/ = 0)
(b) Inverse convention (SDIR = SINV = O/ = 1)
A Z Z A Z Z Z A A Z (Z) State
Ds D0 D1 D2 D3 D4 D5 D6 D7 Dp
(Z) A Z Z A A A A A A Z (Z) State
Ds D7 D6 D5 D4 D3 D2 D1 D0 Dp
Figure 17.5 Sample Start Character Waveforms
17.3.5 Clock
Only an internal clock generated by the on-chip baud rate generator can be used as the
transmit/receive clock for the smart card interface. The bit rate is set with the bit rate reg ister
(SCBRR1) and the CKS1 and CKS0 bits in the serial mode register (SCSMR1). The equation for
calculating the bit rate is shown below. Table 17.5 shows some sample bit rates.
If clock output is selected with CKE0 set to 1, a clock with a frequency of 372 times the bit rate is
output from the SCK pin.
B = × 106
1488 × 22n–1 × (N + 1)
φ
P
Where: N = Value set in SCBRR1 (0 N 255)
B = Bit rate (bits/s)
Pφ = Peripheral module operating frequency (MHz)
n = 0 to 3 (See table 17.4)
Table 17.4 Values of n and Corresponding CKS1 and CKS0 Settings
n CKS1 CKS0
000
101
210
311
Rev. 6.0, 07/02, page 716 of 986
Table 17.5 Examples of Bit Rate B (bits/s) for Various SCBRR1 Settings ( When n = 0)
Pφ
φφ
φ (MHz)
N 7.1424 10.00 10.7136 14.2848 25.0 33.0 50.0
0 9600.0 13440.9 14400.0 19200.0 33602.2 44354.8 67204.3
1 4800.0 6720.4 7200.0 9600.0 16801.1 22177.4 33602.2
2 3200.0 4480.3 4800.0 6400.0 11200.7 14784.9 22401.4
Note: Bit rates are rounded to one decimal place.
The method of calculating the value to be set in th e bit rate register (SCBRR1) from the peripheral
module operating frequency and bit rate is shown below. Here, N is an integer in the range 0 N
255, and the smaller error is specified.
N = × 10
6
– 1
1488 × 2
2n–1
× B
φ
P
Table 17.6 Examples of SCBRR1 Settings for Bit Rate B (bits/s) (When n = 0 )
Pφ
φφ
φ (MHz)
7.1424 10.00 10.7136 14.2848 25.00 33.00 50.00
Bits/s N Error N Error N Error N Error N Error N Error N Error
9600 0 0.00 1 30.00 1 25.00 1 8.99 3 14.27 4 8.22 6 0.01
Table 17.7 Maximum Bit Rate at Various Frequencies (Smart Card Interface Mode)
Pφ
φφ
φ (MHz) Maximum Bit Rate (bits/s) N n
7.1424 19200 0 0
10.00 26882 0 0
10.7136 28800 0 0
16.00 43010 0 0
20.00 53763 0 0
25.0 67204 0 0
30.0 80645 0 0
33.0 88710 0 0
50.0 67204 0 0
Rev. 6.0, 07/02, page 717 of 986
The bit rate error is given by the following equation:
Error (%) = 1488 × 22n–1 × B × (N + 1) × 106 – 1 × 100
P
φ
Table 17.8 shows the relatio nship between the smart card interface transmit/receive clock register
settings and the output state.
Table 17.8 Register Settings and SCK Pin Stat e
Register Values SCK Pin
Setting SMIF GM CKE1 CKE0 Output State
1*11000 Port Determined by setting of SPB1IO
and SPB1DT bits in SCSPTR1
1001 SCK (serial clock) output state
2*21100 Low outputLow-level output state
1101 SCK (serial clock) output state
3*21110 High outputHigh-level output state
1111 SCK (serial clock) output state
Notes: *1 The SCK output state changes as soon as the CKE0 bit setting is changed.
Clear the CKE1 bit to 0.
*2 Stopping and starting the clock by changing the CKE0 bit setting does not affect the
clock dut y cyc le.
Port value Width is
undefined
CKE1 value Specified
width
SCK
SCK
(a) When GM = 0
(b) When GM = 1
Width is
undefined
Specified
width
Port value
CKE1 value
Figure 17.6 Difference in Clock Output According to GM Bit Setting
Rev. 6.0, 07/02, page 718 of 986
17.3.6 Data Transmit/Receive Operations
Initialization: Before transmittin g and receiving data, the smart card interface must be initialized
as described below. Initialization is also necessary wh en switching from transmit mode to receive
mode, or vice versa. Figu r e 17.7 shows a sample initialization processing flowchar t.
1. Clear the TE and RE bits in the serial control register (SCSCR1) to 0.
2. Clear error flags FER/ERS, PER, and ORER in the serial status register (SCSSR1) to 0.
3. Set the GM bit, p arity bit (O/E), and baud rate generator select bits (CKS1 and CKS0) in the
serial mode register (SCSMR1). Clear the CHR and MP bits to 0, and set the STOP and PE
bits to 1.
4. Set the SMIF, SDIR, an d SINV bits in the sm ar t card mode register (SCSCMR1).
When the SMIF bit is set to 1, the TxD pin and RxD pin both go to the high-imp edance state.
5. Set the value corresponding to the bit rate in the bit rate register (SCBRR1).
6. Set the clock source select bits (CKE1 and CKE0) in SCSCR1. Clear the TIE, RIE, TE, RE,
MPIE, and TEIE bits to 0.
If the CKE0 b it is set to 1, the clock is output f rom the SCK pin.
7. Wait at least one bit interval, th en set the TI E, RIE, TE, and RE bits in SCSCR1. Do not set the
TE bit and RE bit at the same time, except for self-diagnosis.
Rev. 6.0, 07/02, page 719 of 986
Initialization
Clear TE and RE bits
in SCSCR1 to 0
Clear FER/ERS, PER, and
ORER flags in SCSCR1 to 0
In SCSMR1, set parity in O/ bit,
clock in CKS1 and CKS0 bits,
and set GM
Set SMIF, SDIR, and SINV bits
in SCSCMR1
Set value in SCBRR1
In SCSCR1, set clock in CKE1
and CKE0 bits, and clear TIE,
RIE, TE, RE, MPIE, and
TEIE bits to 0.
1-bit interval elapsed?
Set TIE, RIE, TE, and RE bits
in SCSCR1
End
Wait
No
Yes
1
2
3
4
5
6
7
Figure 17.7 Sample Initialization Flowchart
Rev. 6.0, 07/02, page 720 of 986
Serial Data Transmission: As data transmission in smart card mode involves error signal
sampling and retransmission processing, the processing procedure is different from that for the
normal SCI. Figure 17.8 shows a sample transmission processing flowchart.
1. Perform smart card interface mode initialization as described in Initialization above.
2. Check that the FER/ERS error flag in SCSSR1 is cleared to 0.
3. Repeat steps 2 and 3 until it can be conf irmed that the TEND flag in SCSSR1 is set to 1.
4. Write the transmit data to SCTDR1, clear the TDRE flag to 0, and p erfo rm th e tr ansmit
operation. The TEND flag is cleared to 0.
5. To con tinue tr ansmitting data, go back to step 2.
6. To end transmission, clear the TE bit to 0.
With the above processing, interrupt handling is possible.
If transmissio n ends and the TEND flag is set to 1 while the TIE bit is set to 1 and interrupt
requests are en abled, a transmit-data-e mpty interrupt (TXI) request will be g enerated. If an error
occurs in transmission and the ERS f lag is set to 1 while the RI E bit is set to 1 and interrup t
requests are enabled, a transmit/receive-error interrupt (ERI) request will be generated. See
Interrupt Operation in section 17.3.6 below for d e tails.
Rev. 6.0, 07/02, page 721 of 986
Start
Initialization
Start of transmission
Write transmit data to SCTDR1,
and clear TDRE flag
in SCSSR1 to 0
FER/ERS = 0?
TEND = 1?
All data transmitted?
FER/ERS = 0?
TEND = 1?
Clear TE bit in SCSCR1 to 0
End of transmission
Error handling
Error handling
No
Yes
Yes
Yes
Yes
No
Yes
No
No
No
1
2
3
4
5
6
Figure 17.8 Sample Transmission Processing Flowchart
Rev. 6.0, 07/02, page 722 of 986
Serial Data Reception: Data reception in smart card mode uses the same processing procedure as
for the normal SCI. Figure 17.9 shows a sample reception processing flowchart.
1. Perform smart card interface mode initialization as described in Initialization above.
2. Check that the ORER flag and PER flag in SCSSR1 are cleared to 0. If either is set, perform
the appropriate receive error handling, then clear both the ORER and the PER flag to 0.
3. Repeat steps 2 and 3 until it can b e con f ir med that the RDRF flag is set to 1.
4. Read the receive data from SCRDR1.
5. To continue receiving data, clear the RDRF flag to 0 and go back to step 2.
6. To end reception, clear the RE bit to 0.
With the above processing, interrupt handling is possible.
If reception ends and the RDRF flag is set to 1 while the RIE bit is set to 1 and interrupt requests
are enabled, a receive-data-full interrupt (RXI) request will be generated. If an error occurs in
reception and either the ORER flag or the PER flag is set to 1, a transmit/receive-error interrupt
(ERI) request will be gener a ted.
See Interrupt Operation in section 17.3.6 below for details.
If a parity error occurs during reception and the PER flag is set to 1, th e received data is still
transferred to SCRDR1, and therefore this data can be read.
Rev. 6.0, 07/02, page 723 of 986
Start
Initialization
Start of reception
Read receive data from
SCRDR1 and clear RDRF flag
in SCSSR1 to 0
ORER = 0 and PER = 0?
RDRF = 1?
All data received?
Clear RE bit in SCSCR1 to 0
End of reception
Error handling
No
Yes
Yes
Yes
No
No
1
2
3
4
5
6
Figure 17.9 Sample Reception Processing Flowchart
Mode Switching Operation: When switching from receive mode to transmit mode, first confirm
that the receive operation has been completed, then start from initialization, clearing RE to 0 and
setting TE to 1. The RDRF flag or the PER and ORER flags can be used to check that the receive
operation has been completed.
When switching from transmit mode to receive mode, first confirm that the transmit operation has
been completed, then start fr om initialization, clearing TE to 0 and setting RE to 1. The TEND
flag can be used to ch eck that the transmit operation has been completed.
Rev. 6.0, 07/02, page 724 of 986
Interrupt Operation: There are three interrupt sources in smart card interface mode, generating
transmit-data-empty interrupt (TXI) requests, transmit/receive-error interrupt (ERI) requests, and
receive-data-full interrupt (RXI) requests. The transmit-end interrupt (TEI) request cannot be used
in this mode.
When the TEND flag in SCSSR1 is set to 1, a TXI interrupt reque st is generated.
When the RDRF flag in SCSSR1 is set to 1, an RXI interrupt request is generated.
When any of f lags ORER, PER, and FER/ERS in SCSSR1 is set to 1, an ERI interrupt request is
generated. The relationship between the operating states and interrupt sources is shown in table
17.9.
Table 17.9 Smart Card Mode Operating States and Interrupt Sources
Operating State Flag Mask Bit Interrupt Source
Transmit mode Normal operation TEND TIE TXI
Error FER/ERS RIE ERI
Receive mode Normal operation RDRF RIE RXI
Error PER, ORER RIE ERI
Data Transfer Operation by DMAC: In smart card mode, as with the normal SCI, transfer can
be carried out using the DMAC. I n a transmit operation, when the TEND flag in SCSSR1 is set to
1, a TXI interrupt is requested. If the TXI request is designated beforehand as a DMAC activation
source, the DMAC will b e activated by the TXI request, and tran sfer of the transmit data will be
carried out. The TEND flag is automatically cleared to 0 when data transfer is performed by the
DMAC. In the event of an error, the SCI r e tr ansmits the same data automatically . The TEND flag
remains cleared to 0 during this time, and the DMAC is not activated. Thus, the number of bytes
specified by the SCI and DMAC are transm itted automatically, including retransmissio n following
an error. However, the ERS flag is not cleared automatically when an error occurs, and therefore
the RIE bit should be set to 1 beforehand so that an ERI request will be generated in the event of
an error, and the ERS flag will b e clear ed.
In a receive operation, an RXI interrupt request is generated when the RDRF flag in SCSSR1 is
set to 1. If the RXI request is designated beforehand as a DMAC activation source, the DMAC
will be activated by the RXI request, and transfer of the receive data will be carried out. The
RDRF flag is cleared to 0 automatically when data transfer is performed by the DMAC. If an error
occurs, an error flag is set but the RDRF flag is not. The DMAC is not activated, but instead, an
ERI interrupt request is sent to the CPU. The error flag must therefore be cleared.
When perf orm ing data transfer using th e DMAC, it is essential to set and enab le the DMAC
before carrying out SCI settings. For details of the DMAC setting procedures, see section 14,
Direct Memory Access Controller (DMAC).
Rev. 6.0, 07/02, page 725 of 986
17.4 Usage Notes
The following points should be noted when using the SCI as a smart card interface.
(1) Receive Data Sampling Timing and Receive Margin
In asynchronous mode, the SCI operates on a base clock with a frequency of 372 times the transfer
rate. In reception , the SCI sy nchronizes intern ally with the fall of the start bit, which it samples on
the base clock. Receive data is latched at the rising edge of the 186th base clock pulse. The timing
is shown in figure 17.10.
0 185 371 0 185 371 0
Base clock
372 clocks
186 clocks
Start
bit
D0 D1
Receive data
(RxD)
Synchronization
sampling timing
Data sampling
timing
Figure 17.10 Receive Data Sampling Timing in Smart Card Mode
The receive margin in smart card mode can therefore be expressed as shown in the following
equation.
M = (0.5 – ) – (L – 0.5) F – (1 + F) × 100%
1
2N | D – 0.5 |
N
M: Receive margin (%)
N: Ratio of clock frequency to bit rate (N = 372)
D: Clock duty cycle (D = 0 to 1.0)
L: Frame length (L =10)
F: Absolute deviation of clock frequency
Rev. 6.0, 07/02, page 726 of 986
From the above equation, if F = 0 and D = 0.5, the receive margin is 49.866%, as given by the
following equation.
When D = 0.5 and F = 0:
M = (0.5 – 1/2 × 372) × 100% = 49.866%
(2) Retransfer Operations
Retransfer operations are performed by the SCI in receive mode and transmit mode as described
below.
Retransfer Operation when SCI is in Receive Mode: Figure 17.1 1 illustrates the re transfer
operation when the SCI is in receive mode.
1. If an error is found when the received parity bit is checked, the PER bit in SCSSR1 is
automatically set to 1. If the RIE bit in SCSCR1 is enabled at this time, an ERI interrupt
request is generated. The PER bit in SCSSR1 should be cleared to 0 before the next parity bit
is sampled.
2. The RDRF bit in SCSSR1 is not set for a fram e in which an error has occurred.
3. If an error is found when the received parity bit is checked, the PER bit in SCSSR1 is not set to
1.
4. If no error is found when the received parity bit is checked, the receive operation is judged to
have been com pleted normally, and the RDRF bit in SCSSR1 is autom a tically set to 1. If th e
RIE bit in SCSCR1 is enabled at this time, an RXI interrupt request is gen erated.
5. When a normal frame is received, the pin retains the high-impedance state at the timing for
error signal tr ansmission.
Ds
nth transfer frame Retransferred frame Transfer frame n+1
D0D1 D2 D3 D4 D5 D6 D7 Dp (DE)
Ds DsD0 D0 D1 D1D2 D2DE D3 D3D4 D4D5 D6 D7 Dp
RDRF
PER
1
2
3
4
5
Figure 17.11 Retransfer Operation in SCI Receive Mode
Rev. 6.0, 07/02, page 727 of 986
Retransfer Operation when SCI is in Transmit Mode: Figure 17.12 illustrates the retransfer
operatio n when the SCI is in transmit mode.
1. If an error signal is sent back from the receiving side after transmission of one frame is
completed, the FER/ERS bit in SCSSR1 is set to 1. If the RIE bit in SCSCR1 is enabled at this
time, an ERI interrupt request is generated. Th e FER/ERS bit in SCSSR1 should be cleared to
0 before the next parity bit is sampled.
2. The TEND bit in SCSSR1 is not set for a frame for which an error signal indicating an error is
received.
3. If an error signal is no t sent back from the receiving side, the FER/ERS bit in SCSSR1 is not
set.
4. If an error signal is not sent back from the receiving side, transmission of one frame, including
a retransfer, is judged to have been completed, and the TEND bit in SCSSR1 is set to 1. If the
TIE bit in SCSCR1 is en abled at this time, a TXI in ter rupt request is gen e r a ted.
TDRE
Ds
nth transfer frame Retransferred frame Transfer frame n+1
D0D1 D2 D3 D4 D5 D6 D7 Dp (DE)
Ds DsD0 D0 D1 D1D2 D2DE D3 D3D4 D4D5 D6 D7 Dp
TEND
FER/ERS 1
2
3
4
Transfer from SCTDR1
to SCTSR1 Transfer from SCTDR1
to SCTSR1 Transfer from
SCTDR1 to
SCTSR1
Figure 17.12 Retransfer Operation in SCI Transmit Mode
Rev. 6.0, 07/02, page 728 of 986
(3) Standby Mode and Clo c k
When switching between smart card interface mode and standby mode, the following procedures
should be used to maintain the clock duty cycle.
Switching from Smart Card Interfa ce Mode to Standby Mode:
1. Set the SBP1I O and SBP1DT bits in SCSPTR1 to the values fo r the f ix ed o utput state in
standby mode.
2. Write 0 to the TE and RE bits in the serial control register (SCSCR1) to sto p transmit/receive
operations. At the same time, set the CKE1 bit to the value for the fixed output state in standby
mode.
3. Write 0 to the CKE0 bit in SCSC R1 to stop th e clock.
4. Wait for one serial clock cy cle. During this period, the duty cycle is preserved and clock output
is fixed at the specified level.
5. Write H'00 to the serial mode register (SCSMR1) and smart card mode register (SCSMR1).
6. Make the transition to the standby state.
Returning from Standby Mode to Smart Card Interfa ce Mode:
7. Clear the standby state.
8. Set the CKE1 bit in SCSCR1 to the value for the fixed output state at the start of standby (the
current SCK pin state).
9. Set smart card interface mode and output the clock. Clock signal generation is started with the
normal duty cycle.
Normal operation Normal operation
Standby mode
1 2 3 4 5 6 7 8 9
Figure 17.13 Procedure for Stopping and Restarting t he Clock
Rev. 6.0, 07/02, page 729 of 986
(4) Power-On and Clock
The following procedure should be used to secure the clock duty cycle after powering on.
1. The initial state is port input and high impedance. Use pull-up or pull-down resistors to fix the
potential.
2. Fix at the output specified by the CKE1 bit in the serial control register (SCSCR1).
3. Set the serial mode register (SCSMR1) and smart card mode register (SCSCMR1), and switch
to smart card mode operation.
4. Set the CKE0 bit in SCSCR1 to 1 to start clock output.
Rev. 6.0, 07/02, page 730 of 986
Rev. 6.0, 07/02, page 731 of 986
Section 18 I/O Ports
18.1 Overview
The SH7750 Series has a 20-bit general-purpose I/O port, SCI I/O port, and SCIF I/O port.
18.1.1 Features
The features of the general-purpose I/O port are as follows:
20-bit I/O port with input/output direction independently specifiable for each bit
Pull-up can be specified independently for each bit.
Interrupt input is possible for 16 of the 20 I/O port bits.
Use or non-use of the I/O port can be selected with the PORTEN bit in bus control register 2
(BCR2).
The features of the SCI I/O port are as follows:
Data can be output when the I/O port is designated for output and SCI enabling has not been
set. This allows break function tran smission.
The RxD pin value can be read at all times, allowing break state detection.
SCK pin control is possible when the I/O port is designated for outpu t and SCI enabling has
not been set.
The SCK pin value can be read at all times.
The features of the SCIF I/O port are as follows:
Data can be output when the I/O port is designated for output and SCIF enabling has not been
set. This allows break function tran smission.
The RxD2 pin value can be read at all times, allowing break state detection.
CTS2 and RTS2 pin control is possible when the I/O port is designated for output and SCIF
enabling has not been set.
The CTS2 and RTS2 pin values can be read at all times.
Rev. 6.0, 07/02, page 732 of 986
18.1.2 Block Diagrams
Figure 18.1 shows a block diagram of the 16-bit general-purpose I/O port.
PBnPUP
PORTEN
DnDIR
PBnIO
0
1
PDTRW
BCK
Data input strobe
DQ
C
0
1
0
1
MPXMPX
MPX
PTIRENn BCK
C
QD
Pull-up resistor
Port 15 (input/
output)/D47
to
Port 0 (input/
output)/D32
Dn output data
Internal bus
Dn input data
Interrupt
controller
PORTEN 0: Port not available 1: Port available
PBnPuP 0: Pull-up 1: Pull-up off
DnDIR 0: Input 1: Output
PBnIO 0: Input 1: Output
PTIRENn 0: Interrupt input disabled 1: Interrupt input enabled
Figure 18.1 16-Bit Port
Rev. 6.0, 07/02, page 733 of 986
Figure 18.2 shows a block diagram of the 4-bit general-purpose I/O port.
PBnPUP
PORTEN
DnDIR
PBnIO
0
1
PDTRW
BCK
DQ
C
0
1
0
1
BCK
C
QD
MPXMPX
MPX
Data input strobe
Pull-up resistor
Port 19 (input/
output)/D51
to
Port 16 (input/
output)/D48
Dn output data
Internal bus
PORTEN 0: Port not available 1: Port available
PBnPuP 0: Pull-up 1: Pull-up off
DnDIR 0: Input 1: Output
PBnIO 0: Input 1: Output
Dn input data
Figure 18.2 4-Bit Port
Rev. 6.0, 07/02, page 734 of 986
SCI I/O port block diagrams are shown in figures 18.3 to 18.5.
Reset
Reset
Internal data bus
SPTRW
SPTRW
SCI
R
QD
SPB1IO
C
R
QD
SPB1DT
C
SPTRR
Clock output enable signal
Serial clock output signal
Serial clock input signal
Clock input enable signal
*
MD0/SCK
Mode setting
register
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: *Signals that set the SCK pin function as internal clock output or external clock input according to
the CKE0 and CKE1 bits in SCSCR1 and the C/ bit in SCSMR1.
Figure 18.3 MD0/SCK Pin
Rev. 6.0, 07/02, page 735 of 986
Reset
Internal data bus
SPTRW
SCI
R
QD
SPB0IO
C
Reset
SPTRW
R
QD
SPB0DT
C
MD7/TxD
Mode setting register Transmit enable signal
Serial transmit data
SPTRW: Write to SPTR
Figure 18.4 MD7/TxD Pin
Internal data bus
SCI
RxD
SPTRR
Serial receive data
SPTRR: Read SPTR
Figure 18.5 RxD Pin
Rev. 6.0, 07/02, page 736 of 986
SCIF I/O port block diagrams are shown in figu res 18.6 to 18.9.
Reset
Internal data bus
SPTRW
Mode setting
register
SCIF
R
QD
SPB2IO
C
Reset
SPTRW
R
QD
SPB2DT
C
MD1/TxD2
SPTRW: Write to SPTR
Transmit enable
signal
Serial transmit data
Figure 18.6 MD1/TxD2 Pin
Internal data bus
Mode setting
register
SCIF
MD2/RxD2
SPTRR
Serial receive
data
SPTRR: Read SPTR
Figure 18.7 MD2/RxD2 Pin
Rev. 6.0, 07/02, page 737 of 986
Reset
Internal data bus
SPTRW
SCIF
R
QD
CTSIO
C
Reset
SPTRR
SPTRW
R
QD
CTSDT
C
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: * MCE bit in SCFCR2: signal that designates modem control as the pin function.
Modem control enable
signal*
signal
Figure 18.8 CTS2
CTS2CTS2
CTS2 Pin
Rev. 6.0, 07/02, page 738 of 986
Reset
Internal data bus
SPTRW
SCIF
R
QD
RTSIO
C
Reset
Mode setting
register
SPTRR
SPTRW
R
QD
RTSDT
C
MD8/
SPTRW: Write to SPTR
SPTRR: Read SPTR
Note: * MCE bit in SCFCR2: signal that designates modem control as the pin function.
Modem control
enable signal*
signal
Figure 18.9 MD8/RTS2
RTS2RTS2
RTS2 Pin
Rev. 6.0, 07/02, page 739 of 986
18.1.3 Pin Configuration
Table 18.1 shows the 20-bit general-purpose I/O port pin configuration.
Table 18.1 20-Bit General-Purpose I/O Port Pins
Pin Name Signal I/O Function
Port 19 pin PORT19/D51 I/O I/O port
Port 18 pin PORT18/D50 I/O I/O port
Port 17 pin PORT17/D49 I/O I/O port
Port 16 pin PORT16/D48 I/O I/O port
Port 15 pin PORT15/D47 I/O*I/O port / GPIO interrupt
Port 14 pin PORT14/D46 I/O*I/O port / GPIO interrupt
Port 13 pin PORT13/D45 I/O*I/O port / GPIO interrupt
Port 12 pin PORT12/D44 I/O*I/O port / GPIO interrupt
Port 11 pin PORT11/D43 I/O*I/O port / GPIO interrupt
Port 10 pin PORT10/D42 I/O*I/O port / GPIO interrupt
Port 9 pin PORT9/D41 I/O*I/O port / GPIO interrupt
Port 8 pin PORT8/D40 I/O*I/O port / GPIO interrupt
Port 7 pin PORT7/D39 I/O*I/O port / GPIO interrupt
Port 6 pin PORT6/D38 I/O*I/O port / GPIO interrupt
Port 5 pin PORT5/D37 I/O*I/O port / GPIO interrupt
Port 4 pin PORT4/D36 I/O*I/O port / GPIO interrupt
Port 3 pin PORT3/D35 I/O*I/O port / GPIO interrupt
Port 2 pin PORT2/D34 I/O*I/O port / GPIO interrupt
Port 1 pin PORT1/D33 I/O*I/O port / GPIO interrupt
Port 0 pin PORT0/D32 I/O*I/O port / GPIO interrupt
Note: *When port pins are used as GPIO interrupts, they must be set to input mode. The input
setting can be made in the PCTRA register.
Rev. 6.0, 07/02, page 740 of 986
Table 18.2 shows the SCI I/O port pin configuration.
Table 18.2 SCI I/O Port Pins
Pin Name Abbreviation I/O Function
Serial clock pin MD0/SCK I/O Clock input/outpu t
Receive data pin RxD Input Receive data input
Transmit data pin MD7/TxD Output Transmit data output
Note: Pins MD0/SCK and MD7/TxD function as mode input pins MD0 and MD7 after a power-on
reset. They are made to function as serial pins by performing SCI operation settings with
the TE, RE, CKEI, and CKE0 bits in SCSCR1 and the C/A bit in SCSMR1. Break state
transmissi on and dete cti on can be perform ed by mea ns of a setting in the SCI’s SCSPTR1
register.
Table 18.3 shows the SCIF I/O port pin configuration.
Table 18.3 SCIF I/O Port Pins
Pin Name Abbreviation I/O Function
Serial clock pin MRESET/SCK2 Input Clock input
Receive data pin MD2/RxD2 Input Recei ve data inp ut
Transmit data pin MD1/TxD2 Output Transmit dat a output
Modem control pin CTS2 I/O Transmission enab led
Modem control pin MD8/RTS2 I/O Transmission request
Note: The MRESET/SCK2 pin functions as the MRESET manual reset pin when a manual reset is
executed. The MD1/TxD2, MD2/RxD2, and MD8/RTS2 pins function as the MD1, MD2, and
MD8 mode input pins after a power-on reset. These pins are made to function as serial pins
by performing SCIF operation settings with the TE and RE bits in SCSCR2 and the MCE bit
in SCFCR2. Break state transmission and detection can be set in the SCIF’s SCSPTR2
register.
Rev. 6.0, 07/02, page 741 of 986
18.1.4 Register Configuration
The 20-bit general-purpose I/O port, SCI I/O port, and SCIF I/O port have seven registers, as
shown in table 18.4.
Table 18.4 I/O Port Registers
Name Abbreviation R/W Initial Value*P4 Address Area 7
Address Access
Size
Port control register A PCTRA R/W H'00000000 H'FF80002C H'1F80002C 32
Port data register A PDTRA R/W Undefined H'FF800030 H'1F800030 16
Port control register B PCTRB R/W H'00000000 H'FF800040 H'1F800040 32
Port data register B PDTRB R/W Undefined H'FF800044 H'1F800044 16
GPIO interrupt control
register GPIOIC R/W H'00000000 H'FF800048 H'1F800048 16
Serial port register SCSPTR1 R/W Undefined H'FFE0001C H'1FE0001C 8
Serial port register SCSPTR2 R/W Undefined H'FFE80020 H'1FE80020 16
Note: *Initialized by a power-on reset.
Rev. 6.0, 07/02, page 742 of 986
18.2 Register Desc riptions
18.2.1 Port Contro l Reg ister A (PCTRA)
Port control register A (PCTRA) is a 32-b it readable/writable register th at co ntrols the
input/output direction and pull-up for each bit in the 16-bit port (port 15 pin to port 0 pin). As the
initial value of port data register A (PDTRA) is undefined, all the bits in the 16-bit port should be
set to outpu t with PCTRA after writing a value to the PDTRA register.
PCTRA is initialized to H'00000000 by a power-on reset. It is not initialized by a manual reset or
in standby mode, and retains its contents.
Bit: 31 30 29 28 27 26 25 24
PB15PUP PB15IO PB14PUP PB14IO PB13PUP PB13IO PB12PUP PB12IO
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
PB11PUP PB11IO PB10PUP PB10IO PB9PUP PB9IO PB8PUP PB8IO
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
PB7PUP PB7IO PB6PUP PB6IO PB5PUP PB5IO PB4PUP PB4IO
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
PB3PUP PB3IO PB2PUP PB2IO PB1PUP PB1IO PB0PUP PB0IO
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 743 of 986
Bit 2n + 1 (n = 0–15)—Port Pull-Up Control (PBnPUP): Specifies whether each bit in the 16-
bit port is to be pulled up with a built-in r e sistor. Pull-up is automatically turned off for a por t p in
set to output by bit PBnIO.
Bit 2n + 1: PBnPUP Description
0 Bit m (m = 0–15) of 16-bit port is pulled up (Initial value)
1 Bit m (m = 0–15) of 16-bit port is not pulled up
Bit 2n (n = 0–15)—Port I/O Control (PBnIO): Specifies whether each bit in the 16-bit port is an
input or an output.
Bit 2n: PBnIO Description
0 Bit m (m = 0–15) of 16-bit port is an input (Initial value)
1 Bit m (m = 0–15) of 16-bit port is an output
18.2.2 Port Data Register A (PDTRA)
Port data register A (PDTRA) is a 16-bit readable/writable register used as a data latch for each bit
in the 16-bit p ort. Wh en a bit is set as an output, the value wr itten to the PDTRA register is outp ut
from the external pin. When a value is read from the PDTRA register while a bit is set as an input,
the external pin value sampled on the external bus clock is read. When a bit is set as an output, the
value written to the PDTRA register is read.
PDTRA is not initialized by a power-on or manual reset, or in standby mode, and retains its
contents.
Bit: 15 14 13 12 11 10 9 8
PB15DT PB14DT PB13DT PB12DT PB11DT PB10DT PB9DT PB8DT
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
PB7DT PB6DT PB5DT PB4DT PB3DT PB2DT PB1DT PB0DT
Initial value:————————
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 744 of 986
18.2.3 Port Control Register B (PCTRB)
Port control register B (PCTRB) is a 32-bit readable/writable register that contro ls the input/output
direction and pull-up for each bit in the 4-bit port (port 19 pin to port 16 pin). As the initial valu e
of port data register B (PDTRB) is undefined, each bit in the 4-bit port should be set to output with
PCTRB after writin g a value to the PDTRB register.
PCTRB is initialized to H'00000000 by a power-on reset. It is not initialized by a manual reset or
in standby mode, and retains its contents.
Bit: 31 30 29 28 27 26 25 24
————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 23 22 21 20 19 18 17 16
————————
Initial value:00000000
R/W:RRRRRRRR
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
PB19PUP PB19IO PB18PUP PB18IO PB17PUP PB17IO PB16PUP PB16IO
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit 2n + 1 (n = 0–3)—Port Pull-Up Control (PBnPUP): Specifies whether each bit in the 4-bit
port is to b e pulled up with a built-in resisto r . Pull-up is automatically turned off for a port pin set
to output by bit PB nIO.
Bit 2n + 1: PBnPUP Description
0 Bit m (m = 16–19) of 4-bit port is pulled up (Initial value)
1 Bit m (m = 16–19) of 4-bit port is not pulled up
Rev. 6.0, 07/02, page 745 of 986
Bit 2n (n = 0–3)—Port I/O Control (PBnIO): Specifies whether each bit in the 4-bit port is an
input or an output.
Bit 2n: PBnIO Description
0 Bit m (m = 16–19) of 4-bit port is an input (Initial value)
1 Bit m (m = 16–19) of 4-bit port is an output
18.2.4 Port Data Register B (PDTRB)
Port data register B (PDTRB) is a 16-bit readable/writable register used as a data latch for each bit
in the 4-bit port. When a bit is set as an ou tput, the value written to th e PDTRB r e gister is outpu t
from the external pin. When a value is read from the PDTRB register while a bit is set as an input,
the external pin value sampled on the external bus clock is read. When a bit is set as an output, the
value written to the PDTRB register is r ead.
PDTRB is not initialized by a power-on or manual reset, or in standby mode, and retains its
contents.
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
PB19DT PB18DT PB17DT PB16DT
Initial value: 0 0 0 0
R/W: R R R R R/W R/W R/W R/W
18.2.5 GPIO Interrupt Control Register (GPIOIC)
The GPIO interrupt control register (GPIOI C) is a 16-bit readable/writab le r egister th at performs
16-bit interrupt input control.
GPIOIC is initialized to H'00000000 by a power-on reset. It is not initialized by a manual reset or
in standby mode, and retains its contents.
GPIO interru pts are active-low lev el interr upts. Bit- by-bit masking is possible, and the OR of all
the bits set as GPIO interrupts is used for interrupt detection. Which bits interrupts are input to can
be identified by reading the PDTRA register.
Rev. 6.0, 07/02, page 746 of 986
Bit: 15 14 13 12 11 10 9 8
PTIREN15 PTIREN14 PTIREN13 PTIREN12 PTIREN11 PTIREN10 PTIREN9 PTIREN8
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
PTIREN7 PTIREN6 PTIREN5 PTIREN4 PTIREN3 PTIREN2 PTIREN1 PTIREN0
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit n (n = 0–15)—Port Interrupt Enable (PTIRENn): Specifies whether interr upt input is
performed for each bit.
Bit n: PTIRENn Description
0 Port m (m = 0–15) of 16-bit port is used as a normal I/O port (Initial value)
1 Port m (m = 0–15) of 16-bit port is used as a GPIO interrupt*
Note: *When using an interrupt, set the corresponding port to input in the PCTRA register before
making the PTIRENn setting.
18.2.6 Serial Port Register (SCSPTR1)
Bit:76543210
EIO SPB1IO SPB1DT SPB0IO SPB0DT
Initial value:000000
R/W: R/W R/W R/W R/W R/W
The serial port r egister ( SCSPTR1 ) is an 8-bit readable/writable register that controls input/outp ut
and data for the port pins multiplexed with the serial co mmun ication interface (SCI) pins. Input
data can be read from th e RxD pin , output data written to the TxD pin, and breaks in ser ial
transmission/reception controlled, by means of bits 1 and 0. SCK pin data reading and output data
writing can be performed by means of bits 3 and 2. Bit 7 controls enabling and disabling of the
RXI interrupt.
SCSPTR1 can b e read or written to by the CPU at all times. All SCSPTR1 bits except bits 2 and 0
are initialized to H'0 0 by a power-on reset or manua l reset; the v a lue of bits 2 and 0 is undefin ed.
SCSPTR1 is not initialized in the module standby state or standby mode.
Bit 7—Error Interrupt Only (EIO): See section 15.2.8, Serial Port Register (SCSPTR1).
Bits 6 to 4—Reserved: These bits are always read as 0 , and shou ld only be written with 0.
Rev. 6.0, 07/02, page 747 of 986
Bit 3—Serial Port Clock Port I/O (SPB1IO): Specifies serial port SCK pin inpu t/output. When
the SCK pin is actually set as a port output pin and outputs the value set by the SPB1DT bit, the
C/A bit in SCSMR1 and the CKE1 and CKE0 bits in SCSCR1 should be cleared to 0.
Bit 3: SPB1IO Description
0 SPB1DT bit value is not output to the SCK pi n (Initial value)
1 SPB1DT bit value is output to the SCK pin
Bit 2—Serial Port Clock Port Data (SPB1DT): Specifies the serial port SCK pin inpu t/output
data. Input or output is specified by the SPB1IO bit (see the description of bit 3, SPB1IO, for
details). Wh en output is specified, the value of the SPB1DT bit is output to the SCK pin. The SCK
pin value is read from the SPB1DT bit regardless of the value of the SPB1IO bit. The initial v alue
of this bit after a power-on reset or manual reset is undefined.
Bit 2: SPB1DT Description
0 Input/outp ut data is low -le vel
1 Input/outpu t data is high-level
Bit 1—Serial Port Break I/O (SPB0IO): Specifies the serial port TxD pin output co ndition.
When the TxD pin is actually set as a port output pin and outputs the value set by the SPB0DT bit,
the TE bit in SCSCR1 should be cleared to 0.
Bit 1: SPB0IO Description
0 SPB0DT bit value is not output to the TxD pin (Initial value)
1 SPB0DT bit value is output to the TxD pin
Bit 0—Serial Port Break Data (SPB0DT): Specifies the serial port RxD pin input data and TxD
pin output data. The TxD pin output condition is specified by the SPB0IO bit (see the description
of bit 1, SPB0IO, for details). When the TxD pin is designated as an output, the value of the
SPB0DT bit is output to the TxD pin. The RxD pin value is read from the SPB0DT bit regardless
of the value of the SPB0IO bit. The initial value of th is b it after a power-on reset or manual reset
is undefined.
Bit 0: SPB0DT Description
0 Input/outp ut data is low -le vel
1 Input/outpu t data is high-level
Rev. 6.0, 07/02, page 748 of 986
18.2.7 Serial Port Register (SCSPTR2)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
RTSIO RTSDT CTSIO CTSDT SPB2IO SPB2DT
Initial value: 0 0 0 0 0
R/W: R/W R/W R/W R/W R R R/W R/W
The serial port r egister ( SCSPTR2 ) is a 16-bit readable/writable register that controls input/outp ut
and data for the port pins multiplexed with the serial communication interface (SCIF) pins. Input
data can be read from th e RxD2 p in , output da ta wr itten to the TxD2 pin, and breaks in serial
transmission/reception contro lled, by means of bits 1 and 0. CTS2 pin data reading and output data
writing can be performed by means of bits 5 and 4, and RTS2 pin data reading and output data
writing by means of bits 7 and 6.
SCSPTR2 can b e read or written to by the CPU at all tim es. All SCSPTR2 bits except bits 6, 4,
and 0 are initialized to 0 by a power-on reset or manual reset; the v alue of bits 6, 4, and 0 is
undefined. SCSPTR2 is not initialized in standby mode or in the module standby state.
Bits 15 to 8—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 7—Serial Port RTS Port I/O (RTSIO): Specifies serial port RTS2 pin input/output. When
the RTS2 pin is actually set as a po r t output pin and outputs the valu e set by the RTSDT bit, the
MCE bit in SCFCR2 should be cleared to 0.
Bit 7: RTSIO Description
0 RTSDT bit value is not output to the RTS2 pin (Initial value)
1 RTSDT bit value is output to the RTS2 pin
Rev. 6.0, 07/02, page 749 of 986
Bit 6—Serial Port RTS Port Data (RTSDT): Specifies the serial port RTS2 pin input/output
data. Input or output is specified by the RTSIO pin (see the description of bit 7, RTSIO, for
details). When the RTS2 pin is designated as an output, the value of the RTSDT bit is output to the
RTS2 pin. The RTS2 pin value is read from the RTSDT bit regardless of the value of the RTSIO
bit. The initial value of this bit after a power-on reset or manual reset is undefined.
Bit 6: RTSDT Description
0 Input/outp ut data is low -le vel
1 Input/outpu t data is high-level
Bit 5—Serial Port CTS Port I/O (CTSIO): Specifies serial port CTS2 pin input/output. When
the CTS2 pin is actually set as a po r t output pin and outputs the valu e set by the CTSDT bit, the
MCE bit in SCFCR2 should be cleared to 0.
Bit 5: CTSIO Description
0 CTSDT bit value is not output to the CTS2 pin (Initial value)
1 CTSDT bit value is output to the CTS2 pin
Bit 4—Serial Port CTS Port Data (CTSDT): Specifies the serial port CTS2 pin input/output
data. Input or output is specified by the CTSIO pin (see the description of bit 5, CTSIO, for
details). When the CTS2 pin is designated as an output, the value of the CTSDT bit is output to the
CTS2 pin. The CTS2 pin value is read from the CTSDT bit regardless of the value of the CTSIO
bit. The initial value of this bit after a power-on reset or manual reset is undefined.
Bit 4: CTSDT Description
0 Input/outp ut data is low -le vel
1 Input/outpu t data is high-level
Bits 3 and 2—Reserved: These bits are always read as 0, an d should only be written with 0.
Bit 1—Serial Port Break I/O (SPB2IO): Specifies the serial port TxD2 pin output condition.
When the TxD2 pin is actually set as a port output pin and outputs the value set by the SPB2DT
bit, the TE bit in SCSCR2 should be cleared to 0.
Bit 1: SPB2IO Description
0 SPB2DT bit value is not output to the Tx D2 pin (Initial value)
1 SPB2DT bit value is output to the TxD2 pin
Rev. 6.0, 07/02, page 750 of 986
Bit 0—Serial Port Break Data (SPB2DT) : Specifies the serial port RxD2 pin input data and
TxD2 pin output data. The TxD2 pin output condition is specified by the SPB2IO bit (see the
description of bit 1, SPB2IO, for details). When the TxD2 pin is designated as an output, the value
of the SPB2DT bit is output to the TxD2 pin. The RxD2 pin value is read from the SPB2DT bit
regardless of the value of the SPB2IO bit. The initial value of this bit af ter a power -on reset or
manual reset is undefined.
Bit 0: SPB2DT Description
0 Input/outp ut data is low -le vel
1 Input/outpu t data is high-level
Rev. 6.0, 07/02, page 751 of 986
Section 19 Interrupt Controller (INTC)
19.1 Overview
The interrupt controller (INTC) ascertains the priority of interrupt so urces and controls interrup t
requests to the CPU. The INTC registers set the order of priority of each interrupt, allowing the
user to handle interrupt requests according to user-set priority.
19.1.1 Features
The INTC has the following features.
Fifteen interrupt priority levels can be set
By setting the three interrupt priority registers, the priorities of on-chip peripheral module
interrupts can be selected from 15 levels for different request sources.
NMI noise canceler function
The NMI input level bit indicates the NMI pin state. The pin state can be checked by reading
this bit in the in terrupt exception service routine, enabling it to be used as a noise canceler.
NMI request masking when SR.BL b it is set to 1
It is possible to select whether or not NMI requests are to be masked when the SR.BL bit is set
to 1.
19.1.2 Block Diagram
Figure 19.1 shows a block diagram of the INTC.
Rev. 6.0, 07/02, page 752 of 986
TMU: Timer unit
RTC: Realtime clock unit
SCI: Serial communication interface
SCIF: Serial communication interface with FIFO
WDT: Watchdog timer
REF: Memory refresh controller section of the bus state controller
DMAC: Direct memory access controller
H-UDI: Hitachi user debug interface
GPIO: I/O port
ICR: Interrupt control register
IPRA–IPRD: Interrupt priority registers A–D
*1
INTPRI00: Interrupt priority level setting register 00
*2
SR: Status register
Notes: *1 IPRD is provided only in the SH7750S and SH7750R.
*2 INTPRI00 is provided only in the SH7750R.
NMI Input control
TMU
RTC
SCI
SCIF
WDT
REF
DMAC
H-UDI
GPIO
Priority
identifier
44
(Interrupt request) Com-
parator
Bus interface
Internal bus
ICR IPRA–IPRD
*1
INTPRI00
*2
(Interrupt request)
(Interrupt request)
(Interrupt request)
(Interrupt request)
(Interrupt request)
(Interrupt request)
(Interrupt request)
(Interrupt request)
INTC
Interrupt
request
I3 I2 I1 I0
SR
CPU
IPR
Figure 19.1 Block Diagram of INTC
Rev. 6.0, 07/02, page 753 of 986
19.1.3 Pin Configuration
Table 19.1 shows the INTC pin configuration.
Table 19.1 INTC Pins
Pin Name Abbreviation I/O Function
Nonmaskable interrupt
input pin NMI Input Input of nonmaskable interrupt request
signal
Interrupt input pins IRL3IRL0 Input Input of interrupt request signals
(maskable by I3–I0 in SR)
19.1.4 Register Configuration
The INTC has the registers shown in table 19.2.
Table 19.2 INTC Registers
Name Abbreviation R/W Initial Value*1
P4 Address Area 7
Address Access
Size
Interrupt control
register ICR R/W *2H'FFD00000 H'1FD00000 16
Interrupt priority
register A IPRA R/W H'0000 H'FFD00004 H'1FD00004 16
Interrupt priority
register B IPRB R/W H'0000 H'FFD00008 H'1FD00008 16
Interrupt priority
register C IPRC R/W H'0000 H'FFD0000C H'1FD0000C 16
Interrupt priority
register D*3IPRD R/W H'DA74 H'FFD00010 H'1FD00010 16
Interrupt priority
level setting
register 00*4
INTPRI00 R/W H'00000000 H'FE080000 H'1E080000 32
Interrupt source
register 00*4INTREQ00 R H'00000000 H'FE080020 H'1E080020 32
Interrupt mask
register 00*4INTMSK00 R/W H'00000300 H'FE080040 H'1E080040 32
Interrupt mask
clear register 00*4INTMSKCLR00 R H'FE080060 H'1E080060 32
Notes: *1 Initialized by a power-on reset or manual reset.
*2 H'8000 when the NMI pin is high, H'0000 when the NMI pin is low.
*3 SH7750S and SH7750R only
*4 SH7750R only
Rev. 6.0, 07/02, page 754 of 986
19.2 Interrupt Sources
There are three types of interrupt sources: NMI, RL, and on-chip peripheral modules. Each
interrup t h a s a prio rity level (16–0), with level 16 as th e highest and level 1 as the lowest. When
level 0 is set, the in ter rupt is masked and interr upt r e quests are ignored.
19.2.1 NMI Interrupt
The NMI interrupt has the highest priority level of 16. It is always accepted unless the BL bit in
the status register in the CPU is set to 1. In sleep or standby mode, the interrup t is accepted even if
the BL bit is set to 1.
A setting can also be made to have the NMI interrupt accepted even if the BL bit is set to 1.
Input from th e NMI pin is edge-detected. The NMI edge select bit (NMIE) in the interrupt control
register (ICR) is used to select either r ising or falling ed ge. When the NMIE bit in the ICR reg ister
is modified, the NMI interrupt is not detected for a maximum of 6 bus clock cycles after the
modification.
NMI interrupt exception handling does not affect the interrupt mask level bits (I3–I0) in the status
register (SR).
Rev. 6.0, 07/02, page 755 of 986
19.2.2 IRL Interrupts
IRL interrupts are input by level at pins IRL3IRL0. The priority level is the level indicated by
pins IRL3IRL0. An IRL3IRL0 value of 0 (0000) indicates the highest-level interrupt request
(interrupt priority level 15). A value of 15 (1111) indicates no interrupt request (interrupt priority
level 0).
Interrupt
requests Priority
encoder to
4
SH7750 Series
to
Figure 19.2 Example of IRL Interrupt Connection
Rev. 6.0, 07/02, page 756 of 986
Table 19.3 IRL3
IRL3IRL3
IRL3IRL0
IRL0IRL0
IRL0 Pins and Interrupt Levels
IRL3
IRL3IRL3
IRL3 IRL2
IRL2IRL2
IRL2 IRL1
IRL1IRL1
IRL1 IRL0
IRL0IRL0
IRL0 Interrupt Priority Level Interrupt Request
0 0 0 0 15 Level 15 interrupt request
1 14 Level 14 interrupt request
1 0 13 Level 13 interrupt request
1 12 Level 12 interrupt request
1 0 0 11 Level 11 interrupt request
1 10 Level 10 interrupt request
1 0 9 Level 9 interrupt request
1 8 Level 8 interrupt request
1 0 0 0 7 Level 7 interrupt request
1 6 Level 6 interrupt request
1 0 5 Level 5 interrupt request
1 4 Level 4 interrupt request
1 0 0 3 Level 3 interrupt request
1 2 Level 2 interrupt request
1 0 1 Level 1 interrupt request
1 0 No interrupt request
A noise-cancellatio n feature is built in, and the I RL in terrupt is not detected unless the levels
sampled at every bus clock cycle remain unchanged for three consecutive cycles, so that no
transient level on the IRL pin change is detected. In standby mode, as the bus clock is stopped,
noise cancellation is performed using the 32.768 kHz clock for the RTC instead. When the RTC is
not used, therefore, interruption by means of IRL interrupts cannot be performed in standby mode.
The priority level of the IRL interrupt must not be lowered unless the interrupt is accepted and the
interrupt handling starts. However, the priority level can be changed to a higher one.
The interrupt mask bits (I3–I0) in the status register (SR) are not affected by IRL interrupt
handling.
Pins IRL0IRL3 can be used for four independent interrupt requests by setting the IRLM bit to 1
in the ICR register. When independent interrupt requests are used in the SH7750, the interrupt
priority levels are fixed (table 19.4). When independent interrupt requests are used in the
SH7750S or SH7750R, the interrupt priority levels can be set in interrupt priority register D
(IPRD).
Rev. 6.0, 07/02, page 757 of 986
Table 19.4 SH7750 IRL3
IRL3IRL3
IRL3IRL0
IRL0IRL0
IRL0 Pins and Interrupt Levels (When IRLM = 1)
IRL3
IRL3IRL3
IRL3 IRL2
IRL2IRL2
IRL2 IRL1
IRL1IRL1
IRL1 IRL0
IRL0IRL0
IRL0 Interrupt Priority Level Interrupt Request
1/0 1/0 1/0 0 13 IRL0
1/01/00110 IRL1
1/00117 IRL2
01114 IRL3
19.2.3 On-Chip Peripheral Module Interrupts
On-chip peripheral module interrup ts are generated by the following nine modules:
Hitachi user debug interface (H-UDI)
Direct memory access controller (DMAC)
Timer unit (TMU)
Realtime clock (RTC)
Serial communication interface (SCI)
Serial communication interface with FIFO (SCIF)
Bus state controller (BSC)
Watchdog timer (WDT)
I/O port (GPIO)
Not every interrupt source is assigned a diff erent interrupt vector, bus sources are reflected in th e
interrupt ev ent register (INTEVT), so it is easy to iden tify so urces by using the INTEVT register
value as a branch offset in the exception handling routine.
A priority level from 15 to 0 can be set for each module by means of interrupt priority registers A
to D (IPRA–IPRD), 00 (INTPRI00).
The interrupt mask bits (I3–I0) in the status register (SR) are not affected by on-chip peripheral
module interrupt handling.
On-chip peripheral module interrupt source flag and interrupt enable flag updating should only be
carried out when the BL bit in th e status register (SR) is set to 1. To prevent acceptance of an
erroneous interrupt from an interrupt source that should have been updated, first read the on-chip
peripheral register containing the relevant flag, then clear the BL bit to 0. In the case of interrupts
on channel 3 or 4 of the TMU, also read from the interrupt source register 00 (INTREQ00). This
will secure the necessary timin g internally. When updating a number of flags, th ere is no problem
if only the register containing the last flag updated is read.
Rev. 6.0, 07/02, page 758 of 986
If flag updating is performed while the BL bit is cleared to 0, the program may jump to the
interrupt h andling routine when the INTEVT register value is 0 . In this case, interrupt handling is
initiated due to the timing relationsh ip between the flag update and interrupt request r ecognition
within the chip. Processing can be continued without any problem by executing an RTE
instruction.
19.2.4 Interrupt Exception Ha ndling and Pr iority
Table 19.5 lists the codes for the interrupt event register (INTEVT), and the order of interrupt
priority. Each interrupt source is assigned a unique INTEVT code. The start address of the
interrupt handler is common to each interrupt source. This is why, for instance, the value of
INTEVT is used as an offset at the start of the interrupt handler and branched to in order to
identify th e interrupt source.
The order of priority of the on-chip peripheral modules is specified as desired by setting priority
levels from 0 to 15 in interrupt priority r egisters A to D (IPRA–IPRD). Th e order of priority of the
on-chip peripheral modules is set to 0 by a reset.
When the priorities for multip le interrupt sources are set to the same level and such interrupts are
generated simultaneously, they are handled according to the default priority order shown in table
19.5.
Updating of interrupt priority registers A to D, 00 should only be carried out when the BL bit in
the status register (SR) is set to 1. To preven t erroneous interrupt acceptance, first read one of the
interrupt priority registers, then clear th e BL bit to 0. This will secure the necessary timing
internally.
Rev. 6.0, 07/02, page 759 of 986
Table 19.5 Interrupt Exception Handling Sources and Priority Order
Interrupt Source INTEVT
Code Interrupt Priority
(Initial Value) IPR (Bit
Numbers) Priority within
IPR Setting Unit Default
Priority
NMI H'1C0 16 High
IRL IRL3IRL0 = 0 H'200 15
IRL3IRL0 = 1 H'220 14
IRL3IRL0 = 2 H'240 13
IRL3IRL0 = 3 H'260 12
IRL3IRL0 = 4 H'280 11
IRL3IRL0 = 5H'2A0 10
IRL3IRL0 = 6H'2C0 9
IRL3IRL0 = 7H'2E0 8
IRL3IRL0 = 8 H'300 7
IRL3IRL0 = 9 H'320 6
IRL3IRL0 = A H'340 5
IRL3IRL0 = B H'360 4
IRL3IRL0 = C H'380 3
IRL3IRL0 = DH'3A0 2
IRL3IRL0 = EH'3C0 1
IRL0 H'240 15–0 (13)*1IPRD (15–12)*1
IRL1 H'2A0 15–0 (10)*1IPRD (11–8)*1
IRL2 H'300 15–0 (7)*1IPRD (7–4)*1
IRL3 H'360 15–0 (4)*1IPRD (3–0)*1
H-UDI H-UDI H'600 15–0 (0) IPRC (3–0)
GPIO GPIOI H'620 15–0 (0) IPRC (15–12)
DMAC DMTE0 H'640 15–0 (0) IPRC (11–8) High
DMTE1 H'660
DMTE2 H'680
DMTE3 H'6A0
DMTE4*2H'780
DMTE5*2H'7A0
DMTE6*2H'7C0
DMTE7*2H'7E0
DMAE H'6C0 Low
Low
Rev. 6.0, 07/02, page 760 of 986
Table 19.5 Interrupt Exception Handling Sources and Priority Order (cont)
Interrupt Source INTEVT
Code Interrupt Priority
(Initial Value) IPR (Bit
Numbers) Priority within
IPR Setting Unit Default
Priority
TMU3 TUNI3*2H'B00 15–0 (0) INTPRI00
(11–8)
TMU4 TUNI4*2H'B80 15–0 (0) INTPRI00
(15–12)
TMU0 TUNI0 H'400 15–0 (0) IPRA (15–12)
TMU1 TUNI1 H'420 15–0 (0) IPRA (11–8)
TMU2 TUNI2 H'440 15–0 (0) IPRA (7–4) High
TICPI2 H'460 Low
RTC ATI H'480 15–0 (0) IPRA (3–0)
PRI H'4A0
CUI H'4C0
High
Low
SCI1 ERI H'4E0 15–0 (0) IPRB (7–4) High
RXI H'500
TXI H'520
TEI H'540 Low
SCIF ERI H'700 15–0 (0) IPRC (7–4) High
RXI H'720
BRI H'740
TXI H'760 Low
WDT ITI H'560 15–0 (0) IPRB (15–12)
REF RCMI H'580 15–0 (0) IPRB (11–8) High
High
ROVI H'5A0 Low Low
Notes: TUNI0–TUNI4: Underflow interrupts
TICPI2: Input capture interrupt
ATI: Alarm interrupt
PRI: Periodic interrupt
CUI: Carry-up interrupt
ERI: Receive-error interrupt
RXI: Receive-data-full interrupt
TXI: Transmit-data-empty interrupt
TEI: Transmit-end interrupt
BRI: Break interrupt request
ITI: Interval timer interrupt
RCMI: Compare-match interrupt
ROVI: Refresh counter overflow interrupt
Rev. 6.0, 07/02, page 761 of 986
H-UDI: Hitachi use debug interface
GPIOI: I/O port interrupt
DMTE0–DMTE7: DMAC transfer end interrupts
DMAE: DMAC address error interrupt
*1 Interrupt priority levels can only be changed in the SH7750S or SH7750R. In the
SH7750, the initial values cannot be changed.
*2 SH7750R only
19.3 Register Desc riptions
19.3.1 Interrupt Priority Registers A to D (IPRA– IPRD)
Interrupt priority registers A to D ( IPRA–IPRD) are 16 -bit readable/writable registers that set
priority levels from 0 to 15 for on-chip perip heral module interrupts. IPRA to I PRC ar e initialized
to H'0000 and IPRD is to H'DA74 by a reset. They are not initialized in standby mode.
IPRA to IPRC
Bit: 15 14 13 12 11 10 9 8
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Initial value:00000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
IPRD (SH7750S and SH7750R only)
Bit: 15 14 13 12 11 10 9 8
Initial value:11011010
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Initial value:01110100
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Rev. 6.0, 07/02, page 762 of 986
Table 19.6 shows the relationship between the interrupt request sources and the IPRA–IPRD
register bits.
Table 19.6 Interrupt Request Sources and IPRA–IPRD Registers
Bits
Register 15–12 11–8 7–4 3–0
Interrupt priority register A TMU0 TMU1 TMU2 RTC
Interrupt priority register B WDT REF*1SCI1 Reserved*2
Interrupt priority register C GPIO DMAC SCIF H-UDI
Interrupt priority register D*3IRL0 IRL1 IRL2 IRL3
Notes: *1 REF is the memory refresh unit in the bus state controller (BSC). See section 13, Bus
State Controller (BSC), for details.
*2 Reserved bits: These bits are always read as 0 and should always be written with 0.
*3 SH7750S and SH7750R only
As shown in table 19.6, four on-chip peripheral modules are assigned to each register. Interrupt
priority levels are established by setting a value from H'F (1111) to H'0 (0000) in each of the four-
bit groups: 15–12, 11–8, 7–4, and 3–0. Setting H'F designates priority level 15 (the highest level),
and setting H'0 designates priority level 0 (requests are masked).
19.3.2 Interrupt Control Register (ICR)
The interrupt control register (ICR) is a 16-bit register that sets the input signal detection mode for
external interrupt input pin NMI and indicates the input signal level at the NMI pin. This register
is initialized by a p ower-on reset or manual reset. It is n ot initialized in standby mod e .
Bit: 15 14 13 12 11 10 9 8
Bit name:NMILMAI————NMIBNMIE
Initial value: 0/1*0000000
R/W:RR/W————R/WR/W
Bit:76543210
Bit name:IRLM———————
Initial value:00000000
R/W:R/W———————
Note: * 1 when NMI pin input is high, 0 when low.
Rev. 6.0, 07/02, page 763 of 986
Bit 15—NMI Input Level (NMIL): Sets the level of the signal input at the NMI pin. This bit can
be read to determine the NMI pin level. It cannot be modified.
Bit 15: NMIL Description
0 NMI pin input level is low
1 NMI pin input level is high
Bit 14—NMI Interrupt Mask (MAI): Specifies whether or not all interrupts are to be masked
while the NMI pin input level is low, irrespective of the CPU’s SR.BL bit.
Bit 14: MAI Description
0 Interrupts enabled even while NMI pin is low (Initial value)
1 Interrupts disabled while NMI pi n is low*
Note: *NMI interrupts are accepted in normal operation and in sleep mode.
In standby mode, all interrupts are masked, and standby is not cleared, while the NMI pin is
low.
Bit 9—NMI Block Mode (NMIB): Specifies whether an NMI request is to be held pending or
detected immediately while the SR.BL bit is set to 1.
Bit 9: NMIB Description
0 NMI interrupt requests held pending while SR.BL bit is set to 1
(Initial value)
1 NMI interrupt requests detected while SR.BL bit is set to 1
Notes: 1. If interrupt requests are enabled while SR.BL = 1, the previous exception information
will be lost, and so must be saved beforehand.
2. This bit is cleared automatically by NMI acceptance.
Bit 8—NMI Edge Sele c t (NMIE): Specifies whether the falling or rising edge of the interrupt
request signal to the NMI pin is detected.
Bit 8: NMIE Description
0 Interrupt reques t detected on falling edge of NMI input (Initial value)
1 Interrupt reques t detected on rising edge of NMI input
Rev. 6.0, 07/02, page 764 of 986
Bit 7—IRL Pin Mode (IRLM): Specifies whether pins IRL3IRL0 are to be used as level-
encoded interrupt requests or as four independent interrupt requests.
Bit 7: IRLM Description
0IRL pins used as level-encoded interrupt requests (Initial value)
1IRL pins used as four independent interrupt requests (level-sense IRQ
mode)
Bits 13 to 10 and 6 to 0—Reserved: These bits are always read as 0, and should only be written
with 0.
19.3.3 Interrupt-Priority-Level Setting Register 00 (INTPRI00) (SH7750R Only)
The interrupt-priority-level setting r egister 00 (INTPRI00) sets th e priority levels (lev els 1 50) for
the on-chip peripheral module interrupts. INTPRI00 is a 32-bit readable/writable register. It is
initialized to H'00000000 by a reset, but is not initialized when the device enters standby mode.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:0000000000000000
R/W:RRRRRRRRRRRRRRRR
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value:0000000000000000
R/W: R/W R/W R/W R/W R/W R/W R/W R/W R R R R R R R R
Table 19.7 shows the correspondence between interrupt request sources and the bits in INTPRI00.
Rev. 6.0, 07/02, page 765 of 986
Table 19.7 Interrupt Request Sources and the Bits of the INTPRI00 Register
Bit
Register 31 to 28 27 to 24 23 to 20 19 to 16 15 to 12 11 to 8 7 to 4 3 to 0
Interrupt-
priority-level
setting
register 00
Reserved Reserved Reserved Reserved TMU ch4 TMU ch3 Reserved Reserved
Note: As shown in the table above, leve ls for all eight on-chip peripheral modules are assigned in
a single register. The interrupt priority level for the interrupt source that corresponds to each
set of four bits is set as a value from H'F (1111) to H'0 (0000). The setting H'F selects
interrupt priority level 15, which is the highest, and H'0 selects level 0, which means that
interrupt requests from that source are masked.
Reserved bits are always read as 0. When writing, only 0s should be written to these bits.
19.3.4 Interrupt Source Register 00 (INTREQ00) (SH7750R Only)
The interrupt sou r ce register 00 (INTREQ00) indicates the or igin of the interrupt request that has
been sent to the INTC. The states of the bits in this register is not affected by masking of the
corresponding interrupts by the settings in the INTPRI00 or INTMSK00 register. INTREQ00 is a
32-bit read-only register.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:0000000000000000
R/W:RRRRRRRRRRRRRRRR
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value:0000000000000000
R/W:RRRRRRRRRRRRRRRR
Bit 31 to 0—Interrupt Request : Each of the non-reserved bits in this register indicates that there
is an interrupt request relevant to that bit. For the correspondence between the bits an d interrupt
sources, see section 19.3.7, Bit Assignments of INTREQ00, INTMSK00, and INTMSKCLR00
(SH7750R Only).
Bits 31 to 0 Description
0 There is no interrupt request that corresponds to this bit
1 There is an interrupt request that corresponds to this bit.
Rev. 6.0, 07/02, page 766 of 986
19.3.5 Interrupt Mask Register 00 (INTMSK00) (SH7750R Only)
The interrupt mask register 00 (INTMSK00) sets the masking of individual interrupt requests.
INTMSK00 is a 32-bit register. It is initialized to H'000003FF by a reset, and retains this value in
standby mode.
To cancel maskin g of an inter rupt, write a 1 to the corresponding bit in th e INTMSKCLR00
register. Note that writing a 0 to a bit in INTMSK00 does not change its value.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:0000000000000000
R/W:RRRRRRRRRRRRRRRR
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value:0000011111111111
R/W: R R R R R R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W R/W
Bit 31 to 0—Interrupt Mask: Sets the masking of the interrupt request that correspo nds to the
given bit. For the correspondence between bits and interrupt sources, see section 19.3.7, Bit
Assignments of INTREQ00, INTMSK00, and INTMSKCLR00 (SH7750R Only).
Bits 31 to 0 Description
0 Interrupt reques ts from the source that corresponds to this bit are
accepted
1 Interrupt reques ts from the source that corresponds to this bit are
masked (Initial val ue)
Rev. 6.0, 07/02, page 767 of 986
19.3.6 Interrupt Mask Clear Register 00 (INTMSKCLR00) (SH7750R Only)
The interrupt mask clear register 00 (INTMSKCLR00) clears the masking of individual interrupt
requests. INTMSKCLR00 is a 32-bit write-only register.
Bit: 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16
Initial value:—— —— ————————————
R/W:WWWWWWWWWWWWWWWW
Bit: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Initial value:—— —— ————————————
R/W:WWWWWWWWWWWWWWWW
Bit 31 to 0
Interrupt Mask Clear: Each bit selects whether or not to clear the masking of the
interrupt source that corresponds to that bit. For the correspondence between the bits and interrupt
sources, see section 19.3.7, Bit Assignments of INTREQ00, INTMSK00, and INTMSKCLR00
(SH7750R Only).
Bits 31 to 0 Description
0 Masking of interrupt requests from the source that corresponds to the
bit is not changed
1 Masking of interrupt requests from the source that corresponds to the
bit is cleared
19.3.7 Bit Assignments of INTREQ00, INTMSK00, and INTMSKCLR00
(SH7750R Onl y)
The relationship between the bits in these registers and interrupt sources is as shown below.
Table 19.8 Bit Assignments
Bit number Module Interrupt
31 to 10, 7 to 0 Reserved Reserved
9 TMU TUNI4
8 TMU TUNI3
Rev. 6.0, 07/02, page 768 of 986
19.4 INTC Operation
19.4.1 Interrupt O pera tion Sequence
The sequence of op erations when an interrupt is generated is described below. Figure 19.3 shows a
flowchart of the operations.
1. The interrupt request sources send interrupt request signals to the interrupt controller.
2. The inter r upt controller selects the highest-priority inter rupt from the interrupt requests sent,
according to the priority levels set in interrupt priority registers A to C (IPRA–IPRC). Lower-
priority interrupts are held pending. If two of these interrupts have the sam e prio rity level, or if
multiple inter rupts occur within a single module, the interrupt with the highest priority
according to table 19.5, Interrupt Exception Handling Sources and Priority Order, is selected.
3. The priority lev e l o f the in ter rupt selected by the interrupt controller is compared with the
interrup t mask bits (I3–I0) in the status register (SR) o f the CPU. If the reque st prio rity level is
higher that the level in bits I3–I0, the interrupt controller accepts the interrupt and sends an
interrup t request signal to the CPU.
4. The CPU accepts an interrupt at a break between instructions.
5. The interr upt so urce code is set in the interrup t ev ent register (INTEVT).
6. The status register (SR) and program counter (PC) are saved to SSR and SPC, respectively.
The R15 contents at this time are saved in SGR.
7. The block bit (BL), mode bit (MD), and register bank bit (RB) in SR are set to 1.
8. The CPU jum ps to the start address of the interrupt h a ndler (the sum of the valu e set in th e
vector base register (VBR) and H'00000600).
The interrupt handler m a y br anch with the INTEVT register value as its offset in or d er to id entify
the interrupt source. This enables it to branch to the handling routine for th e particular interrupt
source.
Notes: 1. The interrupt mask bits (I3–I0) in the status register (SR) are not changed by
acceptance of an interrupt in the SH7750 Series.
2. The interrupt source flag should be cleared in the interrupt handler. To ensure th at an
interrupt request that should have been cleared is not inadvertently accepted again, read
the interrupt source flag after it has been cleared , then wait f or the interval shown in
table 19.7 (Time for priority decision and SR mask bit comparison) before clearing the
BL bit or execu ting an RTE instruction.
3. For some interrupt sources, their interrupt masks (INTMSK00) must e cleared using
the INTMSKCLR00 register.
Rev. 6.0, 07/02, page 769 of 986
Program
execution state
No
No
Yes
No
Yes
No
Yes
Yes
No
No
Yes
Yes
No
Yes
No
No
Yes No
Yes
Save SR to SSR;
save PC to SPC
Set interrupt source
in INTEVT
Set BL, MD, RB bits
in SR to 1
Branch to exception
handler
Interrupt
generated?
(BL bit
in SR = 0) or
(sleep or standby
mode)?
NMI?
Level 14
interrupt?
Level 1
interrupt?
I3–I0 =
level 13 or
lower?
I3–I0 =
level 0?
Yes
Level 15
interrupt?
I3–I0* =
level 14 or
lower?
Note: * I3–I0: Interrupt mask bits in status register (SR)
NMIB in
ICR = 1 and
NMI?
Figure 19.3 Interrupt Operation Flowchart
Rev. 6.0, 07/02, page 770 of 986
19.4.2 Multiple Interrupts
When han dling multiple interrupts, interrupt hand ling should include the following proc edu r es:
1. Branch to a specific interrupt handler corresponding to a code set in the INTEVT register. The
code in INTEVT can be used as a branch-offset for branching to the specific handler.
2. Clear the interrupt source in the corresponding interrupt handler.
3. Save SPC and SSR to the stack.
4. Clear the BL bit in SR, and set the accepted interrupt level in the interrupt mask bits in SR.
5. Handle the interrupt.
6. Set the BL bit in SR to 1.
7. Restore SSR and SPC from memory.
8. Execute the RTE instruction.
When these procedures are followed in order, an interrupt of higher priority than the one being
handled can be accepted after clearing BL in step 4. This enables the interrupt response time to be
shortened for urgent processing.
19.4.3 Interrupt Masking with MAI Bit
By setting the MAI bit to 1 in the ICR register, it is possible to mask interr upts while the NMI pin
is low, irrespectiv e of the BL and IMASK bits in the SR register.
In normal operation and sleep mode
All interrupts ar e masked while the NMI pin is low. However, an NMI interrupt only is
generated by a transition at the NMI pin.
In standby mode
All interrupts are masked while the NMI pin is low, and an NMI interrupt is not generated by a
transition at the NMI pin. Therefore, standby cannot be cleared by an NMI interrupt while the
MAI bit is set to 1.
Rev. 6.0, 07/02, page 771 of 986
19.5 Interrupt Response Time
The time from gen eration of an interrupt request until inter r upt exception handling is pe r formed
and fetching o f the f ir st in str uction of the exception service routine is started (the interrupt
response time) is shown in table 19.9.
Table 19.9 Interrupt Response Time
Number of States
Item NMI RL Peripheral
Modules Notes
Time for priority decision and
SR mask bit comparison*1Icyc + 4Bcyc 1Icyc + 7B cyc 1I cyc + 2Bcyc
Wait time until end of
sequence being executed by
CPU
S – 1 ( 0) ×
Icyc S – 1 ( 0) ×
Icyc S – 1 ( 0) ×
Icyc
Time from interrupt exception
handling (sav e of SR and PC)
until fetch of first instruction of
exception handler is started
4 × Icyc 4 × Icyc 4 × Icyc
Total 5Icyc + 4Bcyc
+ (S – 1)Icyc 5Icyc + 7Bcyc
+ (S – 1)Icyc 5Icyc + 2Bcyc
+ (S – 1)Icyc
Minimum
case 13Icyc 19Icyc 9Icyc When Icyc:
Bcyc = 2:1
Response
time
Maximum
case 36 + S Icyc 60 + S Icyc 20 + S Icyc When Icyc:
Bcyc = 8:1
Icyc: One cycle of internal clock supplied to CPU, etc.
Bcyc: One CKIO cycle
S: Latency of instruct ion
Note: *In the SH7750 and SH7750S including the case where the mask bit (IMASK) in SR is
changed, and a new interrupt is generated.
Rev. 6.0, 07/02, page 772 of 986
Rev. 6.0, 07/02, page 773 of 986
Section 20 User Break Controller (UBC)
20.1 Overview
The user break controller (UBC) provides functions that simplify program debugging. When break
conditions are set in the UBC, a user break interrupt is generated according to the contents of the
bus cycle generated by the CPU. This function makes it easy to design an effective self-
monitoring debugger, enabling programs to be debugged with the chip alone, without using an in-
circuit emulator.
20.1.1 Features
The UBC has the following features.
Two break channels (A and B)
User break inter rupts can be generated on independent cond itions fo r chann e ls A and B, o r on
sequential conditions (sequential break setting: channel A channel B).
The following can be set as break compare conditions:
Address (selection of 32-bit virtual address and ASID for comparison):
Address: All bits compared/lo wer 10 bits masked/lo wer 12 bits masked/lo wer 16 bits
masked/lo wer 20 bits masked/all bits masked
ASID: All bits compared/all bits masked
Data (chann e l B only, 32-bit mask capability)
Bus cycle: Instruction access/operand access
Read/write
Operand size: Byte/word/longword/quadword
An instruction access cycle break can be effected before or after the instruction is executed.
Rev. 6.0, 07/02, page 774 of 986
20.1.2 Block Diagram
Figure 20.1 shows a block diagram of the UBC.
Access
control Address
bus Data
bus
Channel A
Access
comparator
Address
comparator
Channel B
Access
comparator
Address
comparator
Data
comparator
BBRA
BARA
BASRA
BAMRA
BBRB
BARB
BASRB
BAMRB
BDRB
BDMRB
BRCRControl
User break trap request
BBRA: Break bus cycle register A
BARA: Break address register A
BASRA: Break ASID register A
BAMRA: Break address mask register A
BBRB: Break bus cycle register B
BARB: Break address register B
BASRB: Break ASID register B
BAMRB: Break address mask register B
BDRB: Break data register B
BDMRB: Break data mask register B
BRCR: Break control register
Figure 20.1 Block Diagram of User Break Controller
Rev. 6.0, 07/02, page 775 of 986
Table 20.1 shows the UBC registers.
Table 20.1 UBC Registers
Name Abbreviation R/W Initial Value P4 Address Area 7
Address Access
Size
Break address
register A BARA R/W Undefined H'FF200000 H'1F200000 32
Break address
mask
register A
BAMRA R/W Undefined H'FF200004 H'1F200004 8
Break bus
cycle register A BBRA R/W H'0000 H'FF200008 H'1F200008 16
Break ASID
register A BASRA R/W Undefined H'FF000014 H'1F000014 8
Break address
register B BARB R/W Undefined H'FF20000C H'1F20000C 32
Break address
mask
register B
BAMRB R/W Undefined H'FF200010 H'1F200010 8
Break bus
cycle register B BBRB R/W H'0000 H'FF200014 H'1F200014 16
Break ASID
register B BASRB R/W Undefined H'FF000018 H'1F000018 8
Break data
register B BDRB R/W Undefined H'FF200018 H'1F200018 32
Break data
mask register B BDMRB R/W Undefined H'FF20001C H'1F20001C 32
Break control
register BRCR R/W H'0000*H'FF200020 H'1F200020 16
Note: *Some bits are not initialized. See section 20.2.12, Break Control Register (BRCR), for
details.
Rev. 6.0, 07/02, page 776 of 986
20.2 Register Desc riptions
20.2.1 Access to UBC Control Reg isters
The access size must be the same as the control register size. If the sizes are different, a wr ite will
not be effected in a UBC register write operation, and a read operation will return an undefined
value. UBC control register contents cannot be transferred to a floating-point register using a
floating - po int memory load in struction.
When a UBC control register is updated, use either of the following methods to make the updated
value valid:
1. Execute an RTE in struction after the memory store instruction that updated the register. The
updated value will be valid from the RTE instruction jump destination onward.
2. Execute instructions requiring 5 states for execution after the memory store instruction that
updated the register. As the SH7750 Series executes two instructions in parallel and a
minimum of 0.5 state is required for execution of one instruction, 11 instructions must be
inserted. The updated value will be valid from the 6th state onward.
Rev. 6.0, 07/02, page 777 of 986
20.2.2 Break Addre ss Register A (BARA)
Bit: 31 30 29 28 27 26 25 24
BAA31 BAA30 BAA29 BAA28 BAA27 BAA26 BAA25 BAA24
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
BAA23 BAA22 BAA21 BAA20 BAA19 BAA18 BAA17 BAA16
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
BAA15 BAA14 BAA13 BAA12 BAA11 BAA10 BAA9 BAA8
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
BAA7 BAA6 BAA5 BAA4 BAA3 BAA2 BAA1 BAA0
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: *: Undefined
Break address register A (BARA) is a 32-bit readable/writable register that specifies the virtual
address used in the channel A break conditions. BARA is not initialized by a power - on reset or
manua l r e set.
Bits 31 to 0—Break Address A31 to A0 (BAA31–BAA0): These bits hold the virtual address
(bits 31–0) used in the channel A break conditions.
Rev. 6.0, 07/02, page 778 of 986
20.2.3 Break ASID Register A (BASR A)
Bit:76543210
BASA7 BASA6 BASA5 BASA4 BASA3 BASA2 BASA1 BASA0
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: *: Undefined
Break ASID register A (BASRA) is an 8-bit readable/writable register that specifies the ASID
used in the channel A break cond itions. BASRA is not initialized b y a power-on reset or manual
reset.
Bits 7 to 0—Break ASID A7 to A0 (BASA7–BASA0): These bits hold the ASID (bits 7–0) used
in the channel A break conditions.
20.2.4 Break Address Mask Register A (BA MRA)
Bit:76543210
————BAMA2BASMA BAMA1 BAMA0
Initial value:0000****
R/W:RRRRR/WR/WR/WR/W
Note: *: Undefined
Break address mask register A (BAMRA) is an 8-bit readable/writable register that specifies
which bits are to be masked in the break ASID set in BASRA and the break address set in BARA.
BAMRA is not initialized by a power-on reset or manual reset.
Bits 7 to 4—Reserved: These bits are always read as 0, and shou ld only be written with 0.
Bit 2—Break ASID Mask A (BASMA): Specifies whether all bits of the channel A break ASID7
to ASID0 (BASA7–BASA0) are to be mask ed.
Bit 2: BASMA Description
0 All BASRA bits are included in break conditions
1 No BASRA bits are included in break conditions
Rev. 6.0, 07/02, page 779 of 986
Bits 3, 1, and 0—Break Address Mas k A2 to A0 (BAMA2–BAMA0): These bits specify which
bits of the channel A break address 31 to 0 (BAA31–BAA0) set in BARA are to be masked.
Bit 3: BAMA2 Bit 1: BAMA1 Bit 0: BAMA0 Description
0 0 0 All BARA bits are included in break conditions
1 Lower 10 bits of BARA are masked, and not
included in break conditions
1 0 Lower 12 bits of BARA are masked, and not
included in break conditions
1 All BARA bits are masked, and not included in
break conditions
1 0 0 Lower 16 bits of BARA are masked, and not
included in break conditions
1 Lower 20 bits of BARA are masked, and not
included in break conditions
1*Reserved (cannot be set)
Note: *: Don’t care
20.2.5 Break Bus Cycle Register A (BBRA)
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
SZA2 IDA1 IDA0 RWA1 RWA0 SZA1 SZA0
Initial value:00000000
R/W: R R/W R/W R/W R/W R/W R/W R/W
Break bus cycle register A (BBRA) is a 16-bit readab le/writable register that sets three
conditions—(1) instruction access/operand access, (2) read/write, and (3) operand size—from
among the channel A break conditions.
BBRA is initialized to H'0000 by a power-on reset. It retains its value in standby mode.
Bits 15 to 7—Reserved: These bits are always read as 0, and should only be written with 0.
Rev. 6.0, 07/02, page 780 of 986
Bits 5 and 4—Instruction Access/Operand Access Select A (IDA1, IDA0): These bits specify
whether an instruction access cycle or an operand access cycle is used as the bus cycle in the
channel A break conditions.
Bit 5: IDA1 Bit 4: IDA0 Description
0 0 Condition comparison is not performed (Initial value)
1 Instructio n access cycle is used as break condition
1 0 Operand access cycle is use d as break con dit ion
1 Instruction access cycle or operand access cycle is used as
break condition
Bits 3 and 2—Read/Write Select A (RWA1, RWA0): These bits specify whether a read cycle or
write cycle is used as the bus cycle in the channel A break conditions.
Bit 3: RWA1 Bit 2: RWA0 Description
0 0 Condition comparison is not performed (Initial value)
1 Read cycle is used as break condition
1 0 Write cycle is used as break condit ion
1 Read cycle or write cycle is used as break condition
Bits 6, 1, and 0—Operand Size Select A (SZA2–SZA0): These bits select the operand size of
the bus cycle used as a channe l A break cond ition.
Bit 6: SZA2 Bit 1: SZA1 Bit 0: SZA0 Description
000Operand size is not included in break conditions
(Initial value)
1 Byte access is used as break condition
1 0 Word access is used as break condition
1 Longword access is used as break condition
100Quadword access is used as break condition
1 Reserved (cannot be set)
1*Reserved (cannot be set)
Note: *: Don’t care
Rev. 6.0, 07/02, page 781 of 986
20.2.6 Break Address Register B (BARB)
BARB is the channel B break addr ess register. The bit configuration is the same as for BARA.
20.2.7 Break ASID Register B (BASRB)
BASRB is the channel B break ASID register. The bit configuration is the same as fo r BASRA.
20.2.8 Break Address Mask Register B (BAMRB)
BAMRB is the channel B break addr ess mask register. The bit configuration is the same as for
BAMRA.
20.2.9 Break Data Register B (BDRB)
Bit: 31 30 29 28 27 26 25 24
BDB31 BDB30 BDB29 BDB28 BDB27 BDB26 BDB25 BDB24
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
BDB23 BDB22 BDB21 BDB20 BDB19 BDB18 BDB17 BDB16
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
BDB15 BDB14 BDB13 BDB12 BDB11 BDB10 BDB9 BDB8
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
BDB7 BDB6 BDB5 BDB4 BDB3 BDB2 BDB1 BDB0
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: *: Undefined
Break data register B (BDRB) is a 32-bit readable/writable register that specifies the data (bits 31–
0) to be used in the channel B break conditions. BDRB is not initialized by a power-on reset or
manua l r e set.
Rev. 6.0, 07/02, page 782 of 986
Bits 31 to 0—Break Data B31 to B0 (BDB31–BDB0): These bits hold the data (bits 31–0) to be
used in the channel B break conditions.
20.2.10 Break Data Mask Register B (BDMRB)
Bit: 31 30 29 28 27 26 25 24
BDMB31 BDMB30 BDMB29 BDMB28 BDMB27 BDMB26 BDMB25 BDMB24
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
BDMB23 BDMB22 BDMB21 BDMB20 BDMB19 BDMB18 BDMB17 BDMB16
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
BDMB15 BDMB14 BDMB13 BDMB12 BDMB11 BDMB10 BDMB9 BDMB8
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
BDMB7 BDMB6 BDMB5 BDMB4 BDMB3 BDMB2 BDMB1 BDMB0
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: *: Undefined
Break data mask register B (BDMRB) is a 32-bit readable/writable register that specifies which
bits of the b reak data set in BDRB are to be m a sked. BDMRB is not initialized by a power- on
reset or ma nual reset.
Rev. 6.0, 07/02, page 783 of 986
Bits 31 to 0—Break Data Mask B31 to B0 (BDMB31–BDMB0): These bits specify whether the
corresponding bit of the channel B break data B31 to B0 (BDB31–BDB0) set in BDRB is to be
masked.
Bit 31–0: BDMBn Description
0 Channel B break data bit BDBn is included in break conditions
1 Channel B break data bit BDBn is masked, and not included in break
conditions n = 31 to 0
Note: When the data bus value is included in the break conditions, the operand size should be
specified. When byte size is specified, set the same data in bits 15–8 and 7–0 of BDRB and
BDMRB.
20.2.11 Break Bus Cycle Register B (BBRB)
BBRB is the channel B bus break register. Th e bit configuration is the same as for BBRA.
20.2.12 Break Co ntro l Register (BRCR)
Bit: 15 14 13 12 11 10 9 8
CMFACMFB———PCBA——
Initial value:00000*00
R/W: R/W R/W R R R R/W R R
Bit:76543210
DBEB PCBB SEQ UBDE
Initial value: **00*000
R/W: R/W R/W R R R/W R R R/W
Note: *: Undefined
The b reak cont rol registe r (BRCR) is a 16-bit re adable/w ritable register th a t specifies (1) wheth e r
channels A and B are to be used as two independen t chann e ls or in a sequential condition, (2)
whether the break is to be effected before or after instruction execution, (3) whether the BDRB
register is to be included in the channel B break conditions, and (4) whether the user break debug
function is to be used. BRCR also contains condition match flags. The CMFA, CMFB, and UBDE
bits in BRCR are initialized to 0 by a power-on reset, but retain their valu e in standby mode. The
value of the PCBA, DBEB, PCBB, and SEQ bits is undefined after a power-on reset or manual
reset, so these bits should be initialized by software as necessary.
Rev. 6.0, 07/02, page 784 of 986
Bit 15—Condition Match Flag A (CMFA): Set to 1 when a break condition set fo r chan n e l A is
satisfied. This flag is n ot cleared to 0 (to confirm that the f lag is set again af ter once being set, it
should be cleared with a write.)
Bit 15: CMFA Description
0 Channel A break condition is not matched (Initial value)
1 Channel A break condition match has occurred
Bit 14—Condition Match Flag B (CMFB): Set to 1 when a break condition set fo r chan nel B is
satisfied. This flag is n ot cleared to 0 (to confirm that the f lag is set again af ter once being set, it
should be cleared with a write.)
Bit 14: CMFB Description
0 Channel B break condition is not matched (Initial value)
1 Channel B break condition match has occurred
Bits 13 to 11—Reserved: These bits are always read as 0, and should only be written with 0.
Bit 10—Instruction Access Break Select A (PCBA): Specifies whether a channel A instruction
access cycle break is to be effected before or after the instruction is executed. This bit is not
initialized by a power-on reset or manu al reset.
Bit 10: PCBA Description
0 Channel A PC break is effected before instruction execution
1 Channel A PC break is effected after instruction ex ecution
Bits 9 and 8—Reserved: These bits are always read as 0, an d should only be written with 0.
Bit 7—Data Break Enable B (DBE B): Specifies whether the data bus condition is to be included
in the channel B br eak conditions. This bit is no t initialized by a power-on reset or manual reset.
Bit 7: DBEB Description
0 Data bus condition is not included in channel B conditions
1 Data bus condition is included in channel B conditions
Note: When the data bus is included in the break conditions, bits IDB1–0 in break bus cycle
register B (BBRB) should be set to 10 or 11.
Rev. 6.0, 07/02, page 785 of 986
Bit 6—PC Break Select B (PCBB): Specifies whether a channel B instruction access cycle break
is to be effected before or after the instruction is execu ted. This bit is not initialized by a power-on
reset or ma nual reset.
Bit 6: PCBB Description
0 Channel B PC break is effected before instruction execution
1 Channel B PC break is effected after instruction ex ecution
Bits 5 and 4—Reserved: These bits are always read as 0, an d should only be written with 0.
Bit 3—Seque nce Condition Select (S EQ): Specifies whether the conditions for channels A and B
are to be independent or sequential. This bit is no t initialized by a power-on reset or manual reset.
Bit 3: SEQ Description
0 Channel A and B comparisons are performed as independent conditions
1 Channel A and B comparisons are performed as sequential conditions
(channel A channel B)
Bits 2 and 1—Reserved: These bits are always read as 0, an d should only be written with 0.
Bit 0—Use r Break Debug Enable (UBDE): Specifies whether the user break debug function (see
section 20.4, User Break Debug Support Function) is to be used.
Bit 0: UBDE Description
0 User break debug function is not used (Initial value)
1 User break debug function is used
20.3 Operation
20.3.1 Explanation of Terms Relating to Accesses
An instruction access is an access that obtains an instruction. An operand access is any memory
access for the purpose of instruction ex ecution. For example, the access to address PC+disp×2+4
in the instruction MOV.W @(disp,PC), Rn (an access very close to the prog ram counter) is an
operand access. The fetching of an instruction from the branch destination when a branch
instruction is executed is also an instruction access. As the term “data” is used to distinguish data
from an address, the term “operand access” is used in this section.
Rev. 6.0, 07/02, page 786 of 986
In the SH7750 Series, all operand accesses are treated as either read accesses or write accesses.
The followin g instr uctions require special atten tion:
PREF, OCBP, and OCBWB instructions: Treated as read accesses.
MOVCA.L and OCBI instructions: Treated as write accesses.
TAS.B instruction: Treated as one read access and one write access.
The operand accesses for the PREF, OCBP, OCBWB, and OCBI instructions are accesses with no
access data.
The SH7750 Series handles all operand accesses as having a data size. The data size can be byte,
word, longword, or quadword. The operand data size for the PREF, OCBP, OCBWB, MOVCA.L,
and OCBI instructions is treated as longword.
20.3.2 Explanation of Terms Relating to Instruction Intervals
In this section, “1 (2, 3, ...) instruction(s) after...”, as a measure of the distance between two
instructions, is defined as follows. A branch is counted as an interval of two instructions.
Example of sequence of instructions with no branch:
100 Instruction A (0 in structions after instru ction A)
102 Instruction B (1 instruction after instruction A)
104 I nstruction C ( 2 instructions after instruction A)
106 Instruction D (3 in structions after instru ction A)
Example of sequence of instructions with a branch (however, the example of a sequence of
instructions with no branch should be applied when the branch destination of a delayed branch
instruction is the instruction itself + 4):
100 Instruction A: BT/S L200 (0 instructions after instruction A)
102 Instruction B (1 instruction after instru ction A, 0 instructio ns after instruction B)
L200 200 Instruction C (3 instructions after instruction A, 2 instructions after instruction B)
202 Instru ction D (4 instructio ns after instruction A, 3 instr uctions after instruction
B)
Rev. 6.0, 07/02, page 787 of 986
20.3.3 User Break Operation Sequence
The sequence of op erations from setting of break conditions to user break exception handling is
described below.
1. Specify pre- or post-execution breaking in the case of an instruction access, inclusion or
exclusion of the data bus value in the break conditions in the case of an operand access, and
use of independent or sequential channel A and B break conditions, in the break control
register (BRCR). Set the break addresses in the break address registers for each channel
(BARA, BARB), the ASIDs corresponding to the break space in the break ASID registers
(BASRA, BASRB), and the address and ASID masking methods in the break address mask
registers (BAMRA, BAMRB). If the data bus value is to be included in the break conditions,
also set the break data in the break data register (BDRB) and the data mask in the break data
mask r egister ( BDM RB).
2. Set the break bus conditions in the break bus cycle registers (BBRA, BBR B). I f even one of
the BBRA/BBRB instruction access/operand access select (ID bit) and read/write select groups
(RW bit) is set to 00, a user break interrupt will not be generated on the corresponding channel.
Make the BBRA and BBRB settings after all other break-related register settings have been
completed. If breaks are enabled with BBRA/BBRB while the break address, data, or mask
register, or th e break con trol register is in the initial state after a r e set, a break may be
generated inadvertently.
3. The operation when a break condition is satisfied depends on the BL bit (in the CPU’s SR
register). When the BL bit is 0, exception handling is started and the condition match flag
(CMFA/CMFB) f or the respectiv e chan nel is set for the matched condition. When the BL bit is
1, the conditio n match flag (CMFA/CMFB) for the respective channel is set for the matched
condition but exception handling is not started.
The condition match flags (CMFA, CMFB) are set by a bran ch condition match, but are not
automatically c l e ared. Theref ore, a memory store instruction shou l d be used on the BRCR
register to clear the flags to 0. See section 20.3.6, Condition Match Flag Setting, for the exact
setting conditions for the condition match flags.
4. When sequential condition mode has been selected, and the channel B condition is matched
after the channel A condition has been matched, a break is effected at the instruction at which
the channel B condition was matched. See section 20.3.8, Contiguous A and B Settings for
Sequential Conditions, for the operation when the channel A condition match and channel B
condition match occur close togeth er. With sequential conditions, only the channel B conditio n
match flag is set. Wh en sequential conditio n mode has been selected, if it is wished to clear the
channel A ma tch when the channel A condition ha s been matched but the channe l B cond ition
has not yet been matched, this can be done by writing 0 to the SEQ bit in the BRCR register.
Rev. 6.0, 07/02, page 788 of 986
20.3.4 Instruction Access Cycle Break
1. When an instruction access/read/wo r d setting is made in the break bus cycle register
(BBRA/BBRB), an instruction access cycle can be u sed as a break condition . In this case,
breaking before o r after ex ecution of the relevant instruction can be selected with the
PCBA/PCBB bit in the break control register (BRCR). When an instruction access cycle is
used as a break condition, clear the LSB of the break address r e g ister s (BARA, BARB) to 0. A
break will not be generated if this bit is set to 1.
2. When a pre-execution break is specified, the break is effected when it is confirmed that the
instruction is to be fetched and executed. Therefore, an overrun-fetched instruction (an
instruction that is fetched but not executed when a branch or exception occurs) canno t be used
in a break. However, if a TLB miss or TLB protection violation exception occurs at the time of
the fetch of an instruction subject to a break, the break exception handling is carried out first.
The instructio n TLB exception handling is performed when the instr uction is re-executed (see
section 5.4 , Excep tion Types and Priorities). Also, since a delayed br anch instruction and the
delay slot instruction are ex ecuted as a single instruction, if a pre-execution break is specified
for a delay slot instr u ction, the break will be effected before execution of th e delayed branch
instruction. However, a pre- execution break cannot be specified for the delay slot instruction
for an RTE instruction.
3. With a pre- execution break, the instru ction set as a break condition is executed , then a break
interrupt is generated before the next instruction is executed. When a post-execution break is
set for a delayed branch instruction, the delay slot is executed and the break is effected before
execution of the instruction at the branch destination (when the branch is made) or the
instruction two instructions ahead of the branch instruction (when the branch is not made).
4. When an instruction access cycle is set for channel B, break data register B (BDRB) is ignored
in judging whether there is an instruction access match. Therefore, a break condition specified
by the DBEB b it in BRCR is not ex ecuted.
Rev. 6.0, 07/02, page 789 of 986
20.3.5 Operand Access Cycle Break
1. In the case of an operand access cycle break, the bits included in address bus comparison vary
as shown below according to the data size specification in the break bus cycle register
(BBRA/BBRB).
Data Size Address Bits Compared
Quadword (100) Address bits A31–A3
Longword (011) Address bits A31–A2
Word (010) Address bits A31–A1
Byte (001) Address bits A31–A0
Not included in condition (000) In quadword access, address bits A31–A3
In longword access, address bits A31–A2
In word access , address bits A31 A1
In byte access, address bits A31–A0
2. When data value is included in break conditions in channel B
When a data value is included in the break condition s, set th e DBEB b it in the break control
register (BRCR) to 1. In this case, break data register B (BDRB) and break data mask register
B (BDMRB) settings are necessary in addition to the address condition. A user break interrupt
is generated when all three conditions—address, ASID, and data—are matched. When a
quadword access occurs, the 64-bit access data is divided into an upper 32 bits and lower 32
bits, and inter preted as two 32-bit data units. A b r eak is generated if either of the 32-b it data
units satisfies the d a ta match condition.
Set the IDB1–0 bits in break bus cycle register B (BBRB) to 10 or 11. When byte data is
specified, the same data should be set in the two bytes comprising bits 15–8 and bits 7–0 in
break data register B (BDRB) and break data mask register B (BDMRB). When word or byte
is set, bits 31–16 of BDRB and BDMRB are ignored.
3. When the DBEB bit in the br eak control register (BRC R) is set to 1, a break is not generated
by an operand access with no access data (an operand access in a PREF, OCBP, OCBWB, or
OCBI instru ction).
Rev. 6.0, 07/02, page 790 of 986
20.3.6 Condition Ma tch Flag Setting
1. Instruction access with post-execution conditio n, or operand access
The flag is set when execution of the instruction that causes the break is completed. As an
exception to this, however, in the case of an instruction with more than one operand access the
flag may be set on detection of the match conditio n alon e , withou t waiting for execution of the
instruction to be completed.
Example 1:
100 BT L200 (branch performed)
102 Instruction (operand access break on channel A) flag not set
Example 2:
110 FADD (FPU exception)
112 Instruction (operand access break on channel A) flag not set
2. Instruction access with pre-execution condition
The flag is set when the break match condition is detected.
Example 1:
110 Instruction (pre-execution break on channel A) flag set
112 Instruction (pre-execution break on channel B) flag not set
Example 2:
110 Instruction (pre-execution break on channel B, instruction access TLB miss) flag set
20.3.7 Program Counter (PC) Value Saved
1. When instruction access (pre-execution) is set as a break condition, the program counter (PC)
value saved to SPC in user break interrupt handling is the addr ess of the instruction at which
the break condition m atch occurred. In this case, a user break interrupt is generated and the
fetched in str uction is not executed.
2. When instruction access (post-execution) is set as a break condition, the program counter (PC)
value saved to SPC in user break interrupt handling is the address of the instruction to be
executed after the instruction at which the break conditio n match occurred. In this case, the
fetched instr uction is executed, and a user break interrupt is g e nerated before execution of the
next instruction.
3. When an instruction access (post-executio n ) break conditio n is set for a delayed branch
instruction, the delay slot instruction is executed and a user break is effected before execution
of the instr uction at the branch destination ( when the branch is made) or the in str uction two
instructions ahead of the branch instruction (when the branch is not made). In this case, the PC
value saved to SPC is the address of the branch destination (when the branch is made) or the
instruction following the delay slot instruction (when the branch is not made).
Rev. 6.0, 07/02, page 791 of 986
4. When o perand access (address on ly) is set as a b r eak condition, the address of the instruction
to be execu ted after the instruction at which the condition match occurred is save d to SPC.
The instruction at which the condition match occurred is executed, and a user break interrupt
occurs before the following instruction is executed.
5. When o p e rand access (ad dress + data) is set as a break condition , execution of the instruction
at which the condition match occurred is completed. A user break interrupt is gener ated befo r e
execution of instructions from one instruction later to four instructions later. It is not possible
to specify at wh ich instruction, from one later to four later, the interrupt will be gene r a ted. Th e
start address o f the instruction after th e instru ction for which execution is completed at the
point at which user break interrupt handling is started is saved to SPC. If an instruction
between one instruction later and four in str uctions later causes another excep tio n, co ntrol is
performed as follows. Designating the exception caused by the break as exception 1, and the
exception cau sed by an instruction between one in str uction later and four instructions later as
exception 2, the fact that memory updating and register updating that essentially cannot be
performed by exception 2 cannot be performed is guaranteed irrespective of the existence of
exception 1. The program counter value saved is the address of the first instruction for which
execution is suppressed. Whether exception 1 or exception 2 is used for the exception jump
destination and the value written to the exception register (EXPEVT/INTEVT) is not
guaranteed. However, if exception 2 is from a source not synchronized with an instruction
(external interrupt or peripheral module interrupt), exception 1 is used for the exception jump
destination and the value written to the exception register (EXPEVT/INTEVT).
20.3.8 Contiguous A and B Settings for Sequential Conditions
When channel A match and channel B match timings are close together, a sequential break may
not be guaranteed. Rules relating to the guaranteed range are given below.
1. Instruction access matches on both channel A and channel B
Instruction B is 0 instructions after
instruction A Equivalent to setting the same address. Do not use
this setting .
Instruction B is 1 instruction after
instruction A Sequen tia l operati on is not guara nteed .
Instruction B is 2 or more instructions
after instruction A Sequential operation is guarantee d.
2. Instruction access match on channel A, operand access match on channel B
Instruction B is 0 or 1 instruction after
instruction A Sequen tia l operati on is not guara ntee d.
Instruction B is 2 or more instructions
after instruction A Sequential operation is guarantee d.
Rev. 6.0, 07/02, page 792 of 986
3. Operand access match on channel A, instruction access match on channel B
Instruction B is 0 to 3 instructions after
instruction A Sequen tia l operati on is not guara ntee d.
Instruction B is 4 or more instructions
after instruction A Sequential operation is guarantee d.
4. Operand access matches on both channel A and channel B
Do not make a setting such that a single operand access will match th e b r eak conditions of
both channel A and channel B. There are no other restrictions. For example, sequential
operation is guaranteed even if two accesses within a single instruction match channel A and
channel B co nditions in turn.
20.3.9 Usage Notes
1. Do not execute a post-execution instruction access break for the SLEEP instruction.
2. Do not make an operand access break setting between 1 and 3 in structions before a SLEEP
instruction.
3. The value of the BL bit referenced in a user break exception depends on the break setting, as
follows.
a. Pre-execution instruction access break: The BL bit value before the executed instruction is
referenced.
b. Post-execution instruction access break: The OR of the BL bit values before and after the
executed instr uction is referenc ed.
c. Operand access break (address/data): The BL bit value after the executed instruction is
referenced.
d. In the case of an instruction that modifies the BL bit
SL.BL
Pre-
Execution
Instruction
Access
Post-
Execution
Instruction
Access
Pre-
Execution
Instruction
Access
Post-
Execution
Instruction
Access Operand Access
(Address/Data)
0 0AAAAA
1 0MMMMA
0 1A M A M M
1 1MMMMM
A: Accepted
M: Masked
Rev. 6.0, 07/02, page 793 of 986
e. In the case of an RTE delay slot
The BL bit value before execution of a delay slot instr uction is the same as th e BL bit value
before execution of an RTE instruction. The BL bit value after execution of a delay slot
instruction is the same as the first BL b it value for the first instruction executed on
returnin g by means of an RTE instructio n (the same as the value of the BL bit in SSR
before execution of the RTE instruction).
f. If an interrupt or exception is accepted with the BL bit cleared to 0, the value of the BL bit
before execution of the first instruction of the exception handling routine is 1.
4. If channels A and B both match independently at virtually the same time, and, as a result, the
SPC value is the same for both user break interrupts, only one user break interrupt is generated,
but both the CMFA bit and the CMFB bit are set. For example:
110 Instruction (post-execution instruction break on ch annel A) SPC = 112, CMFA = 1
112 Instruction (pre-execution instruction break on channel B) SPC = 112, CMFB = 1
5. The PCBA or PCBB bit in BRCR is inv a lid for an instructio n access br eak setting.
6. When th e SEQ bit in BRCR is 1, the in ternal sequential b reak state is initialized by a channel
B condition match. For example: A A B (user break generated) B (no break
generated)
7. In the event of contention between a re-execution type exception and a post-execution break in
a multistep instr uction, the re-execution type exception is gen erated. In this case, the CMF bit
may or may not be set to 1 when the break condition occurs.
8. A post-execution break is classified as a completion type exception. Consequently, in the event
of contention between a comp letion type exception and a post-execution break, the post-
execution break is suppressed in accordance with the prio rities o f the two events. For example,
in the case of contention between a TRAPA instruction and a post-execution break, the user
break is suppressed. However, in this case, the CMF bit is set by the occurrence of the break
condition.
20.4 User Break Debug Support Function
The user break debug support function enables the processing used in the event of a user break
exception to be changed. When a user break exception occurs, if the UBDE bit is set to 1 in the
BRCR register, the DBR register value will be used as the branch destin ation address instead o f
[VBR + offset]. The value of R15 is saved in the SGR register regardless of the value of the
UBDE bit in the BRCR register or the kind of exception event. A flowchart of the user break
debug support function is shown in figure 20.2.
Rev. 6.0, 07/02, page 794 of 986
SPC PC
SSR SR
SR.BL B'1
SR.MD B'1
SR.RB B'1
Exception/interrupt
generation
Exception Exception/
interrupt/trap? Trap
Interrupt
PC H'A0000000PC VBR + vector offset
Exception service routine
Execute RTE instruction
PC SPC
SR SSR
SGR R15
EXPEVT H'160
TRA TRAPA (imm)
PC DBR
Debug program
R15 SGR
(STC instruction)
Reset exception?
(BRCR.UBDE == 1) &&
(user break exception)?
End of exception
operations
INTEVT interrupt code
EXPEVT exception code
YesNo
No
Yes
Hardware operation
Figure 20.2 User Break Debug Support Function Flowchart
Rev. 6.0, 07/02, page 795 of 986
20.5 Examples of Use
Instruction Access Cycle Break Condition Settings
Register settings: BASRA = H'80 / BARA = H'00000404 / BAMRA = H'00 /
BBRA = H'0014 / BASRB = H'70 / BARB = H'00008010 / BAMRB = H'01 /
BBRB = H'0014 / BDRB = H'00000000 / BDMRB = H'00000000 / BRCR = H'0400
Conditions set: Independent channel A/channel B mode
Channel A: ASID: H'80 / address: H'00000404 / address mask: H'00
Bus cycle: instruction access (post-instruction-execution), read (operand size not included
in conditions)
Channel B: ASID: H'70 / address: H'00008010 / address mask: H'01
Data: H'00000000 / data mask: H'00000000
Bus cycle: instruction access (pre-instruction-execution), read (operand size not included in
conditions)
A user break is generated after execution of the instruction at address H'00000404 with
ASID = H'80, or before execution of an instruction at addresses H'00008000–H'000083FE
with ASID = H'70.
Register settings: BASRA = H'80 / BARA = H'00037226 / BAMRA = H'00 /
BBRA = H'0016 / BASRB = H'70 / BARB = H'0003722E / BAMRB = H'00 /
BBRB = H'0016 / BDRB = H'00000000 / BDMRB = H'00000000 / BRCR = H'0008
Conditions set: Channel A channel B sequential mode
Channel A: ASID: H'80 / address: H'00037226 / address mask: H'00
Bus cycle: instruction access (pre-instruction-execution), read, word
Channel B: ASID: H'70 / address: H'0003722E / address mask: H'00
Data: H'00000000 / data mask: H'00000000
Bus cycle: instruction access (pre-instruction-execution), read, word
The instruction at address H'00037266 with ASID = H'80 is executed, then a user break is
generated before execution of the instruction at address H'0003722E with ASID = H'70.
Register settings: BASRA = H'80 / BARA = H'00027128 / BAMRA = H'00 /
BBRA = H'001A / BASRB = H'70 / BARB = H'00031415 / BAMRB = H'00 /
BBRB = H'0014 / BDRB = H'00000000 / BDMRB = H'00000000 / BRCR = H'0000
Conditions set: Independent channel A/channel B mode
Channel A: ASID: H'80 / address: H'00027128 / address mask: H'00
Bus cycle: CPU, instruction access (pre-instruction-execution), write, word
Rev. 6.0, 07/02, page 796 of 986
Channel B: ASID: H'70 / address: H'00031415 / address mask: H'00
Data: H'00000000 / data mask: H'00000000
Bus cycle: CPU, instruction access (pre-instruction-execution) , read (operand size not
included in conditions)
A user break interrupt is not generated on channel A since the instruction access is not a write
cycle.
A user break interrupt is not generated on channel B since instruction access is performed on
an even address.
Operand Access Cycle Break Condition Settings
Register settings: BASRA = H'80 / BARA = H'00123456 / BAMRA = H'00 /
BBRA = H'0024 / BASRB = H'70/ BARB = H'000ABCDE / BAMRB = H'02 /
BBRB = H'002A / BDRB = H'0000A512 / BDMRB = H'00000000 / BRCR = H'0080
Conditions set: Independent channel A/channel B mode
Channel A: ASID: H'80 / address: H'00123456 / address mask: H'00
Bus cycle: operand access, read (operand size not included in conditions)
Channel B: ASID: H'70 / address: H'000ABCDE / address mask: H'02
Data: H'0000A512 / data mask: H'00000000
Bus cycle: operand access, write, word
Data break enabled
On channel A, a user break interrupt is generated in the event of a longword read at address
H'00123454, a word read at address H'00123456, or a byte read at address H'00123456, with
ASID = H'80.
On channel B, a user break interrupt is gene rated when H'A5 12 is written by word access to
any address from H'000AB000 to H'000ABFFE with ASID = H'70.
Rev. 6.0, 07/02, page 797 of 986
20.6 User Break Controller Stop Function
In the SH7750S, this function stops the clock supplied to the user break controller and is used to
minimize power dissipation when the chip is operating. Note that, if you use this function, you
cannot use the user break controller. This function is not provided in the SH7750.
20.6.1 Transit ion to User Break Controller Stopped State
Setting the MSTP5 bit of the STBCR2 (inside the CPG) to 1 stops the clock supp ly and causes the
user break controller to enter the stopped state. Follow steps (1) to (5) belo w to set the MSTP5 bit
to 1 and enter the stopped state.
(1) Initialize BBRA and BBRB to 0;
(2) Initialize BRCR to 0;
(3) Make a dummy read of BRC R;
(4) Read STBCR2, then set th e MSTP5 bit in the read data to 1 and write back.
(5) Make two dummy reads of STBCR2.
Make sure that, if an exception or interrupt occurs while performing steps (1) to (5), you do not
change the values of these registers in the exception handling routine.
Do not read or write the following registers while the user break controller clock is stopped:
BARA, BAMRA , BBRA, BARB, BAMRB, BBRB, BDRB, BDMRB, and BRCR. If these
registers are read or written , the value cannot be guaranteed.
20.6.2 Cancelling the User Break Controller Stopped Sta t e
The clock supply can be restarted by setting the MSTP5 bit of STBCR2 (inside the CPG) to 0. The
user break controller can then be operated again. Follow steps (6) and (7) below to clear the
MSTP5 bit to 0 to cancel the stopped state.
(6) Read STBCR2, then clear the MSTP5 bit in the read data to 0 and write the modified data
back;
(7) Make two dummy reads of STBGR2.
As with the transition to the stopped state, if an exception or interrupt occurs while processing
steps (6) and (7), make sure that the values in these registers are not ch anged in the exception
handling routi ne .
Rev. 6.0, 07/02, page 798 of 986
20.6.3 Exa mples of Stopping a nd Restart ing the User Break Controller
The following are example programs:
; Transition to user break controller stopped state
; (1) Initialize BBRA and BBRB to 0.
mov #0, R0
mov.l #BBRA, R1
mov.w R0, @R1
mov.l #BBRB, R1
mov.w R0, @R1
; (2) Initialize BRCR to 0.
mov.l #BRCR, R1
mov.w R0, @R1
; (3) Dummy read BRCR.
mov.w @R1, R0
; (4) Read STBCR2, then set MSTP5 bit in the read data to 1 and write
it back
mov.l #STBCR2, R1
mov.b @R1, R0
or #H’1, R0
mov.b R0, @R1
; (5) Twice dummy read STBCR2.
mov.b @R1, R0
mov.b @R1, R0
; Canceling user break controller stopped state
; (6) Read STBCR2, then clear MSTP5 bit in the read data to 0 and write
it back
mov.l #STBCR2, R1
mov.b @R1, R0
and #H’FE, R0
mov.b R0, @R1
; (7) Twice dummy read STBCR2.
mov.b @R1, R0
mov.b @R1, R0
Rev. 6.0, 07/02, page 799 of 986
Section 21 Hitachi User Debug Interface (H-UDI)
21.1 Overview
21.1.1 Features
The Hitachi user debug interface (H-UDI) is a serial input/output interface conforming to JTAG,
IEEE 1149.1, and IEEE Standard Test Access Port and Boundary-Scan Architecture. The
SH7750R’s H-UDI supports boundary-scan, but is used for emulator connection as well. The
functions of this interface should not be used when using an emulator. Refer to the emulator
manual for the method of connecting the emulator. The H-UDI uses six pins (TCK, TMS, TDI,
TDO, TRST, and ASEBRK/BRKACK). The pin functions and serial transfer protocol conform to
the JTAG specifications.
21.1.2 Block Diagram
Figure 21.1 shows a block diagram of the H-UDI. The TAP (test access port) contro ller and
control registers ar e r e set independently of the chip reset pin by driving the TRST pin low or
setting TMS to 1 and applying TCK for at least five clock cycles. The other circuits are reset and
initialized in an ordinary reset. The H-UDI circuit has four internal registers: SDBPR, SDIR,
SDDRH, and SDDRL (these last two together designated SDDR). The SDBPR register supports
the JTAG bypass mode, SDIR is the command register, and SDDR is the data register. SDIR can
be accessed directly from the TDI and TDO pins.
Rev. 6.0, 07/02, page 800 of 986
SDIR
SDDRH
SDDRL
SDBPR
SDBSR
MUX
TCK
/BRKACK
TMS
TDI
TDO
SDINT
Interrupt/reset
etc.
TAP
controller
Break
control
Decoder
Shift register
Peripheral module bus
**
Note: * Provided only in the SH7750R.
Figure 21.1 Block Diagram of H-UDI Circuit
Rev. 6.0, 07/02, page 801 of 986
21.1.3 Pin Configuration
Table 21.1 shows the H-UDI pin configuration.
Table 21.1 H-UDI Pins
Pin Name Abbreviation I/O Function When Not Used
Clock pin TCK Input Same as the JTAG serial clock input
pin. Data is transferred from data
input pin TDI to the H-UDI circuit, and
data is read from data output pin
TDO, in synchronization with this
signal.
Open*1
Mode pin TMS Input The mode select input pin. Changing
this signal in sync hron ization with
TCK determines the meaning of the
data input from TDI. The protocol
conforms to the JTAG (IEEE Std
1149.1) specification.
Open*1
Reset pin TRST Input The input pin that resets the H-UDI.
This signal is received
asynchronously with respect to TCK,
and effects a reset of the JTAG
in terface circuit when low. TRST must
be driven low for a certain period
when powering on, regardless of
whether or not JTAG is used. This
differs from the IEEE specification.
*2 *3
Data input
pin TDI Input The data input pin. Data is sent to the
H-UDI circuit by changing this signal
in synchronization with TCK.
Open*1
Data output
pin TDO Output The data output pin. Data is sent to
the H-UDI circuit by reading this
signal in synchr o ni zati on wit h TCK.
Open
Emulator pin ASEBRK/
BRKACK Input/
output Dedicated emulator pin O pen*1
Notes: *1 Pulled up inside the chip. When designing a board that allows use of an emulator, or
when using interrupts and resets via the H-UDI, there is no problem in connecting a
pullup resistance externally.
*2 When designing a board that enables the use of an emulator, or when using interrupts
and resets via the H-UDI, drive TRST low for a period overlapping RESET at power-on,
and also provide for control by TRST alone.
*3 Fixed to the ground or connected to the same signal line as RESET, or to a signal line
that behaves in the same way. However, there is a problem when this pin is fixed to the
ground. TRST is pulled up in the chip so, when this pin is fixed to the ground via
external connection, a minute current will flow. The size of this current is determined by
Rev. 6.0, 07/02, page 802 of 986
the rating of the pull-up resistor on port-pin f. Although this current has no effect on the
chip’s operation, unnecessary current will be dissipated.
The maximum frequency of TCK (TMS, TDI, TDO) is 20 MHz. Make the TCK or SH7750 Series
CPG setting so that the TCK frequency is lower than that of the SH7750 Series’ on-chip
peripheral module clock.
21.1.4 Register Configuration
Table 21.2 shows the H-UDI registers. Except for SDBPR, these registers are mapped in the
control register space and can be referenced by the CPU.
Table 21.2 H-UDI Registers
CPU Side H-UDI Side
Name Abbre-
viation R/W P4
Address Area 7
Address Access
Size Initial
Value*1R/W Access
Size Initial
Value*1
Instruction
register SDIR R H'FFF00000 H'1FF00000 16 H'FFFF R/W 32 H'FFFFFFFD
(Fixed
value*2)
Data register
HSDDR/
SDDRH R/W H'FFF00008 H'1FF00008 32/16 Unde-
fined ——
Data register
LSDDRL R/W H'FFF0000A H'1FF0000A 16 Unde-
fined ——
Bypass
register SDBPR Unde-
fined R/W 1
Interrupt
source
register*4
SDINT R/W H'FFF00014 H'1FF00014 16 H'0000 W*332 H'00000000
Boundary
scan register*4SDBSR Unde-
fined R/W Undefined
Notes: *1 Initialized when the TRST pin goes low or when the TAP is in the Test-Logic-Reset
state.
*2 The value read from H-UDI is fixed (H'FFFFFFFD).
*3 Using the H-UDI interrupt com m and, a 1 can be written to the least signi fica nt bit.
*4 SH7750R only
Rev. 6.0, 07/02, page 803 of 986
21.2 Register Desc riptions
21.2.1 Instruction Register (SDIR)
The instructio n register (SDIR) is a 16- bit register that can only be read by th e CPU. I n the initial
state, bypass mode is set. The value (command) is set from the serial input pin (TDI). SDIR is
initialized by th e TRST pin or in the TAP Test-Logic-Reset state. When th is register is written to
from the H-UDI, writing is possible regardless of the CPU mode. However, if a read is performed
by the CPU while wr iting is in progre ss, it may not be possible to r ead the correct value. In this
case, SDIR should be read twice, and then read again if the read values do not match. Operation is
undefined if a reserved command is set in this register.
SH7750, SH7750S:
Bit: 15 14 13 12 11 10 9 8
TI3TI2TI1TI0————
Initial value:11111111
R/W:RRRRRRRR
Bit:76543210
————————
Initial value:11111111
R/W:RRRRRRRR
Bits 15 to 12—Test Instruction Bits (TI3–TI0)
Bit 15: TI3 Bit 14: TI2 Bit 13: TI1 Bit 12: TI0 Description
0 0 Reserved
10—Reserved
1 0 H-UDI reset negate
1 H-UDI reset assert
100—Reserved
1 H-UDI interrupt
10—Reserved
1 0 Reserved
1 Bypass mode (Initial value)
Bits 11 to 0—Reserved: These bits are always read as 1, and should only be written with 1.
Rev. 6.0, 07/02, page 804 of 986
SH7750R:
Bit: 15 14 13 12 11 10 9 8
TI7 TI6 TI5 TI4 TI3 TI2 TI1 TI0
Initial value:11111111
R/W:RRRRRRRR
Bit:76543210
————————
Initial value:11111111
R/W:RRRRRRRR
Bits 15 to 8—Te st Instruct ion Bits ( TI7–TI0)
Bit 15:
TI7 Bit 14:
TI6 Bit 13:
TI5 Bit 12:
TI4 Bit 11:
TI3 Bit 10:
TI2 Bit 9:
TI1 Bit 8:
TI0 Description
00000000EXTEST
0 0 0 0 0 1 0 0 SAMPLE/PRELOAD
0 1 1 0 H-UDI reset negate
0111—H-UDI reset assert
1 0 1 H-UDI interrupt
11111111Bypass mode (Initial value)
Other than above Reserved
Bits 7 to 0—Reserved: These bits are always read as 1 , and shou ld only be written with 1.
Rev. 6.0, 07/02, page 805 of 986
21.2.2 Data Reg ister (SDDR)
The data register (SDDR) is a 32-bit register, comprising the two 16-bit registers SDDRH and
SDDRL, that can be read and wr itten to by the CPU. The value in this register is not initialized by
a TRST or CPU reset.
Bit: 31 30 29 28 27 26 25 24
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 23 22 21 20 19 18 17 16
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit: 15 14 13 12 11 10 9 8
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Bit:76543210
Initial value: ********
R/W: R/W R/W R/W R/W R/W R/W R/W R/W
Note: *: Undefined
Bits 31 to 0—DR Data: These bits store the SDDR value.
21.2.3 Bypass Register (SDBPR)
The bypass register (SDBPR) is a one-bit register that cannot be accessed by the CPU. When
bypass mode is set in SDIR, SDBPR is connected between the TDI pin and TDO pin of the
H-UDI.
Rev. 6.0, 07/02, page 806 of 986
21.2.4 Interrupt Source Register (SDINT) (SH7750R Only)
The interrupt sour ce register ( SDI NT) is a 16-bit register that can be read from and written to by
the CPU.
From the H-UDI pins, the INTREQ bit is set to 1 when a H-UDI interrupt command is set in th e
SDIR register (Update-IR). While SDIR is holding a H-UDI interrupt command, the SDINT
register is connected between the TDI and TDO pins of the H-UDI, allowing it to be read as a 32-
bit register. In this case, the upper 16 bits will all be 0, and the lower 16 bits will represent SDINT.
From the CPU, o nly writing a 0 to the INTREQ bit is possible. While this bit holds a 1, th e
interrup t r eque sts continue to be issued, so this bit should always be cleared in the interrupt
handler.
This register is in itialized in the Test-Logic-Reset state of TRST or TAP.
Bit: 15 14 13 12 11 10 9 8
————————
Initial value:00000000
R/W:RRRRRRRR
Bit:76543210
———————INTREQ
Initial value:00000000
R/W:RRRRRRRR/W
Bits 15 to 1—Reserved: These bits are always read as 0. When writing, only 0s should be written
here.
Bit 0
Interrupt Request (INTREQ): Indicates whether or not an interrupt request has been
issued by an H-UDI interrupt command. From the CPU, the interrupt request can be cleared by
writing a 0 to this bit. I f a 1 is written to this bit, it re tains the value it had befo re the write
operation.
21.2.5 Boundary Scan Register (SDBSR) (SH7750R Only)
The boundary scan register (SDBSR) is a shift register that is placed on the pads to control the
chip’s I/O pins. This register can perform a boundary scan test that conforms to the JTAG standard
(IEEE Std 1149.1) using EXTEST, SAMPLE, and PRELOAD commands. Table 21.3 shows the
relationship between the SH7750R’s pins and the boundary scan register.
Rev. 6.0, 07/02, page 807 of 986
Table 21.3 Configuration of the Boundary Scan Register (1)
No. Pin Name Type No. Pin Name Type No. Pin Name Type
from TDI 309 A19 OU T 272 D48 OUT
345 CKIO2ENB IN 308 A18 CTL 271 D62 IN
344 MD6/IOIS16 IN 307 A18 OUT 270 D62 CTL
343 STATUS1 CTL 306 SCK2/MRESET IN 269 D62 OUT
342 STATUS1 OUT 305 SCK2/MRESET CTL 268 D49 IN
341 STATUS0 CTL 304 SCK2/MRESET OUT 267 D49 CTL
340 STATUS0 OUT 303 MD7/TXD IN 266 D49 OUT
339 A1 CTL 302 MD7/TXD CTL 265 D61 IN
338 A1 OUT 301 MD7/TXD OUT 264 D61 CTL
337 A0 CTL 300 MD8/RTS2 IN 263 D61 OUT
336 A0 OUT 299 MD8/RTS2 CTL 262 D50 IN
335 DACK1 CTL 298 MD8/RTS2 OUT 261 D50 CTL
334 DACK1 OUT 297 TCLK IN 260 D50 OUT
333 DACK0 CTL 296 TCLK CTL 259 D60 IN
332 DACK0 OUT 295 TCLK OUT 258 D60 CTL
331 MD5/RAS2 IN 294 CTS2 IN 257 D60 OUT
330 MD5/RAS2 CTL 293 CTS2 CTL 256 D51 IN
329 MD5/RAS2 OUT 292 CTS2 OUT 255 D51 CTL
328 MD4/CE2B IN 291 NMI IN 254 D51 OUT
327 MD4/CE2B CTL 290 IRL3 IN 253 D59 IN
326 MD4/CE2B OUT 289 IRL2 IN 252 D59 CTL
325 MD3/CE2A IN 288 IRL1 IN 251 D59 OUT
324 MD3/CE2A CTL 287 IRL0 IN 250 D52 IN
323 MD3/CE2A OUT 286 MD2/RXD2 IN 249 D52 CTL
322 A25 CTL 285 MD1/TXD2 IN 248 D52 OUT
321 A25 OUT 284 MD1/TXD2 CTL 247 D58 IN
320 A24 CTL 283 MD1/TXD2 OUT 246 D58 CTL
319 A24 OUT 282 MD0/SCK IN 245 D58 OUT
318 A23 CTL 281 MD0/SCK CTL 244 D53 IN
317 A23 OUT 280 MD0/SCK OUT 243 D53 CTL
316 A22 CTL 279 RD/WR2 CTL 242 D53 OUT
315 A22 OUT 278 RD/WR2 OUT 241 D57 IN
314 A21 CTL 277 D63 IN 240 D57 CTL
313 A21 OUT 276 D63 CTL 239 D57 OUT
312 A20 CTL 275 D63 OUT 238 D54 IN
311 A20 OUT 274 D48 IN 237 D54 CTL
310 A19 CTL 273 D48 CTL 236 D54 OUT
Note: CTL is an active-low signal. The relevant pin is driven to the OUT state when CTL is set LOW.
Rev. 6.0, 07/02, page 808 of 986
Table 21.3 Configuration of the Boundary Scan Register (2)
No. Pin Name Type No. Pin Name Type No. Pin Name Type
235 D56 IN 196 D21 IN 157 DRAK1 OUT
234 D56 CTL 195 D21 CTL 156 A2 CTL
233 D56 OUT 194 D21 OUT 155 A2 OUT
232 D55 IN 193 D25 IN 154 A3 CTL
231 D55 CTL 192 D25 CTL 153 A3 OUT
230 D55 OUT 191 D25 OUT 152 A4 CTL
229 D31 IN 190 DREQ1 IN 151 A4 OUT
228 D31 CTL 189 DREQ0 IN 150 A5 CTL
227 D31 OUT 188 RXD IN 149 A5 OUT
226 D16 IN 187 D22 IN 148 A6 CTL
225 D16 CTL 186 D22 CTL 147 A6 OUT
224 D16 OUT 185 D22 OUT 146 A7 CTL
223 D30 IN 184 D24 IN 145 A7 OUT
222 D30 CTL 183 D24 CTL 144 A8 CTL
221 D30 OUT 182 D24 OUT 143 A8 OUT
220 D17 IN 181 D23 IN 142 A9 CTL
219 D17 CTL 180 D23 CTL 141 A9 OUT
218 D17 OUT 179 D23 OUT 140 A10 CTL
217 D29 IN 178 WE7/CAS7/DQM7/REG CTL 139 A10 OUT
216 D29 CTL 177 WE7/CAS7/DQM7/REG OUT 138 A11 CTL
215 D29 OUT 176 WE6/CAS6/DQM6 CTL 137 A11 OUT
214 D18 IN 175 WE6/CAS6/DQM6 OUT 136 A12 CTL
213 D18 CTL 174 WE3/CAS3/DQM3/ICIOWR CTL 135 A12 OUT
212 D18 OUT 173 WE3/CAS3/DQM3/ICIOWR OUT 134 A13 CTL
211 D28 IN 172 WE2/CAS2/DQM2/ICIORD CTL 133 A13 OUT
210 D28 CTL 171 WE2/CAS2/DQM2/ICIORD OUT 132 A14 CTL
209 D28 OUT 170 RD/WR CTL 131 A14 OUT
208 D19 IN 169 RD/WR OUT 130 A15 CTL
207 D19 CTL 168 RD/CASS/FRAME CTL 129 A15 OUT
206 D19 OUT 167 RD/CASS/FRAME OUT 128 A16 CTL
205 D27 IN 166 RAS CTL 127 A16 OUT
204 D27 CTL 165 RAS OUT 126 A17 CTL
203 D27 OUT 164 CS2 CTL 125 A17 OUT
202 D20 IN 163 CS2 OUT 124 WE0/CAS0/DQM0 CTL
201 D20 CTL 162 CS3 CTL 123 WE0/CAS0/DQM0 OUT
200 D20 OUT 161 CS3 OUT 122 WE1/CAS1/DQM1 CTL
199 D26 IN 160 DRAK0 CTL 121 WE1/CAS1/DQM1 OUT
198 D26 CTL 159 DRAK0 OUT 120 WE4/CAS4/DQM4 CTL
197 D26 OUT 158 DRAK1 CTL 119 WE4/CAS4/DQM4 OUT
Note: CTL is an active-low signal. The relevant pin is driven to the OUT state when CTL is set LOW.
Rev. 6.0, 07/02, page 809 of 986
Table 21.3 Configuration of the Boundary Scan Register (3)
No. Pin Name Type No. Pin Name Type No. Pin Name Type
118 WE5/CAS5/DQM5 CTL 78 D13 IN 38 D35 CTL
117 WE5/CAS5/DQM5 OUT 77 D13 CTL 37 D35 OUT
116 CKE CTL 76 D13 OUT 36 D44 IN
115 CKE OUT 75 D1 IN 35 D44 CTL
114 D7 IN 74 D1 CTL 34 D44 OUT
113 D7 CTL 73 D1 OUT 33 D34 IN
112 D7 OUT 72 D14 IN 32 D34 CTL
111 D8 IN 71 D14 CTL 31 D34 OUT
110 D8 CTL 70 D14 OUT 30 D45 IN
109 D8 OUT 69 D0 IN 29 D45 CTL
108 BREQ/BSACK IN 68 D0 CTL 28 D45 OUT
107 BACK/BSREQ CTL 67 D0 OUT 27 D33 IN
106 BACK/BSREQ OUT 66 D15 IN 26 D33 CTL
105 D6 IN 65 D15 CTL 25 D33 OUT
104 D6 CTL 64 D15 OUT 24 D46 IN
103 D6 OUT 63 D39 IN 23 D46 CTL
102 D9 IN 62 D39 CTL 22 D46 OUT
101 D9 CTL 61 D39 OUT 21 D32 IN
100 D9 OUT 60 D40 IN 20 D32 CTL
99 D5 IN 59 D40 CTL 19 D32 OUT
98 D5 CTL 58 D40 OUT 18 D47 IN
97 D5 OUT 57 D38 IN 17 D47 CTL
96 D10 IN 56 D38 CTL 16 D47 OUT
95 D10 CTL 55 D38 OUT 15 RD2 CTL
94 D10 OUT 54 D41 IN 14 RD2 OUT
93 D4 IN 53 D41 CTL 13 BS CTL
92 D4 CTL 52 D41 OUT 12 BS OUT
91 D4 OUT 51 D37 IN 11 CS6 CTL
90 D11 IN 50 D37 CTL 10 CS6 OUT
89 D11 CTL 49 D37 OUT 9 CS5 CTL
88 D11 OUT 48 D42 IN 8 CS5 OUT
87 D3 IN 47 D42 CTL 7 CS4 CTL
86 D3 CTL 46 D42 OUT 6 CS4 OUT
85 D3 OUT 45 D36 IN 5 CS1 CTL
84 D12 IN 44 D36 CTL 4 CS1 OUT
83 D12 CTL 43 D36 OUT 3 CS0 CTL
82 D12 OUT 42 D43 IN 2 CS0 OUT
81 D2 IN 41 D43 CTL 1 RDY IN
80 D2 CTL 40 D43 OU T to TDO
79 D2 OUT 39 D35 IN
Note: CTL is an active-low signal. The relevant pin is driven to the OUT state when CTL is set LOW.
Rev. 6.0, 07/02, page 810 of 986
21.3 Operation
21.3.1 TAP Control
Figure 21.2 shows the intern al states of the TAP control circuit. These confor m to the state
transitions specified by JTAG.
The transition condition is the TMS valu e at the risin g edge of TCK.
The TDI value is sampled at the rising edge of TCK, and shifted at the falling edge.
The TDO value changes at the falling edge of TCK. When not in the Shift-DR or Shift- IR
state, TDO is in the high-impedance state.
In a transition to TRST = 0, a transition is made to the Test-Logic-Reset state asynchronously
with respect to TCK.
1
0
0
0
Run-Test/Idle Select-DR-Scan
Capture-DR
Shift-DR
Exit1-DR
Pause-DR
Exit2-DR
Update-DR
Test-Logic-Reset
011
10
0
1
0
1
1
1
10
0
0
0
Select-IR-Scan
Capture-IR
Shift-IR
Exit1-IR
Pause-IR
Exit2-IR
Update-IR
1
10
0
1
0
1
1
1
10
0
Figure 21.2 TAP Control State Transition Diagram
Rev. 6.0, 07/02, page 811 of 986
21.3.2 H-UDI Reset
A power-on reset is effected by an SDIR command. A reset is effected by sending an H-UDI reset
assert command, and then sending an H-UDI reset negate command, from the H-UDI pin (see
figure 21.3). The interval required between the H-UDI reset assert command and the H-UDI reset
negate command is the same as the length of time th e r e set pin is held low in order to effect a
power-on reset.
H-UDI pin
Chip internal reset
CPU state
H-UDI
reset assert
Normal
H-UDI
reset negate
Reset processingReset
Figure 21.3 H-UDI Reset
21.3.3 H-UDI Interrupt
The H-UDI interrupt function generates an interrupt by setting a command value in SDIR from the
H-UDI. The H-UDI interrupt is of general exception/interrupt operation type, with a branch to an
address based on VBR and return effected by means of an RTE instruction. The exception code
stored in control register INTEVT in this case is H'600. The priority of the H-UDI interrupt can be
controlled with bits 3 to 0 of control register IPRC.
In the SH7750 or SH7750S, the H-UDI interrupt request signal is asserted for about eight cycles
of the LSI’s on-chip peripheral clock after the command is set. The number of cycles for assertion
is determined by the ratio of TCK to the frequency of the on-chip peripheral clock. Since the
period of assertion is limited, the CPU may miss a request.
In the SH7750 R, the H- UDI interrupt request sign al is asserted when the INTREQ bit in the
SDINT register is set to 1 after the command is set (Update-I R) . The in terru pt request signal will
not be negated unless a 0 is written to the I NTREQ bit by software; therefor e, the CPU will not
miss a request. As long as the H-UDI interrupt command is set in SDIR, the SDINT register is
connected between the TDI and TDO pins.
Note that, in the SH7 750 or SH7750S, the H-UDI interrup t comma nd au tomatically becomes a
bypass command immediately after it has been set. In the SH7750R, the command is not changed
except by the following operations: update in the Update-IR state, initialization in the Test-Logic-
Reset state, and in itialization by assertio n of TRST.
Rev. 6.0, 07/02, page 812 of 986
21.3.4 Boundary Scan (EXTEST, SAMPLE/PRELOAD, BYPASS) (SH7750R Only)
In the SH7750R, setting a command from the H-UDI in SDIR can place the H-UDI pins in the
boundary scan mode that conforms to the JTAG standard . However, the following limitations
apply.
1. Boundary scan does not cover clock-related signals (EXTAL, EXTAL2, XTAL, XTAL2, and
CKIO).
2. Boundary scan does not cover reset-related signals (RESET, CA)
3. Boundary scan does not cover H-UDI-related signals (TCK, TDI, TDO, TMS, TRST).
4. With EXTEST, assert the RESET pin (low), and assert the CA pin (high). With
SAMPLE/PRELOAD, assert the CA pin (high).
5. To perform boundary scan, supply a clock to the EXTAL pin, and wait for the po wer-on
oscillation settling tim e to elapse before star ting boundary scan. The frequency rang e of the
input clock is from 1 to 33.3 MHz.
Note that after the power -on oscillation settling tim e has elapsed, a clock does not need to be
supplied to the EXTAL pin any longer.
For details on the power-on oscillation settling time, see section 22, Electrical Characteristics.
6. In BYPASS m ode of the SH7750 or SH7750S, the bypass register (SPDBPR) is not initialized
in the Capture-DR state.
21.4 Usage Notes
1. SDIR Command
Once an SDIR comm a nd has been set, it remains unchanged until initializatio n by asserting
TRST or placing the TAP in the Test-Lo gic-Reset state, or until anoth er command (other than
an H-UDI interrupt co m mand) is written from the H-UDI.
2. SDIR Commands in Sleep Mode
Sleep mode is cleared by an H-UDI interrupt or H-UDI reset, and these exception requests are
accepted in this mode. In standby mode, neither an H-UDI interrupt nor an H-UDI reset is
accepted.
3. In standby mode, the H-UDI function canno t be used. Furthermore, TCK must be retained at a
high level when entering the standby mode in order to retain the TAP state before and after
standby mode.
4. The H-UDI is used for emulator connection. Therefore, H-UDI functions cannot be used when
an emulator is used.
5. The H-UDI pins of the SH7750 and SH7750S must not be connected to a boundary-scan signal
loop on the board.
Rev. 6.0, 07/02, page 813 of 986
Section 22 Electrical Characteristics
22.1 Absolute Maximum Ratings
Table 22.1 Absolute Maximum Ratings
Item Symbol Value Unit
I/O, PLL, RTC, CPG power supply
voltage VDDQ
VDD-PLL1/2
VDD-RTC
VDD-CPG
–0.3 to 4.2, –0.3 to 4.2*2V
Internal power supply voltage VDD –0.3 to 2.5, –0.3 to 2.1*2V
Input voltage Vin –0.3 to VDDQ + 0.3 V
Operating temperature Topr –20 to 75, –40 to 85*1°C
Storage temperature Tstg –55 to 125 °C
Notes: Permanent damage to the chip may result if the maximum ratings are exceeded.
Permanent damage to the chip may result if all VSS pins are not connected to GND.
For information on the power-on and power-off procedures, refer to appendix H, Power-On
and Power-Off Procedures.
*1 HD6417750F167I, HD6417750SF167I only
*2 HD6417750R only
Rev. 6.0, 07/02, page 814 of 986
22.2 DC Characteristics
Table 22.2 DC Characteristics (HD6417750RBP240)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.4 1.5 1.6 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 230 580
Sleep mode 120
mA
400 Ta = 25°C*1
Current
dissipation
Standby
mode
IDD
800
µA
Ta > 50°C*1
Normal
operation 170 215
Sleep mode 35 40
mA Iφ = 240 MHz,
Bφ = 120 MHz
440 Ta = 25°C*1
Current
dissipation
Standby
mode
IDDQ
880
µA
Ta > 50°C*1
—1525 RTC on
*2
RTC current
dissipation Standby
mode IDD-RTC 35
µA
RTC off
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
Input leakage
current All input
pins |Iin| ——1 µAV
IN = 0.5 to VDDQ
–0.5 V
Three-state
leakage
current
I/O, all
output pins
(off state)
|Isti| 1 µAV
IN = 0.5 to VDDQ
–0.5 V
Rev. 6.0, 07/02, page 815 of 986
Item Symbol Min Typ Max Unit Test Conditions
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and connect VSS-CPG, VSS-PLL1/2, and VSS-RTC to
GND, regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the total current value for the 3.3 V versions of VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG.
*1 RCR2.RTCEN must be set to 1 to reduce the leak current in the standby mode. (There
is no need to input a clock from EXTAL2.)
*2 RTCON refers to the status in which RCR2.RTCEN is set to 1 and a clock is being input
to EXTAL2.
Rev. 6.0, 07/02, page 816 of 986
Table 22.3 DC Characteristics (HD6417750RF240)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.4 1.5 1.6 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 230 580
Sleep mode 120
mA Iφ = 240 MHz
400 Ta = 25°C*1
Current
dissipation
Standby
mode
IDD
800
µA
Ta > 50°C*1
Normal
operation 140 180
Sleep mode 35 40
mA Iφ = 240 MHz,
Bφ = 80 MHz
440 Ta = 25°C*1
Current
dissipation
Standby
mode
IDDQ
880
µA
Ta > 50°C*1
—1525 RTC on
*2
RTC current
dissipation Standby
mode IDD-RTC 35
µA
RTC off
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
Input leakage
current All input
pins |Iin| ——1 µAV
IN = 0.5 to VDDQ
–0.5 V
Three-state
leakage
current
I/O, all
output pins
(off state)
|Isti| 1 µAV
IN = 0.5 to VDDQ
–0.5 V
Rev. 6.0, 07/02, page 817 of 986
Item Symbol Min Typ Max Unit Test Conditions
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the total current value for the 3.3 V versions of VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG.
*1 RCR2.RTCEN must be set to 1 to reduce the leak current in the standby mode. (There
is no need to input a clock from EXTAL2.)
*2 RTCON refers to the status in which RCR2.RTCEN is set to 1 and a clock is being input
to EXTAL2.
Rev. 6.0, 07/02, page 818 of 986
Table 22.4 DC Characteristics (HD6417750RBP200)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.35 1.5 1.6 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 190 480
Sleep mode 100
mA Iφ = 200 MHz
400 Ta = 25°C*1
Current
dissipation
Standby
mode
IDD
800
µA
Ta > 50°C*1
Normal
operation 140 180
Sleep mode 30 35
mA Iφ = 200 MHz,
Bφ = 100 MHz
440 Ta = 25°C*1
Current
dissipation
Standby
mode
IDDQ
880
µA
Ta > 50°C*1
—1525 RTC on
*2
RTC current
dissipation Standby
mode IDD-RTC 35
µA
RTC off
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
Input leakage
current All input
pins |Iin| ——1 µAV
IN = 0.5 to VDDQ
–0.5 V
Three-state
leakage
current
I/O, all
output pins
(off state)
|Isti| 1 µAV
IN = 0.5 to VDDQ
–0.5 V
Rev. 6.0, 07/02, page 819 of 986
Item Symbol Min Typ Max Unit Test Conditions
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and connect VSS-CPG, VSS-PLL1/2, and VSS-RTC to
GND, regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-RTC, and VDD-CPG 3.3 V system currents.
*1 RCR2.RTCEN must be set to 1 to reduce the leak current in the standby mode. (There
is no need to input a clock from EXTAL2.)
*2 RTCON refers to the status in which RCR2.RTCEN is set to 1 and a clock is being input
to EXTAL2.
Rev. 6.0, 07/02, page 820 of 986
Table 22.5 DC Characteristics (HD6417750RF200)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.35 1.5 1.6 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 190 480
Sleep mode 100
mA Iφ = 200 MHz
400 Ta = 25°C*1
Current
dissipation
Standby
mode
IDD
800
µA
Ta > 50°C*1
Normal
operation 140 180
Sleep mode 30 35
mA Iφ = 200 MHz,
Bφ = 67 MHz
440 Ta = 25°C*1
Current
dissipation
Standby
mode
IDDQ
880
µA
Ta > 50°C*1
—1525 RTC on
*2
RTC current
dissipation Standby
mode IDD-RTC 35
µA
RTC off
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
Input leakage
current All input
pins |Iin| ——1 µAV
IN = 0.5 to VDDQ
–0.5 V
Three-state
leakage
current
I/O, all
output pins
(off state)
|Isti| 1 µAV
IN = 0.5 to VDDQ
–0.5 V
Rev. 6.0, 07/02, page 821 of 986
Item Symbol Min Typ Max Unit Test Conditions
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*1 RCR2.RTCEN must be set to 1 to reduce the leak current in the standby mode. (There
is no need to input a clock from EXTAL2.)
*2 RTCON refers to the status in which RCR2.RTCEN is set to 1 and a clock is being input
to EXTAL2.
Rev. 6.0, 07/02, page 822 of 986
Table 22.6 DC Characteristics (HD6417750SBP200)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.8 1.95 2.07 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 410 780
Sleep mode 165 210
mA Iφ = 200 MHz
2000 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
5000
µA
Ta > 50°C (RTC on*)
Normal
operation 140 180
Sleep mode 40 50
mA Iφ = 200 MHz,
Bφ = 100 MHz
2200 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
5500
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1525µA RTC input clock:
32.768 kHz
Power is supplied
only to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 823 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 824 of 986
Table 22.7 DC Characteristics (HD6417750SF200)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.8 1.95 2.07 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 410 780
Sleep mode 165 210
mA Iφ = 200 MHz
2000 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
5000
µA
Ta > 50°C (RTC on*)
Normal
operation 140 180
Sleep mode 40 50
mA Iφ = 200 MHz,
Bφ = 67 MHz
2200 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
5500
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1525µA RTC input clock:
32.768 kHz
Power is supplied
only to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 825 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 826 of 986
Table 22.8 DC Characteristics (HD6417750BP200M)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.8 1.95 2.07 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 1000 1200
Sleep mode 165
mA Iφ = 200 MHz
2000 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
5000
µA
Ta > 50°C (RTC on*)
Normal
operation 160 200
Sleep mode 40
mA Iφ = 200 MHz,
Bφ = 100 MHz
2200 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
5500
µA
Ta > 50°C (RTC on*)
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 827 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 828 of 986
Table 22.9 DC Characteristics (HD6417750SF167)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.6 1.8 2.0 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 320 650
Sleep mode 120 150
mA Iφ = 167 MHz
50 400 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
100 800
µA
Ta > 50°C (RTC on*)
Normal
operation 140 180
Sleep mode 40 50
mA Iφ = 167 MHz,
Bφ = 84 MHz
110 440 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220 880
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1545µA RTC input clock:
32.768 kHz
Power is supplied
only to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 829 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 830 of 986
Table 22.10 DC Characteristics (HD6417750SF167I)
Ta = –40 to +85°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.6 1.8 2.0 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 320 650
Sleep mode 140 175
mA Iφ = 167 MHz
50 400 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
100 880
µA
Ta > 50°C (RTC on*)
Normal
operation 180 220
Sleep mode 50 65
mA Iφ = 167 MHz,
Bφ = 84 MHz
110 440 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220 960
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1545µA RTC input clock:
32.768 kHz
Power is supplied
only to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 831 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 832 of 986
Table 22.11 DC Characteristics (HD6417750F167)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.6 1.8 2.0 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 630 700
Sleep mode 120
mA Iφ = 167 MHz
400 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
800
µA
Ta > 50°C (RTC on*)
Normal
operation 160 200
Sleep mode 40
mA Iφ = 167 MHz,
Bφ = 84 MHz
440 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
880
µA
Ta > 50°C (RTC on*)
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 833 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 834 of 986
Table 22.12 DC Characteristics (HD6417750F167I)
Ta = –40 to +85°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.6 1.8 2.0 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 720 800
Sleep mode 140
mA Iφ = 167 MHz
50 400 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
100 880
µA
Ta > 50°C (RTC on*)
Normal
operation 180 220
Sleep mode 50
mA Iφ = 167 MHz,
Bφ = 83 MHz
110 440 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220 960
µA
Ta > 50°C (RTC on*)
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 835 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 836 of 986
Table 22.13 DC Characteristics (HD6417750SVF133)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.4 1.5 1.7 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 210 520
Sleep mode 50 60
mA Iφ = 133 MHz,
Bφ = 66 MHz
100 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
200
µA
Ta > 50°C (RTC on*)
Normal
operation 80 160
Sleep mode 35 40
mA Iφ = 133 MHz,
Bφ = 67 MHz
110 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1525µA RTC input clock:
32.768 kHz
Power is supplied only
to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 837 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 838 of 986
Table 22.14 DC Characteristics (HD6417750SVBT133)
Ta = –30 to +70°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.4 1.5 1.7 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 210 520
Sleep mode 50 60
mA Iφ = 133 MHz,
Bφ = 66 MHz
100 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
200
µA
Ta > 50°C (RTC on*)
Normal
operation 80 160
Sleep mode 35 40
mA Iφ = 133 MHz,
Bφ = 67 MHz
110 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220
µA
Ta > 50°C (RTC on*)
RTC current
dissipation During RTC
operation IDD-RTC —1545µA RTC input clock:
32.768 kHz
Power is supplied only
to VDD-RTC
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 839 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Rev. 6.0, 07/02, page 840 of 986
Table 22.15 DC Characteristics (HD6417750VF128)
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit Test Conditions
VDDQ
VDD-PLL1/2
VDD-CPG
VDD-RTC
3.0 3.3 3.6 V Normal mode, sleep
mode, deep sleep
mode, standby mode
Power supply
voltage
VDD 1.4 1.5 1.7 Normal mode, sleep
mode, deep sleep
mode, standby mode
Normal
operation 520
Sleep mode 60
mA Iφ = 128 MHz,
Bφ = 64 MHz
100 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDD
200
µA
Ta > 50°C (RTC on*)
Normal
operation 160
Sleep mode 40
mA Iφ = 128 MHz,
Bφ = 64 MHz
110 Ta = 25°C (RTC on*)
Current
dissipation
Standby
mode
IDDQ
220
µA
Ta > 50°C (RTC on*)
RESET,
NMI, TRST VIH VDDQ ×
0.9 —V
DDQ +
0.3 V
Other input
pins 2.0 VDDQ +
0.3
RESET,
NMI, TRST VIL –0.3 VDDQ ×
0.1
Input voltage
Other input
pins –0.3 VDDQ ×
0.2
VOH 2.4——VOutput
voltage All output
pins VOL ——0.55
Pull-up
resistance All pull-up
resistance Rpull 20 60 180 k
Pin
capacitance All pins CL——10pF
Rev. 6.0, 07/02, page 841 of 986
Notes: 1. Connect VDD-PLL1/2, VDD-RTC, and VDD-CPG to VDDQ, and VSS-CPG, VSS-PLL1/2, and VSSQ-RTC to GND,
regardless of whether or not the PLL circuits and RTC are used.
2. The current dissipation values are for VIH min = VDDQ – 0.5 V and VIL max = 0.5 V with all
output pins unloaded.
3. IDDQ is the sum of the VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG 3.3 V system cur ren t s.
*To reduce the leakage current in standby mode, the RTC must be turned on (input the
clock from EXTAL2 and set RCR2.RTCEN to 1).
Table 22.16 Permissible Output Currents
Ta = –20 to +75°C
Item Symbol Min Typ Max Unit
Permissible output low current
(per pin) IOL ——2 mA
Permissible output low current
(total) ΣIOL 120
Permissible output high current
(per pin) –IOH ——2
Permissible output high current
(total) Σ(–IOH)— 40
Note: To protect chip reliability, do not exceed the output current values in table 22.16.
Rev. 6.0, 07/02, page 842 of 986
22.3 AC Characteristics
In principle, SH7750 Series input sh ould be synchronous. Unless specified otherwise, ensure that
the setup time and hold times for each input signal are observed.
Table 22.17 Clock Timing (HD6417750RBP240)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 240
External bus 1 120
Operating
frequency
Peripheral modules
f
1—60
MHz
Table 22.18 Clock Timing (HD6417750RF240)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 240
External bus 1 84
Operating
frequency
Peripheral modules
f
1—60
MHz
Table 22.19 Clock Timing (HD6417750BP200M, HD6417750SBP200, HD6417750RBP200)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 200
External bus 1 100
Operating
frequency
Peripheral modules
f
1—50
MHz
Table 22.20 Clock Timing (HD6417750RF200)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 200
External bus 1 84
Operating
frequency
Peripheral modules
f
1—50
MHz
Table 22.21 Clock Timing (HD6417750SF200)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 200
External bus 1 67
Operating
frequency
Peripheral modules
f
1—50
MHz
Rev. 6.0, 07/02, page 843 of 986
Table 22.22 Clock Timing (HD6417750F167, HD6417750F167I, HD6417750SF167,
HD6417750SF167I)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB f 1 167
External bus 1 84
Operating
frequency
Peripheral modules 1 42
MHz
Table 22.23 Clock Timing (HD6417750SVF133, HD6417750SVBT133)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 134
External bus 1 67
Operating
frequency
Peripheral modules
f
1—34
MHz
Table 22.24 Clock Timing (HD6417750VF128)
Item Symbol Min Typ Max Unit
CPU, FPU, cache, TLB 1 128
External bus 1 64
Operating
frequency
Peripheral modules
f
1—32
MHz
Rev. 6.0, 07/02, page 844 of 986
22.3.1 Clock and Control Signal Timing
Table 22.25 Clock and Control Signal Timing (HD6417750RBP240)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
PLL1 6-times/PLL2
operation fEX 16 34 MHz
PLL1 12-times/PLL2
operation fEX 14 20
EXTAL
clock inp ut
frequency
PLL1/PLL2 not operating fEX 134
EXTAL clock input cycle time tEXcyc 30 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL1/PLL2 operating fOP 25 120 MHzCKIO clock
output PLL1/PLL2 not operating fOP 134MHz
CKIO clock output cycle time tcyc 8.3 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Standby return oscillation settling time 1 tOSC2 3 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 3 ms 22.7
Rev. 6.0, 07/02, page 845 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 3 tOSC4 3 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 846 of 986
Table 22.26 Clock and Control Signal Timing (HD6417750RF240)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
PLL1 6-times/PLL2
operation fEX 16 34 MHz
PLL1 12-times/PLL2
operation fEX 14 20
EXTAL
clock inp ut
frequency
PLL1/PLL2 not operating fEX 134
EXTAL clock input cycle time tEXcyc 30 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL1/PLL2 operating fOP 25 84 MHzCKIO clock
output PLL1/PLL2 not operating fOP 134MHz
CKIO clock output cycle time tcyc 11.9 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Standby return oscillation settling time 1 tOSC2 3 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 3 ms 22.7
Standby return oscillation settling time 3 tOSC4 3 ms 22.8
Rev. 6.0, 07/02, page 847 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 848 of 986
Table 22.27 Clock and Control Signal Timing (HD6417750RBP200)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
PLL1 6-times/PLL2
operation fEX 16 34 MHzEXTAL
clock inp ut
frequency PLL1 12 -times/PLL2
operation fEX 14 17
PLL1/PLL2 not operating fEX 134
EXTAL clock input cycle time tEXcyc 30 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL1/PLL2 operating fOP 25 100 MHzCKIO clock
output PLL1/PLL2 not operating fOP 1 100 MHz
CKIO clock output cycle time tcyc 10 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Standby return oscillation settling time 1 tOSC2 5 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Rev. 6.0, 07/02, page 849 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 850 of 986
Table 22.28 Clock and Control Signal Timing (HD6417750RF200)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
PLL1 6-times/PLL2
operation fEX 16 34 MHzEXTAL
clock inp ut
frequency PLL1 12 -times/PLL2
operation fEX 14 17
PLL1/PLL2 not operating fEX 134
EXTAL clock input cycle time tEXcyc 30 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL1/PLL2 operating fOP 25 84 MHzCKIO clock
output PLL1/PLL2 not operating fOP 134MHz
CKIO clock output cycle time tcyc 11.9 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Standby return oscillation settling time 1 tOSC2 5 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Rev. 6.0, 07/02, page 851 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 852 of 986
Table 22.29 Clock and Control Signal Timing (HD6417750BP200M, HD6417750SBP200)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
1/2 divider
operating fEX 16 67 MHzPLL2
operating
1/2 divider not
operating fEX 834
1/2 divider
operating fEX 267
EXTAL
clock inp ut
frequency
PLL2 not
operating
1/2 divider not
operating fEX 134
EXTAL clock input cycle time tEXcyc 15 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL2 operating fOP 25 100 MHzCKIO clock
output PLL2 not operating fOP 1 100 MHz
CKIO clock output cycle time tcyc 10 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Rev. 6.0, 07/02, page 853 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1 tOSC2 10 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 854 of 986
Table 22.30 Clock and Control Signal Timing (HD6417750SF200)
VDDQ = 3.0 to 3.6 V, VDD = 1.8 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
1/2 divider
operating fEX 16 67 MHzPLL2
operating
1/2 divider not
operating fEX 834
1/2 divider
operating fEX 267
EXTAL
clock inp ut
frequency
PLL2 not
operating
1/2 divider not
operating fEX 134
EXTAL clock input cycle time tEXcyc 15 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL2 operating fOP 25 67 MHzCKIO clock
output PLL2 not operating fOP 167MHz
CKIO clock output cycle time tcyc 10 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Rev. 6.0, 07/02, page 855 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1 tOSC2 10 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
34 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 856 of 986
Table 22.31 Clock and Control Signal Timing (HD6417750F167, HD6417750F167I,
HD6417750SF167, HD6417750SF167I)
HD6417750SF167, HD6417750F167: VDDQ = 3.0 to 3.6 V, VDD = 1.8 V, Ta = –20 to +75°C,
CL = 30 pF
HD6417750SF167I, HD6417750F167I:VDDQ = 3.0 to 3.6 V, VDD = 1.8 V, Ta = –40 to +85°C,
CL = 30 pF
Item Symbol Min Max Unit Figure
1/2 divider
operating fEX 16 56 MHzPLL2
operating
1/2 divider not
operating fEX 828
1/2 divider
operating fEX 256
EXTAL
clock inp ut
frequency
PLL2 not
operating
1/2 divider not
operating fEX 128
EXTAL clock input cycle time tEXcyc 18 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL2 operating fOP 25 84 MHzCKIO clock
output PLL2 not operating fOP 184MHz
CKIO clock output cycle time tcyc 12 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
Rev. 6.0, 07/02, page 857 of 986
Item Symbol Min Max Unit Figure
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Standby return oscillation settling time 1 tOSC2 10 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
28 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 858 of 986
Table 22.32 Clock and Control Signal Timing (HD6417750SVF133, HD6417750SVBT133)
HD6417750SVBT133: VDDQ = 3.0 to 3.6 V, VDD = 1.5 V typ, Ta = –30 to +70 °C, CL = 30 pF
HD6417750SVF133: VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75 °C, CL = 30 pF
Item Symbol Min Max Unit Figure
1/2 divider
operating fEX 16 45 MHzPLL2
operating
1/2 divider not
operating fEX 823
1/2 divider
operating fEX 245
EXTAL
clock inp ut
frequency
PLL2 not
operating
1/2 divider not
operating fEX 123
EXTAL clock input cycle time tEXcyc 22 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL2 operating fOP 25 67 MHzCKIO clock
output PLL2 not operating fOP 167MHz
CKIO clock output cycle time tcyc 14 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Rev. 6.0, 07/02, page 859 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1 tOSC2 10 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
23 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 860 of 986
Table 22.33 Clock and Control Signal Timing (HD6417750VF128)
VDDQ = 3.0 to 3.6 V, VDD = 1.5 V, Ta = –20 to +75°C, CL = 30 pF
Item Symbol Min Max Unit Figure
1/2 divider
operating fEX 16 43 MHzPLL2
operating
1/2 divider not
operating fEX 822
1/2 divider
operating fEX 243
EXTAL
clock inp ut
frequency
PLL2
not
operating 1/2 divider not
operating fEX 122
EXTAL clock input cycle time tEXcyc 23 1000 ns 22.1
EXTAL clock input low-level pulse width tEXL 3.5 ns 22.1
EXTAL clock input high-level pulse width tEXH 3.5 ns 22.1
EXTAL clock input rise time tEXr 4 ns 22.1
EXTAL clock input fall time tEXf 4 ns 22.1
PLL2 operating fOP 25 64 MHzCKIO clock
output PLL2 not operating fOP 164MHz
CKIO clock output cycle time tcyc 15 1000 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL1 1 ns 22.2(1)
CKIO clock output high-level pulse width tCKOH1 1 ns 22.2(1)
CKIO clock output rise time tCKOr 3 ns 22.2(1)
CKIO clock output fall time tCKOf 3 ns 22.2(1)
CKIO clock output low-level pulse width tCKOL2 3 ns 22.2(2)
CKIO clock output high-level pulse width tCKOH2 3 ns 22.2(2)
Power-on oscillati on settling time tOSC1 10 ms 22.3, 22.5
Power-on oscillati on settling time/mode
settling tOSCMD 10 ms 22.3, 22.5
SCK2 reset setup time tSCK2RS 20 ns 22.11
SCK2 reset hold time tSCK2RH 20 ns 22.3, 22.5, 22.11
MD reset setup time tMDRS 3—t
cyc 22.12
MD reset hold time tMDRH 20 ns 22.3, 22.5, 22.12
RESET assert time tRESW 20 tcyc 22.3, 22.4, 22.5,
22.6, 22.11
PLL synchronization settling time tPLL 200 µs 22.9, 22.10
Rev. 6.0, 07/02, page 861 of 986
Item Symbol Min Max Unit Figure
Standby return oscillation settling time 1 tOSC2 10 ms 22.4, 22.6
Standby return oscillation settling time 2 tOSC3 5 ms 22.7
Standby return oscillation settling time 3 tOSC4 5 ms 22.8
Standby return oscillation settling time 1*tOSC2 2—ms
Standby return oscillation settling time 2*tOSC3 2—ms
Standby return oscillation settling time 3*tOSC4 2—ms
IRL interrupt determination time
(RTC used, standby mode) tIRLSTB 200 µs 22.10
TRST reset hold time tTRSTRH 0 ns 22.3, 22.5
Notes: 1. When a crystal resonator is connected to EXTAL and XTAL, the maximum frequency is
22 MHz. When a 3rd overtone crystal resonator is used, an external tank circuit is
necessary.
2. The maximum load capacitance to be connected to CKIO pin should be 50 pF in PLL2
operation, because there is a feedback from CKIO pin.
*When the oscillation settling time of a crystal resonator is lower than or equal to 1 ms.
Rev. 6.0, 07/02, page 862 of 986
t
EXcyc
t
EXH
t
EXL
t
EXr
t
EXf
1/2V
DDQ
V
IH
V
IH
V
IL
V
IL
V
IH
1/2V
DDQ
Note: When the clock is input from the EXTAL pin
Figure 22.1 EXTAL Clock Input Timing
tcyc
tCKOH1 tCKOL1
tCKOr
tCKOf
1/2VDDQ
VOH VOH
VOL VOL
VOH
1/2VDDQ
Figure 22.2(1) CKIO Clock Output Timing
t
CKOH2
t
CKOL2
1.5 V 1.5 V 1.5 V
Figure 22.2(2) CKIO Clock Output Timing
Rev. 6.0, 07/02, page 863 of 986
CKIO,
internal clock
VDD
MD8, MD7,
MD2–MD0
SCK2
t
OSC1
V
DD
min
t
SCK2RH
t
MDRH
t
OSCMD
t
TRSTRH
Stable oscillation
t
RESW
Notes: 1. Oscillation settling time when on-chip resonator is used
2. PLL2 not operating
Figure 22.3 Power-On Oscilla t io n Settling Time
t
RESW
t
OSC2
Standby Stable oscillation
CKIO,
internal clock
Notes: 1. Oscillation settling time when on-chip resonator is used
2. PLL2 not operating
Figure 22.4 Standby Ret urn O scilla tion Settling Time (Ret urn by RESET
RESETRESET
RESET)
Rev. 6.0, 07/02, page 864 of 986
Internal clock
VDD
MD8, MD7,
MD2–MD0
SCK2
t
OSC1
V
DD
min
t
SCK2RH
t
MDRH
t
OSCMD
t
TRSTRH
Stable oscillation
t
RESW
CKIO
Notes: 1. Oscillation settling time when on-chip resonator is used
2. PLL2 operating
Figure 22.5 Power-On Oscilla t io n Settling Time
t
RESW
t
OSC2
CKIO
Stable oscillation
Standby
Internal
clock
Notes: 1. Oscillation settling time when on-chip resonator is used
2. PLL2 operating
Figure 22.6 Standby Ret urn O scilla tion Settling Time (Ret urn by RESET
RESETRESET
RESET)
Rev. 6.0, 07/02, page 865 of 986
CKIO,
internal clock
NMI
Stable oscillation
Standby
t
OSC3
Note: Oscillation settling time when on-chip resonator is used
Figure 22.7 Standby Return Oscillation Sett ling Time (Return by NMI)
tOSC4
Standby Stable oscillation
CKIO,
internal clock
Note: Oscillation settling time when on-chip resonator is used
Figure 22.8 Standby Ret urn Oscillation Settling Time ( Return by IRL3
IRL3IRL3
IRL3IRL0
IRL0IRL0
IRL0)
Rev. 6.0, 07/02, page 866 of 986
EXTAL input
PLL output,
CKIO output
Internal clock
STATUS1
STATUS0
Note: When external clock from EXTAL is input
Stable input clock
Normal Standby Normal
tPLL × 2
Stable input clock
Reset or NMI
interrupt request
PLL synchronization
PLL synchronization
Figure 22.9 PLL Synchronization Settling Time in Case of RESET
RESETRESET
RESET or NMI Interrupt
interrupt request
t
IRLSTB
STATUS1
STATUS0
Note: When external clock from EXTAL is input
Normal Standby Normal
t
PLL
× 2
EXTAL input
PLL output,
CKIO output
Internal clock
Stable input clock Stable input clock
PLL synchronization
PLL synchronization
Figure 22.10 PLL Synchronization Settling Time in Case of IRL Interrupt
Rev. 6.0, 07/02, page 867 of 986
CKIO
SCK2
t
SCK2RS
t
SCK2RH
t
RESW
Figure 22. 11 Manual Reset Input Timing
tMDRS tMDRH
MD6–MD3
Figure 22.12 Mode Input Timing
Rev. 6.0, 07/02, page 868 of 986
22.3.2 Control Signal Timing
Table 22.34 Control Signal Timing (1)
HD6417750
RBP240 HD6417750
RBP200 HD6417750
RF240 HD6417750
RF200
****
Item Symbol Min Max Min Max Min Max Min Max Unit Figure Notes
BREQ setup
time tBREQS 2 2.5 3.5 3.5 ns 22.13
BREQ hold
time tBREQH 1.5 1.5 1.5 1.5 ns 22.13
BACK delay
time tBACKD 5.3 6 6 6 ns 22.13
Bus tri-state
delay time tBOFF1 12 12 12 12 ns 22.13
Bus tri-state
delay time
to standby
mode
tBOFF2 2 2 2 2t
cyc 22.14
Bus buffer
on time tBON1 12 12 12 12 ns 22.13
Bus buffer
on time from
standby
tBON2 1 1 1 1t
cyc 22.14
STATUS0/1
delay time tSTD1 5 6 6 6 ns 22.14
STATUS0/1
delay time
to standby
tSTD2 2 2 2 2t
cyc 22.14
Note: * VDDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 869 of 986
Table 22.34 Control Signal Timing (2)
HD6417750
VF128
HD6417750
SVF133
HD6417750
SVBT133
HD6417750
F167
HD6417750
F167I
HD6417750
SF167
HD6417750
SF167I
HD6417750
SF200
HD6417750
BP200M
HD6417750
SBP200
*1*1*2*3
Item Symbol Min Max Min Max Min Max Min Max Unit Figure Notes
BREQ setup
time tBREQS 3.5 3.5 3.5 3 ns 22.13
BREQ hold
time tBREQH 1.5 1.5 1.5 1.5 ns 22.13
BACK delay
time tBACKD 10 10 8 6 ns 22.13
Bus tri-state
delay time tBOFF1 15 15 12 10 ns 22.13
Bus tri-state
delay time
to standby
mode
tBOFF2 2 2 2 2t
cyc 22.14
Bus buffer
on time tBON1 15 15 12 10 ns 22.13
Bus buffer
on time from
standby
tBON2 1 1 1 1t
cyc 22.14
STATUS0/1
delay time tSTD1 11 11 9 7 ns 22.14
STATUS0/1
delay time
to standby
tSTD2 2 2 2 2t
cyc 22.14
Notes: *1V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
*2V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
(HD6417750F167, HD6417750SF167, HD6417750SF200)
VDDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 40 to +85°C, CL = 30 pF, PLL2 on
(HD6417750F167I, HD6417750SF167I)
*3V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 870 of 986
A[25-0], , ,
RD/ , , ,
RD/ , , ,
,
t
BREQS
t
BREQH
CKIO
t
BREQS
t
BREQH
t
BACKD
t
BOFF1
t
BON1
t
BACKD
Figure 22.13 Control Signal Timing
Normal operation Normal operationStandby mode
t
STD1
t
BON2
CKIO
STATUS 0, STATUS 1
, , RD/ ,
, , , ,
, , ,
RD/
DACKn, DRAKn, SCK,
TXD, TXD2, ,
Note: *When the PHZ bit in STBCR is set to 1, these pins go to the high-impedance state (except
for pins being used as port pins, which retain their port state).
*
A25–A0, D63–D0
t
BOFF2
Standby Normal
Normal
t
STD2
Figure 22. 14 Pin Drive Timing fo r Standby Mode
Rev. 6.0, 07/02, page 871 of 986
22.3.3 Bus Timing
Table 22.35 Bus Timi ng (1)
HD6417750
RBP240 HD6417750
RBP200 HD6417750
RF240 HD6417750
RF200
****
Item Symbol Min Max Min Max Min Max Min Max Unit Notes
Address delay tim e tAD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
BS delay time tBSD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
CS delay time tCSD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
RW delay time tRWD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
RD delay time tRSD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
Read data setup
time tRDS 2 2.5 3.5 3.5 ns
Read data hold
time tRDH 1.5 1.5 1.5 1.5 ns
WE delay time
(falling edge) tWEDF 5.3 6 6 6 ns Relative
to CKIO
falling
edge
WE delay time t WED1 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
Write data delay
time tWDD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
RDY setup time tRDYS 2 2.5 3.5 3.5 ns
RDY hold time tRDYH 1.5 1.5 1.5 1.5 ns
RAS delay time tRASD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
CAS delay time 1 tCASD1 1.5 5.3 1.5 6 1.5 6 1.5 6 ns DRAM
CAS delay time 2 tCASD2 1.5 5.3 1.5 6 1.5 6 1.5 6 ns SDRAM
CKE delay time tCKED 1.5 5.3 1.5 6 1.5 6 1.5 6 ns SDRAM
DQM delay time tDQMD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns SDRAM
FRAME delay time t FMD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns MPX
IOIS16 setup time tIO16S 2 2.5 3.5 3.5 ns PCMCIA
IOIS16 hold time tIO16H 1.5 1.5 1.5 1.5 ns PCMCIA
ICIOWR del ay time
(falling edge) tICWSDF 1.5 5.3 1.5 6 1.5 6 1.5 6 ns PCMCIA
ICIORD del ay tim e tICRSD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns PCMCIA
DACK delay time tDACD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
DACK delay time
(falling edge) tDACDF 1.5 5.3 1.5 6 1.5 6 1.5 6 ns Relative
to CKIO
falling
edge
Rev. 6.0, 07/02, page 872 of 986
HD6417750
RBP240 HD6417750
RBP200 HD6417750
RF240 HD6417750
RF200
****
Item Symbol Min Max Min Max Min Max Min Max Unit Notes
DTR setup tim e tDTRS 2.0 2.5 3.5 3.5 ns
DTR hold time tDTRH 1.5 1.5 1.5 1.5 ns
DBREQ setup time tDBQS 2.0 2.5 3.5 3.5 ns
DBREQ hold time t DBQH 1.5 1.5 1.5 1.5 ns
TR setup time tTRS 2.0 2.5 3.5 3.5 ns
TR hold time tTRH 1.5 1.5 1.5 1.5 ns
BAVL delay time tBAVD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
TDACK delay time tTDAD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
ID1, ID0 delay time tIDD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns
Note: * VDDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 873 of 986
Table 22.35 Bus Timi ng (2)
HD6417750
SVF133
HD6417750
SVBT133
HD6417750
SF167
HD6417750
SF167I
HD6417750
SF200 HD6417750
SBP200
*1*2*3
Item Symbol Min Max Min Max Min Max Unit Notes
Address delay tim e tAD 1.5 10 1.5 8 1.5 6 ns
BS delay time tBSD 1.5 10 1.5 8 1.5 6 ns
CS delay time tCSD 1.5 10 1.5 8 1.5 6 ns
RW delay time tRWD 1.5 10 1.5 8 1.5 6 ns
RD delay time tRSD 1.5 10 1.5 8 1.5 6 ns
Read data setup
time tRDS 3.5 3.5 3 ns
Read data hold
time tRDH 1.5 1.5 1.5 ns
WE delay time
(falling edge) tWEDF 10 —8 6 ns Relative to CKIO falling
edge
WE delay time t WED1 1.5 10 1.5 8 1.5 6 ns
Write data delay
time tWDD 1.5 10 1.5 8 1.5 6 ns
RDY setup time tRDYS 3.5 3.5 3 ns
RDY hold time tRDYH 1.5 1.5 1.5 ns
RAS delay time tRASD 1.5 10 1.5 8 1.5 6 ns
CAS delay time 1 tCASD1 1.5 10 1.5 8 1.5 6 ns DRAM
CAS delay time 2 tCASD2 1.5 10 1.5 8 1.5 6 ns SDRAM
CKE delay time tCKED 1.5 10 1.5 8 1.5 6 ns SDRAM
DQM delay time tDQMD 1.5 10 1.5 8 1.5 6 ns SDRAM
FRAME delay time t FMD 1.5 10 1.5 8 1.5 6 ns MPX
IOIS16 setup time tIO16S 3.5 3.5 3 ns PCMCIA
IOIS16 hold time tIO16H 1.5 1.5 1.5 ns PCMCIA
ICIOWR del ay time
(falling edge) tICWSDF 1.5 10 1.5 8 1.5 6 ns PCMCIA
ICIORD del ay tim e tICRSD 1.5 10 1.5 8 1.5 6 ns PCMCIA
DACK delay time tDACD 1.5 10 1.5 8 1.5 6 ns
DACK delay time
(falling edge) tDACDF 1.5 10 1.5 8 1.5 6 ns Relative to CKIO falling
edge
Rev. 6.0, 07/02, page 874 of 986
HD6417750
SVF133
HD6417750
SVBT133
HD6417750
SF167
HD6417750
SF167I
HD6417750
SF200 HD6417750
SBP200
*1*2*3
Item Symbol Min Max Min Max Min Max Unit Notes
DTR setup tim e tDTRS 3.5 3.5 3 ns
DTR hold time tDTRH 1.5 1.5 1.5 ns
DBREQ setup time tDBQS 3.5 3.5 3 ns
DBREQ hold time t DBQH 1.5 1.5 1.5 ns
TR setup time tTRS 3.5 3.5 3 ns
TR hold time tTRH 1.5 1.5 1.5 ns
BAVL delay time tBAVD 1.5 10 1.5 8 1.5 6 ns
TDACK delay time tTDAD 1.5 10 1.5 8 1.5 6 ns
ID1, ID0 delay time tIDD 1.5 10 1.5 8 1.5 6 ns
Notes: *1V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
*2V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
(HD6417750SF167, HD6417750SF200)
VDDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 40 to +85°C, CL = 30 pF, PLL2 on
(HD6417750SF167I)
*3V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 875 of 986
Table 22.35 Bus Timi ng (3)
HD6417750
VF128
HD6417750
F167
HD6417750
F167I HD6417750
BP200M
*1*2*3
Item Symbol Min Max Min Max Min Max Unit Notes
Address delay tim e tAD 1.3 10 1.3 8 1.2 6 ns
BS delay time tBSD 1.3 10 1.3 8 1.2 6 ns
CS delay time tCSD 1.3 10 1.3 8 1.2 6 ns
RW delay time tRWD 1.3 10 1.3 8 1.2 6 ns
RD delay time tRSD 1.3 10 1.3 8 1.2 6 ns
Read data setup
time tRDS 3.5 3.5 3 ns
Read data hold
time tRDH 1.5 1.5 1.5 ns
WE delay time
(falling edge) tWEDF 10 —8 6 ns Relative to CKIO falling
edge
WE delay time t WED1 1.3 10 1.3 8 1.2 6 ns
Write data delay
time tWDD 1.3 10 1.3 8 1.2 6 ns
RDY setup time tRDYS 3.5 3.5 3 ns
RDY hold time tRDYH 1.5 1.5 1.5 ns
RAS delay time tRASD 1.3 10 1.3 8 1.2 6 ns
CAS delay time 1 tCASD1 1.3 10 1.3 8 1.2 6 ns DRAM
CAS delay time 2 tCASD2 1.3 10 1.3 8 1.2 6 ns SDRAM
CKE delay time tCKED 0.5 10 0.5 8 0.5 6 ns SDRAM
DQM delay time tDQMD 1.3 10 1.3 8 1.2 6 ns SDRAM
FRAME delay time t FMD 1.3 10 1.3 8 1.2 6 ns MPX
IOIS16 setup time tIO16S 3.5 3.5 3 ns PCMCIA
IOIS16 hold time tIO16H 1.5 1.5 1.5 ns PCMCIA
ICIOWR del ay time
(falling edge) tICWSDF 1.3 10 1.3 8 1.2 6 ns PCMCIA
ICIORD del ay tim e tICRSD 1.3 10 1.3 8 1.2 6 ns PCMCIA
DACK delay time tDACD 1.3 10 1.3 8 1.2 6 ns
DACK delay time
(falling edge) tDACDF 1.3 10 1.3 8 1.2 6 ns Relative to CKIO falling
edge
Rev. 6.0, 07/02, page 876 of 986
HD6417750
VF128
HD6417750
F167
HD6417750
F167I HD6417750
BP200M
*1*2*3
Item Symbol Min Max Min Max Min Max Unit Notes
DTR setup tim e tDTRS 3.5 3.5 3 ns
DTR hold time tDTRH 1.5 1.5 1.5 ns
DBREQ setup time tDBQS 3.5 3.5 3 ns
DBREQ hold time t DBQH 1.5 1.5 1.5 ns
TR setup time tTRS 3.5 3.5 3 ns
TR hold time tTRH 1.5 1.5 1.5 ns
BAVL delay time tBAVD 1.3 10 1.3 8 1.2 6 ns
TDACK delay time tTDAD 1.3 10 1.3 8 1.2 6 ns
ID1, ID0 delay time tIDD 1.3 10 1.3 8 1.2 6 ns
Notes: *1V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
*2V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
(HD6417750F167)
VDDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 40 to +85°C, CL = 30 pF, PLL2 on
(HD6417750F167I)
*3V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 877 of 986
T1
t
AD
t
AD
T2
CKIO
A25
A0
RD/
D63
D0
(read)
D63
D0
(write)
DACKn
(DA)
t
WDD
t
WDD
t
WDD
t
RDH
t
RDS
t
CSD
t
CSD
t
RWD
t
RWD
t
RSD
t
RSD
t
RSD
t
WED1
t
WEDF
t
WEDF
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
DACD
t
DACDF
t
DACDF
t
DACD
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
Figure 22.15 SRAM Bus Cycle: Basic Bus Cycle (No Wait)
Rev. 6.0, 07/02, page 878 of 986
t
WDD
t
WDD
t
WDD
t
DACDF
t
DACDF
CKIO
A25
A0
RD/
D63
D0
(read)
D63
D0
(write)
DACKn
(DA)
T1
t
AD
Tw T2
t
AD
t
RDH
t
RDS
t
CSD
t
RWD
t
RWD
t
CSD
t
RSD
t
RSD
t
RSD
t
WED1
t
WEDF
t
WEDF
t
RDYH
t
RDYS
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
DACD
t
DACD
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.16 SRAM Bus Cycle: Basic Bus Cycle (One Internal Wait)
Rev. 6.0, 07/02, page 879 of 986
t
WDD
t
WDD
t
WDD
t
DACDF
t
DACDF
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(DA)
T1
t
AD
Tw Twe T2
t
AD
t
RDH
t
RDS
t
CSD
t
RWD
t
RWD
t
CSD
t
RSD
t
RSD
t
RSD
t
WED1
t
WEDF
t
WEDF
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
DACD
t
DACD
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.17 SRAM Bus Cycle: Basic Bus Cycle (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 880 of 986
t
WDD
t
WDD
t
WDD
t
DACDF
t
DACDF
t
DACD
t
DACD
t
DACD
TS1
t
AD
T1 T2 TH1
t
AD
t
RDH
t
RDS
t
CSD
t
RWD
t
RWD
t
CSD
t
RSD
t
RSD
t
RSD
t
WED1
t
WEDF
t
WEDF
t
BSD
t
BSD
t
DACD
t
DACD
CKIO
A25
A0
RD/
D63
D0
(read)
D63
D0
(write)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
DACKn
(DA)
*
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
* SH7750R only
Figure 22.18 SRAM Bus Cycle: Basic Bus Cycle (No Wait, Address Setup/Hold Time
Insertion, AnS = 1, AnH = 1)
Rev. 6.0, 07/02, page 881 of 986
CKIO
A25–A5
T1 T2
RD/
D31–D0
(read)
A4–A0
TB2 TB1 TB2 TB1 TB2 TB1
t
CSD
t
AD
t
RWD
t
BSD
t
RDS
t
BSD
t
RSD
t
RSD
t
RDH
t
AD
t
AD
t
CSD
t
RWD
t
RDH
t
RSD
t
RDS
DACKn
(SA: IO memory)
DACKn
(DA)
t
DACD
t
DACD
t
DACD
t
DACD
t
DACD
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.19 Burst ROM Bus Cycle (No Wait)
Rev. 6.0, 07/02, page 882 of 986
T1 T2TB2 TB1 TB2 TB1 TB2 TB1Twb Twb TwbTweTw
t
AD
t
CSD
t
RSD
t
RDH
t
RDS
t
BSD
t
AD
t
RDH
t
RSD
t
RDS
t
AD
t
CSD
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
DACD
t
DACD
t
DACD
t
DACD
t
RWD
t
RWD
CKIO
A25A5
RD/
D31D0
(read)
A4A0
DACKn
(SA: IO memory)
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.20 Burst ROM Bus Cycle
(1st Data: One Internal Wait + One External Wait; 2nd/3rd/4th Data: One Internal Wait)
Rev. 6.0, 07/02, page 883 of 986
T1 TB2
t
CSD
t
RWD
t
BSD
t
RDS
t
BSD
t
RSD
t
AD
TS1
t
DACD
TB1 TB2
t
AD
t
RDH
t
DACD
t
DACD
TB1 TB2 T2TB1
t
AD
t
CSD
t
RWD
t
RDH
t
RSD
t
RDS
TH1TS1TH1 TS1TH1 TS1TH1
CKIO
A25–A5
RD/
D31–D0
(read)
A4–A0
DACKn
(SA: IO memory)
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
t
DACD
t
DACD
Figure 22.21 Burst ROM Bus Cycle
(No Wait , Address Setup/Hold Time Insertion, AnS = 1, AnH = 1)
Rev. 6.0, 07/02, page 884 of 986
TwT1 Twe TB2 TB1 Twb Twbe TB1
TB2 Twb Twbe
Twb T2TB2Twbe TB1
CKIO
A25A5
A4A0
D31D0
(read)
t
AD
t
AD
t
AD
t
RDH
t
RDS
t
RDH
t
RDS
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
t
DACD
t
DACD
t
DACD
t
BSD
t
BSD
t
BSD
t
BSD
t
RSD
t
RSD
t
RWD
t
CSD
t
RWD
t
CSD
t
DACD
t
DACD
t
RSD
RD/
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
RDYH
t
RDYS
DACKn
(SA: IO memory)
Figure 22.22 Burst ROM Bus Cycle (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 885 of 986
TrwTr Tc1 Tc2 Tc3 Tc4/Td1 Td2 Td4
Td3 Tpc Tpc Tpc
CKIO
BANK
Precharge-sel
D63–D0
(read)
Address
Row
Row
Row
H/L
column
t
AD
t
AD
t
AD
t
RDH
d0
t
RDS
DQMn
D63–D0
(write)
CKE
t
WDD
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
DACD
t
DACD
t
DACD
t
RASD
t
RASD
t
DQMD
t
DQMD
t
RWD
t
BSD
t
BSD
RD/
t
CSD
t
CSD
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
t
RWD
Figure 22.23 Synchronous DRAM Auto-Precharge Read Bus Cycle: Single
(RCD[1:0] = 01, CAS Latency = 3 , TPC[2 : 0] = 0 11)
Rev. 6.0, 07/02, page 886 of 986
TrwTr Tc1 Tc2 Tc3 Tc4/Td1 Td2 Td4
Td3 Tpc Tpc Tpc
CKIO
BANK
Precharge-sel
D63–D0
(read)
Address
tAD
Row
Row
H/L
c0
Row
tAD
tRDH
d0 d1 d2 d3
tRDS
DQMn
D63–D0
(write)
CKE
tWDD
tWDD
tCASD2 tCASD2
tCASD2
tDACD tDACD tDACD
tRASD tRASD
tDQMD tDQMD
tRWD
tBSD tBSD
RD/
tCSD tCSD
tAD
DACKn
(SA: IO memory)
tRWD
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.24 Synchronous DRAM Auto-Precharge Read Bus Cycle: Burst
(RCD[1:0] = 01, CAS Latency = 3 , TPC[2 : 0] = 0 11)
Rev. 6.0, 07/02, page 887 of 986
Tr Trw Tc1 Tc2 Tc3 Tc4/Td1 Td3
Td2 Td4
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
t
AD Row
Row H/L
Row c0
t
AD
t
RWD
t
RWD
t
AD
t
RDH
t
RDS d0 d1 d2 d3
t
CSD
t
CSD
t
RWD
t
RWD
t
RASD
t
RASD
t
BSD
t
BSD
t
DQMD
t
DQMD
t
DACD
t
DACD
t
WDD
t
WDD
t
DACD
t
CASD2
t
CASD2
t
CASD2
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.25 Synchronous DRAM Normal Read Bus Cycle: ACT + READ Comman ds,
Burst (RCD[1:0] = 01, CAS Latency = 3)
Rev. 6.0, 07/02, page 888 of 986
Tpr Tpc Tr Trw Tc1 Tc2 Tc3 Tc4/Td1 Td3
Td2 Td4
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
t
AD Row
Row H/L
Row c0
t
AD
t
AD
t
AD
t
RDH
t
RDS d0 d1 d2 d3
t
CSD
t
CSD
t
RWD
t
RWD
t
RASD
t
RASD
t
RASD
t
RASD
t
BSD
t
BSD
t
DQMD
t
DACD
t
DACD
t
WDD
t
WDD
t
DACD
t
CASD2
t
CASD2
t
CASD2
t
DQMD
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.26 Synchro no us DRAM Norma l Read Bus Cycle: PRE + ACT + READ
Commands, Burst (RCD[1: 0 ] = 01, TPC[2:0] = 00 1, CAS Latency = 3)
Rev. 6.0, 07/02, page 889 of 986
Tc1 Tc2 Tc3 Tc4/Td1 Td3
Td2 Td4
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
t
AD Row
t
AD
H/L
c0
t
RDH
t
RDS d0 d1 d2 d3
t
CSD
t
CSD
t
RWD
t
RWD
t
RASD
t
RASD
t
BSD
t
BSD
t
DQMD
t
DQMD
t
CASD2
t
CASD2
t
DACD
t
DACD
t
WDD
t
WDD
t
DACD
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.27 Synchrono us DRAM Norma l Read Bus Cycle: READ Command, Burst
(CAS Latency = 3)
Rev. 6.0, 07/02, page 890 of 986
TrwTr Tc1 Tc2 Tc3 Tc4 Trwl Trwl Tpc
CKIO
BANK
Precharge-sel
Address
tAD tAD
tAD
H/L
Column
Row
Row
Row
tWDD
c0
tWDD
DQMn
CKE
tWDD
tCASD2 tCASD2
tCASD2
tDACD tDACD
tRWD tRWD
tRASD tRASD
tDQMD tDQMD
tBSD tBSD
RD/
tCSD tCSD
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.28 Synchronous DRAM Auto-Precharge Write Bus Cycle: Single
(RCD[1:0] = 01, TPC[2:0] = 001, TRWL[2:0] = 010)
Rev. 6.0, 07/02, page 891 of 986
TrwTr Tc1 Tc2 Tc3 Tc4 Trwl Trwl Tpc
CKIO
BANK
Precharge-sel
Address
t
AD
t
AD
t
AD
H/L
c0Row
Row
Row
t
WDD
d0
t
WDD d1 d2 d3
DQMn
CKE
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
DACD
t
DACD
t
RWD
t
RWD
t
RASD
t
RASD
t
DQMD
t
DQMD
t
BSD
t
BSD
RD/
t
CSD
t
CSD
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.29 Synchronous DRAM Auto-Precharge Write Bus Cycle: Burst
(RCD[1:0] = 01, TPC[2:0] = 001, TRWL[2:0] = 010)
Rev. 6.0, 07/02, page 892 of 986
TrwTr Tc1 Tc2 Tc3 Tc4 Trwl Trwl
CKIO
BANK
Precharge-sel
Address
t
AD
t
AD
t
AD
H/L
c0Row
Row
Row
t
WDD
d0
t
WDD d1 d2 d3
DQMn
CKE
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
DACD
t
DACD
t
RWD
t
RWD
t
RASD
t
RASD
t
DQMD
t
DQMD
t
BSD
t
BSD
RD/
t
CSD
t
CSD
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.30 Synchronous DRAM Normal Write Bus Cycle: ACT + WRITE Commands,
Burst (RCD[1:0] = 01, TRWL[2:0] = 010)
Rev. 6.0, 07/02, page 893 of 986
TrwTrTpcTpr Tc1 Tc2 Tc3 Tc4 Trwl Trwl
CKIO
BANK
Precharge-sel
Address
t
AD
t
AD
t
AD
H/L H/L
c0Row
Row
Row Row
t
AD
t
WDD
d0
t
WDD
d1 d2 d3
DQMn
CKE
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
DQMD
t
DQMD
t
DACD
t
RWD
t
RWD
t
RWD
t
RWD
t
RASD
t
RASD
t
RASD
t
RASD
t
DACD
t
DACD
t
BSD
t
BSD
RD/
t
CSD
t
CSD
D63D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.31 Synchronous DRAM Normal Write Bus Cycle: PRE + ACT + WRITE
Commands, Burst (RCD[1:0 ] = 01, TPC[2:0] = 001, TRWL[2:0] = 010)
Rev. 6.0, 07/02, page 894 of 986
(Tnop)Tnop Tc1 Tc2 Tc3 Tc4 Trwl Trwl
t
AD
t
AD
H/L
c0
Row
t
WDD
d0
t
WDD d1 d2 d3
t
WDD
t
DQMD
t
DQMD
t
DACD
t
RWD
t
RWD
t
CASD2
t
CASD2
t
DACD
t
BSD
t
BSD
t
CSD
t
CSD
CKIO
BANK
Precharge-sel
Address
DQMn
CKE
RD/
D63D0
(write)
DACKn
(SA: IO memory)
Normal write
SA-DMA
Notes: In the case of SA-DMA only, the (Tnop) cycle is inserted, and the DACKn signal is output as shown by the
solid line. In a normal write, the (Tnop) cycle is omitted and the DACKn signal is output as shown by the
dotted line.
IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.32 Synchro no us DRAM Norma l Write Bus Cycle: WRITE Command, Burst
(TRWL[2:0] = 010)
Rev. 6.0, 07/02, page 895 of 986
TpcTpr
CKIO
BANK
Precharge-sel
Address
t
AD
t
AD
H/L
Row
DQMn
CKE
t
CASD2
t
CASD2
t
DQMD
t
DQMD
t
RWD
t
RWD
DACKn
t
RASD
t
RASD
t
DACD
t
DACD
t
BSD
t
WDD
t
WDD
RD/
t
CSD
t
CSD
D63–D0
(write)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.33 Synchronous DRAM Bus Cycle: Synchronous DRAM Precharge Command
(TPC[2:0] = 001)
Rev. 6.0, 07/02, page 896 of 986
TRr1 TRr2 TRr3 TRr4 TRrw TRr5 Trc
Trc Trc
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
DACKn
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
CKE
t
AD
t
AD
t
RWD
t
RWD
t
DQMD
t
DQMD
t
BSD
t
DACD
t
WDD
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
CASD2
t
RASD
t
RASD
t
RASD
t
RASD
t
CSD
t
CSD
t
CSD
t
CSD
t
DACD
D63–D0
(write)
Figure 22.34 Synchro no us DRAM Bus Cycle: Synchronous DRAM Auto-Refresh
(TRAS = 1, TRC[2:0] = 001)
Rev. 6.0, 07/02, page 897 of 986
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
DACKn
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
CKE
TRs1 TRs2 TRs3 TRs4 TRs5 Trc
Trc Trc
t
AD
t
AD
t
RWD
t
DQMD
t
DQMD
t
DACD
t
DACD
t
WDD
t
WDD
t
CASD2
t
CASD2
t
CASD2
t
CKED
t
CKED
t
RASD
t
RASD
t
RASD
t
RASD
t
CSD
t
CSD
t
CSD
t
CSD
D63D0
(write)
t
RWD
t
CASD2
t
BSD
Figure 22.35 Synchro no us DRAM Bus Cycle: Synchronous DRAM Self-Refresh
(TRC[2:0] = 001)
Rev. 6.0, 07/02, page 898 of 986
TRp1 TRp2 TRp3 TRp4 TMw TMw2 TMw4
TMw3 TMw5
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
DACKn
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
CKE
t
AD
t
AD
t
AD
t
RWD
t
RWD
t
RWD
t
CSD
t
CSD
t
CSD
t
BSD
t
DQMD
t
DACD
t
WDD
t
WDD
t
DACD
t
CASD2
t
CASD2
t
CASD2
t
CASD2
t
RASD
t
RASD
t
RASD
t
DQMD
D63D0
(write)
Figure 22.36 (a) Synchronous DRAM Bus Cycle: Sy nchronous DRAM Mode Reg ister
Setting (PALL)
Rev. 6.0, 07/02, page 899 of 986
TRp1 TRp2 TRp3 TRp4 TMw TMw2 TMw4
TMw3 TMw5
CKIO
BANK
Precharge-sel
Address
RD/
DQMn
DACKn
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
CKE
t
AD
t
AD
t
AD
t
RWD
t
RWD
t
RWD
t
CSD
t
CSD
t
CSD
t
BSD
t
DQMD
t
DACD
t
WDD
t
WDD
t
DACD
t
CASD2
t
CASD2
t
CASD2
t
CASD2
t
RASD
t
RASD
t
RASD
t
DQMD
D63D0
(write)
Figure 22.36 (b) Synchro no us DRAM Bus Cy cle: Sy nchronous DRAM Mode Reg ister
Setting (SET)
Rev. 6.0, 07/02, page 900 of 986
Tr2Tr1 Trw Tc1 Tcw Tc2 Tpc Tpc
t
AD
t
AD
t
AD
Row column
t
WDD
t
WDD
t
WDD
t
CASD1
t
CASD1
t
CASD1
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
CSD
t
CSD
t
DACD
t
DACD
t
DACD
t
RWD
t
RWD
t
RASD
t
RASD
t
RASD
t
RDH
t
RDS
CKIO
A25–A0
RD/
Tr2Tr1 Tc1 Tc2 Tpc
t
AD
t
AD
t
AD
Row column
t
WDD
t
WDD
t
WDD
t
CASD1
t
CASD1
t
CASD1
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
CSD
t
CSD
t
DACD
t
DACD
t
DACD
t
RWD
t
RWD
t
RASD
t
RASD
t
RASD
t
RDH
t
RDS
(1) (2)
DACKn
(SA: IO memory)
DACKn
(SA: IO memory)
D63–D0
(read)
D63–D0
(write)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.37 DRAM Bus Cycles
(1) RCD[1:0] = 00, AnW[2:0] = 000 , TPC[2:0] = 001
(2) RCD[1:0] = 01, AnW[2:0] = 001 , TPC[2:0] = 010
Rev. 6.0, 07/02, page 901 of 986
Tr2T1r Tc1 Tc2 Tce Tpc
CKIO
t
AD
t
AD
t
AD
Row Column
t
WDD
t
RASD
t
RASD
t
RASD
t
RWD
t
CASD1
t
CASD1
t
CASD1
t
BSD
t
BSD
RD/
t
CSD
t
CSD
t
DACD
t
DACD
t
RDH
t
RDS
A25–A0
DACKn
(SA: IO memory)
D63–D0
(read)
D63–D0
(write)
t
RWD
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.38 DRAM Bus Cycle
(EDO Mode, RCD[1:0] = 00, AnW[2 : 0] = 0 00, TPC[2: 0] = 0 0 1)
Rev. 6.0, 07/02, page 902 of 986
Tr2T1r Tc1 Tc2 Tc1 Tc2 Tc1 Tc1
Tc2 Tc2 Tce Tpc
t
AD
t
AD
t
AD
t
AD
Row c0 c1 c2 c3
t
WDD
t
RASD
t
RASD
t
RASD
t
RWD
t
RWD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
BSD
t
BSD
t
BSD
t
BSD
t
DACD
t
CSD
t
CSD
t
DACD
t
DACD
t
RWD
t
RDH
t
RDS d0
t
RDH
t
RDS d3d2d1
CKIO
RD/
A25A0
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
D63D0
(read)
D63D0
(write)
Figure 22.39 DRAM Burst Bus Cycle
(EDO Mode, RCD[1:0] = 00, AnW[2 : 0] = 0 00, TPC[2: 0] = 0 0 1)
Rev. 6.0, 07/02, page 903 of 986
Tr2Tr1 Trw Tc1 Tcw Tc2 Tc1 Tc2
Tcw Tc1 Tcw
Tc1 Tc2 Tce TpcTcw Tc2
CKIO
t
AD
t
AD
t
AD
t
RDH
d0
t
RDS
t
RDH
d3d2d1
t
RDS
t
RASD
t
RASD
Row c0 c1 c2 c3
RD/
t
CSD
t
CSD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
RASD
t
CASD1
t
CASD1
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
RWD
t
RWD
t
WDD
A25A0
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
D63D0
(read)
D63D0
(write)
Figure 22.40 DRAM Burst Bus Cycle
(EDO Mode, RCD[1:0] = 01, AnW[2 : 0] = 0 01, TPC[2: 0] = 0 0 1)
Rev. 6.0, 07/02, page 904 of 986
Tr2Tr1 Trw Tc1 Tcw Tc2 Tcnw Tcw
Tc1 Tc2 Tc2
Tcw Tcnw Tc1 TcwTcnw Tc1 Tc2 Tcnw Tce Tpc
CKIO
t
AD
t
AD
t
AD
t
RDH
d0
t
RDS
t
RDH
d3d2d1
t
RDS
t
RASD
t
RASD
Row c0 c1 c2 c3
RD/
t
CSD
t
CSD
t
CASD1
t
CASD1
t
CASD1
t
RASD
t
CASD1
t
CASD1
t
BSD
t
BSD
t
DACD
t
DACD
t
DACD
t
RWD
t
RWD
t
WDD
A25–A0
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
D63–D0
(read)
D63–D0
(write)
Figure 22.41 DRAM Burst Bus Cycle (EDO Mode, RCD[1:0 ] = 0 1, AnW[2:0] = 001,
TPC[2:0] = 001, 2-Cycle CAS Negate Pulse Width)
Rev. 6.0, 07/02, page 905 of 986
Tr1
tAD Row
Tc1 Tc2 Tc1 Tc2Tr2
Tpc
c0 c1 c2 c3
CKIO
RD/
tAD tAD tAD
tRDH
tRDS tRDH
tRDS
tCSD
tRWD tRWD
tCSD
tCASD1
tRASD
tWDD
tRASD
tCASD1 tCASD1
tCASD1 tCASD1
d3d2d1d0
tBSD tBSD
tBSD tBSD
tDACD tDACD
tDACD
Tc1 Tc1 Tc2 TceTc2
A25–A0
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
D63–D0
(read)
D63–D0
(write)
Figure 22.42 DRAM Burst Bus Cycle: RAS Down Mode State
(EDO Mode, RCD[1:0] = 00, AnW[2 : 0] = 000)
Rev. 6.0, 07/02, page 906 of 986
Tr1 Tc1 Tc2 Tc1 Tc2Tr2
c0 c1 c2 c3
CKIO
A25A0
RD/
t
AD
t
AD
t
AD
t
RDH
t
RDS
t
RDH
t
RDS
t
CSD
t
RWD
t
RWD
t
RASD
RAS-down
mode ended
t
CSD
t
CASD1
t
WDD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
d3d2d1d0
t
BSD
t
BSD
t
BSD
t
BSD
t
DACD
t
DACD
Tc1 TceTc2
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
D63D0
(read)
D63D0
(write)
Figure 22.43 DRAM Burst Bus Cycle: RAS Down Mode Continuation
(EDO Mode, RCD[1:0] = 00, AnW[2 : 0] = 000)
Rev. 6.0, 07/02, page 907 of 986
Tr1 Tr2 Tc1 Tc2 Tc1 Tc2 Tc2
Tc1 Tc1 Tc2 Tpc
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
tAD c0Row c1 c2 c3
tAD tAD
tRWD tRWD
tRDH
tRDS d0
tWDD d0 d1 d2 d3
tBSD tBSD
tWDD
d1 d2
tRDH
tWDD
tRDS d3
tWDD
tCSD tCSD
tDACD tDACD tDACD
tCASD1 tCASD1 tCASD1 tCASD1 tCASD1
tRASD tRASD tRASD
tDACD tDACD tDACD
Figure 22.44 DRAM Burst Bus Cycle
(Fast Page Mode, RCD[1:0] = 00, AnW[2:0] = 000, TPC[2:0] = 001)
Rev. 6.0, 07/02, page 908 of 986
Tr1 Tr2 Trw Tc1 Tcw Tc2 Tcw
Tc1 Tc2 Tc1 Tcw
CKIO
RD/
t
AD c0Row c1 c2 c3
t
AD
t
AD
t
RWD
t
RWD
t
RDH
t
RDS d0
t
WDD d0 d1 d2 d3
t
BSD
t
BSD
t
WDD
d1 d2
t
RDH
t
WDD
t
RDS d3
t
WDD
t
CSD
t
CSD
t
DACD
t
DACD
t
DACD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
RASD
t
RASD
t
RASD
t
DACD
t
DACD
t
DACD
Tc1Tc2 Tc2
Tcw Tpc
A25–A0
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
Figure 22.45 DRAM Burst Bus Cycle
(Fast Page Mode, RCD[1:0] = 01, AnW[2:0] = 001, TPC[2:0] = 001)
Rev. 6.0, 07/02, page 909 of 986
Tr1 Tr2 Trw Tc1 Tcw Tc2 Tc1
Tcnw Tcw Tc2 Tcnw
CKIO
RD/
t
AD c0Row c1 c2 c3
t
AD
t
AD
t
RWD
t
RWD
t
RDH
t
RDS d0
t
WDD d0 d1 d2 d3
t
BSD
t
BSD
t
WDD
d1 d2
t
RDH
t
WDD
t
RDS d3
t
WDD
t
CSD
t
CSD
t
DACD
t
DACD
t
DACD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
RASD
t
RASD
t
RASD
t
DACD
t
DACD
t
DACD
TcwTc1 Tcnw
Tc2 Tc1 TpcTc2 TcnwTcw
A25A0
D63D0
(read)
D63D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
Figure 22.46 DRAM Burst Bus Cycle (Fast Page Mode, RCD[1:0 ] = 01, AnW[2: 0] = 001,
TPC[2:0] = 001, 2-Cycle CAS Negate Pulse Width)
Rev. 6.0, 07/02, page 910 of 986
Tpc Tr1 Tr2 Tc1 Tc2 Tc1 Tc1
Tc2 Tc2 Tc1 Tc2
CKIO
A25–A0
RD/
D63–D0
(read)
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
t
AD c0Row c1 c2 c3
t
AD
t
AD
t
AD
t
RWD
t
RWD
t
RWD
t
RDH
t
RDS d0
t
WDD d0 d1 d2 d3
t
BSD
t
BSD
t
WDD
d1 d2
t
RDH
t
WDD
t
RDS d3
t
WDD
t
CSD
t
CSD
t
CSD
t
DACD
t
DACD
t
DACD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
DACD
t
DACD
t
DACD
t
RASD
t
RASD
Figure 22.47 DRAM Burst Bus Cycle: RAS Down Mode State
(Fast Page Mode, RCD[1:0] = 00, AnW[2:0] = 000)
Rev. 6.0, 07/02, page 911 of 986
CKIO
RD/
t
AD c0 c1 c2 c3
t
AD
t
RWD
t
RWD
t
RWD
t
RDH
t
RDS d0
t
WDDd0 d1 d2 d3
t
BSD
t
BSD
t
WDD
d1 d2
t
RDH
t
WDD
t
RDS d3
t
WDD
t
CSD
t
CSD
t
CSD
t
RASD
RAS down mode ended
t
DACD
t
DACD
t
DACD
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
CASD1
t
DACD
t
DACD
t
DACD
Tnop Tc1 Tc2 Tc1 Tc1
Tc2 Tc2 Tc1 Tc2
A25A0
D63–D0
(read)
D63D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
Figure 22.48 DRAM Burst Bus Cycle: RAS Down Mode Continuation
(Fast Page Mode, RCD[1:0] = 00, AnW[2:0] = 000)
Rev. 6.0, 07/02, page 912 of 986
TRr1 TRr2 TRr3 TRr4 TRr5 Trc Trc
Trc
CKIO
A25–A0
RD/
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
t
AD
t
WDD
t
DACD
t
DACD
t
CSD
t
RWD
t
RASD
t
RASD
t
RASD
t
CASD1
t
CASD1
t
CASD1
Figure 22.49 DRAM Bus Cycle: DRAM CAS-Before-RAS Refresh
(TRAS[2:0] = 000, TRC[2:0] = 001)
Rev. 6.0, 07/02, page 913 of 986
TRr1 TRr2 TRr3 TRr4 TRr5TRr4w Trc Trc Trc
CKIO
RD/
tAD
tWDD
tDACD
tDACD
tCSD
tRWD
tRASD
tRASD tRASD
tCASD1
tCASD1 tCASD1
A25–A0
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
Figure 22.50 DRAM Bus Cycle: DRAM CAS-Before-RAS Refresh
(TRAS[2:0] = 001, TRC[2:0] = 001)
Rev. 6.0, 07/02, page 914 of 986
TRr1 TRr2 TRr3 TRr4 TRr5 Trc Trc
Trc
CKIO
RD/
t
AD
t
WDD
t
DACD
t
DACD
t
CSD
t
RWD
t
RASD
t
RASD
t
RASD
t
CASD1
t
CASD1
t
CASD1
A25–A0
D63–D0
(write)
DACKn
(SA: IO memory)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
DACKn
(SA: IO memory)
Figure 22.51 DRAM Bus Cycle: DRAM Self-Refresh ( TRC[2: 0 ] = 001)
Rev. 6.0, 07/02, page 915 of 986
Tpcm1 Tpcm2 Tpcm0 Tpcm1 Tpcm2
Tpcm1wTpcm1w Tpcm2w
CKIO
( )
RD/
D15–D0
(read)
D15–D0
(write)
DACKn
(DA)
t
AD
t
AD
t
WDD
t
BSD
t
BSD
t
BSD
t
BSD
t
WDD
t
WDD
t
RWD
t
CSD
t
CSD
t
RWD
t
RSD
t
RSD
t
RSD
t
WEDF
t
WED1
t
WEDF
t
DACD
t
RDH
t
RDS
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
DACD
t
AD
t
AD
t
WDD
t
WDD
t
WDD
t
RWD
t
CSD
t
CSD
t
RWD
t
RSD
t
RSD
t
RSD
t
WEDF
t
WED1
t
WEDF
t
DACD
TED TEH
t
RDH
t
RDS
t
DACD
(1) (2)
A25–A0
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
*: SH7750S only
*
Figure 22.52 PCMCIA Memory Bus Cycle
(1) TED[2:0] = 000, TEH[2:0] = 000, No Wait
(2) TED[2:0] = 001, TEH[2:0] = 001, One Internal Wait + One External Wait
Rev. 6.0, 07/02, page 916 of 986
Tpci1 Tpci2 Tpci0 Tpci1 Tpci2
Tpci1wTpci1w Tpci2w
CKIO
( )
RD/
( )
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
( )
t
AD
t
AD
t
BSD
t
BSD
t
BSD
t
BSD
t
WDD
t
WDD
t
RWD
t
CSD
t
CSD
t
RWD
t
ICRSD
t
ICRSD
t
ICWSDF
t
ICWSDF
t
DACD
t
RDH
t
RDS
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
IO16H
t
IO16S
t
IO16H
t
IO16S
t
DACD
t
AD
t
AD
t
WDD
t
WDD
t
WDD
t
RWD
t
CSD
t
CSD
t
RWD
t
ICRSD
t
ICRSD
t
ICRSD
t
ICWSDF
t
ICWSDF
t
ICWSDF
t
DACD
t
RDH
t
RDS
t
DACD
D15–D0
(read)
D15–D0
(write)
(1) (2)
A25–A0
Figure 22.53 PCMCIA I/O Bus Cycle
(1) TED[2:0] = 000, TEH[2:0] = 000, No Wait
(2) TED[2:0] = 001, TEH[2:0] = 001, One Internal Wait + One External Wait
Rev. 6.0, 07/02, page 917 of 986
Tpci0 Tpci1 Tpci2w
Tpci2Tpci1w Tpci0 Tpci1 Tpci2w
Tpci2Tpci1w
CKIO
A25A1
A0
( )
RD/
( )
D15D0
(read)
D15D0
(write)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
( )
t
BSD
t
BSD
t
AD
t
AD
t
WDD
t
WDD
t
WDD
t
WDD
t
WDD
t
RWD
t
RWD
t
AD
t
CSD
t
CSD
t
CSD
t
ICRSD
t
ICRSD
t
ICRSD
t
ICWSDF
t
ICWSDF
t
ICWSDF
t
ICWSDF
t
ICWSDF
t
RDH
t
RDS
t
RDYS
t
RDYH
t
IO16S
t
IO16H
t
RDYS
t
RDYH
Figure 22.54 PCMCIA I/O Bus Cycle
(TED[2:0] = 001, TEH[2:0] = 001, One Internal Wait, Bus Sizing)
Rev. 6.0, 07/02, page 918 of 986
Tm1 Tmd1w Tmd1 Tm0 Tmd1w Tmd1Tmd1w
CKIO
RD/
D63D0
DACKn
(DA)
/
t
FMD
t
FMD
t
BSD
t
BSD
t
BSD
t
BSD
t
CSD
t
CSD
t
DACD
t
RDH
t
RDS
D0
t
RDYH
t
RDYS
t
DACD
t
RWD
t
RWD
t
WED1
t
WED1
t
FMD
t
FMD
t
CSD
t
CSD
t
RDH
t
RDS
t
WDD AD0
t
WDD
t
WDD A
t
WDD
t
RWD
t
RWD
t
WED1
t
WED1
t
DACD
t
DACD
t
RDYH
t
RDYS
t
RDYH
t
RDYS
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25–D0: Address
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25D0: Address
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
(1) (2)
Figure 22.55 MPX Basic Bus Cycle: Read
(1) 1st Data (One Internal Wait)
(2) 1st Data (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 919 of 986
Tm1 Tmd1w Tmd1
CKIO
RD/
D63D0
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
/
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
DACD
t
RDYH
t
RDYS
t
DACD
t
WED1
t
WED1
Tm1 Tmd1
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
DACD
D0 D0
t
RDYH
t
RDYS
t
DACD
t
RWD
t
RWD
t
RWD
t
RWD
t
WED1
t
WED1
A
t
RDYH
t
RDYS
t
RDYH
t
RDYS
t
WDD
t
WDD
t
WDD A
t
WDD
t
WDD
t
WDD
Tm1 Tmd1w Tmd1w Tmd1
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
DACD
t
DACD
t
WED1
t
WED1
D0
t
RWD
t
RWD
A
t
WDD
t
WDD
t
WDD
1st data bus cycle information
D63D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25D0: Address
1st data bus cycle information
D63D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25D0: Address
1st data bus cycle information
D63D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25D0: Address
(1) (2) (3)
Figure 22.56 MPX Basic Bus Cycle: Write
(1) 1st Data (No Wait)
(2) 1st Data (One Internal Wait)
(3) 1st Data (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 920 of 986
CKIO
RD/
D63D0
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
/
Tm1 Tmd1w Tmd1 Tmd2 Tmd3 Tmd4
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
RDYS
t
RDYH
t
DACD
t
DACD
t
WED1
t
WED1
D3
t
RWD
t
RWD
A
t
WDD D2D1D0
t
WDD
t
RDH
t
RDS
Tm1 Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd4w Tmd4
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
RDYS
t
RDYH
t
RDYH
t
RDYS
t
DACD
t
DACD
t
WED1
t
WED1
D3
t
RWD
t
RWD
A
t
WDD D2D1D0
t
WDD
t
RDH
t
RDS
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25–D0: Address
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25–D0: Address
(1) (2)
Figure 22.57 MPX Bus Cycle: Burst Read
(1) 1st Data (One Internal Wait), 2nd to 8th Data (One Internal Wait)
(2) 1st Data (One Internal Wait), 2nd to 4th Data (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 921 of 986
CKIO
RD/
D63–D0
DACKn
(DA)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
/
Tm1 Tmd1 Tmd2 Tmd3 Tmd4
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
RDYS
t
RDYH
t
DACD
t
DACD
t
WED1
t
WED1
D3
t
RWD
t
RWD
A
t
WDD D2D1D0 D3D2D1D0
t
WDD
Tm1 Tmd1w Tmd1 Tmd2w Tmd2 Tmd3 Tmd4w Tmd4
t
FMD
t
FMD
t
BSD
t
BSD
t
CSD
t
CSD
t
RDYS
t
RDYH
t
RDYH
t
RDYS
t
DACD
t
DACD
t
WED1
t
WED1
t
RWD
t
RWD
A
t
WDD
t
WDD
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25–D0: Address
1st data bus cycle information
D63–D61: Access size
000: Byte
001: Word (2 bytes)
010: Long (4 bytes)
011: Quad (8 bytes)
1xx: Burst (32 bytes)
D25–D0: Address
(1) (2)
Figure 22.58 MPX Bus Cycle: Burst Write
(1) No Internal Wait
(2) 1st Data (One Internal Wait), 2nd to 4th Data (No Internal Wait + External Wait
Control)
Rev. 6.0, 07/02, page 922 of 986
T1 Tw T2
CKIO
RD/
(1)
D63–D0
(read)
DACKn
(DA)
A25–A0
tDACD tDACD
tCSD tCSD
tDACD
tRDYH
tRDYS
tDACD
tDACD
tRWD tRWD
T1 T2
tDACD tDACD
tCSD tCSD
tDACD tDACD
tWED1
tDACD
tRWD tRWD
tRDYH
tRDYS
tRDYH
tRDYS
tAD tAD tAD tAD
T1 Tw Twe T2
tDACD tDACD
tRSD tRSD tRSD
tRSD tRSD tRSD tRSD tRSD
tWED1 tWED1
tWEDF
tWED1tWEDF tWED1 tWEDF tWED1
tCSD tCSD
tDACD
tBSD tBSD
tBSD tBSD tBSD tBSD
tDACD
tDACD
tRWD tRWD
tRSD
tAD tAD
tRDH
tRDS tRDH
tRDS tRDH
tRDS
DACKn
(SA: IO memory)
(2) (3)
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.59 Memory Byte Control SRAM Bus Cycles
(1) Basic Read Cycle (No Wait)
(2) Basic Read Cycle (One Internal Wait)
(3) Basic Read Cycle (One Internal Wait + One External Wait)
Rev. 6.0, 07/02, page 923 of 986
CKIO
RD/
D63–D0
(read)
DACKn
(DA)
A25–A0
TS1 T1 T2 TH1
t
RSD
t
RSD
t
WED1
t
WEDF
t
WED1
t
CSD
t
CSD
t
DACD
t
BSD
t
BSD
t
DACD
t
RWD
t
RWD
t
RSD
t
AD
t
AD
t
RDH
t
RDS
DACKn
(SA: IO memory)
t
DACD
t
DACD
Notes: IO: DACK device
SA: Single address DMA transfer
DA: Dual address DMA transfer
DACK set to active-high
Figure 22.60 Memory Byte Control SRAM Bus Cycle: Basic Read Cycle (No Wait, Address
Setup/Hold Time Insertion, AnS[0] = 1, AnH[1 : 0] =0 1)
Rev. 6.0, 07/02, page 924 of 986
22.3.4 Peripheral Module Signal Timing
Table 22.36 Peripheral Module Signal Timing ( 1)
HD6417750
RBP240 HD6417750
RBP200 HD6417750
RF240 HD6417750
RF200
*2*2*2*2
Module Item Symbol Min Max Min Max Min Max Min Max Unit Figure
TMU,
RTC Timer clock
pulse width
(high)
tTCLKWH 4 4 4 4 Pcyc*122.61
Timer clock
pulse width
(low)
tTCLKWL 4 4 4 4 Pcyc*122.61
Timer clock
rise time tTCLKr 0.8 0.8 0.8 0.8 Pcyc*122.61
Timer clock
fall time tTCLKf 0.8 0.8 0.8 0.8 Pcyc*122.61
Oscillation
settling time tROSC —3 —3 —3 3 s 22.62
SCI Input clock
cycle (asyn-
chronous)
tScyc 4 4 4 4 Pcyc*122.63
Input clock
cycle (syn-
chronous)
tScyc 6 6 6 6 Pcyc*122.63
Input clock
pulse width tSCKW 0.4 0.6 0.4 0.6 0.4 0.6 0.4 0.6 tScyc 22.63
Input clock
rise time tSCKr 0.8 0.8 0.8 0.8 Pcyc*122.63
Input clock
fall time tSCKf 0.8 0.8 0.8 0.8 Pcyc*122.63
Transf er dat a
delay time tTXD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns 22.64
Receive data
setup time
(synchronous)
tRXS 16 16 16 16 ns 22.64
Receive data
hold time
(synchronous)
tRXH 16 16 16 16 ns 22.64
Rev. 6.0, 07/02, page 925 of 986
HD6417750
RBP240 HD6417750
RBP200 HD6417750
RF240 HD6417750
RF200
*2*2*2*2
Module Item Symbol Min Max Min Max Min Max Min Max Unit Figure
I/O
ports Output dat a
delay time tPORTD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns 22.65
Input data
setup time tPORTS 2 2.5 3.5 3.5 ns 22.65
Input data
hold time tPORTH 1.5 1.5 1.5 1.5 ns 22.65
DMAC DREQn
setup time tDRQS 2 2.5 3.5 3.5 ns 22.66
DREQn
hold time tDRQH 1.5 1.5 1.5 1.5 ns 22.66
DRAKn
delay time tDRAKD 1.5 5.3 1.5 6 1.5 6 1.5 6 ns 22.66
5 5 5 5 tcyc 22.71 Normal
or sleep
mode
NMI pulse
width (high) tNMIH
30 30 30 30 ns 22.71 Standby
mode
5 5 5 5 tcyc 22.71 Normal
or sleep
mode
INTC
NMI pulse
width (low) tNMIL
30 30 30 30 ns 22.71 Standby
mode
H-UDI Input clock
cycle tTCKcyc 50 50 50 50 ns 22.67
Input clock
pulse width
(high)
tTCKH 15 15 15 15 ns 22.67
Input clock
pulse width
(low)
tTCKL 15 15 15 15 ns 22.67
Input clock
rise time tTCKr 10 10 10 10 ns 22.67
Input clock
fall time tTCKf 10 10 10 10 ns 22.67
ASEBRK
setup time tASEBRKS 10 10 10 10 tcyc 22.68
ASEBRK
hold time tASEBRKH 10 10 10 10 tcyc 22.68
TDI/TMS
setup time tTDIS 15 15 15 15 ns 22.69
TDI/TMS
hold time tTDIH 15 15 15 15 ns 22.69
TDO delay
time tTDO 0 10 0 10 0 10 0 10 ns 22.69
ASE-PINBRK
pulse width tPINBRK 2 2 2 2 Pcyc*122.70
Notes: *1 Pc y c: P cl ock cycle s
*2V
DDQ = 3.0 to 3.6 V, VDD = 1. 5 V, Ta = 20 to +75°C, CL = 30 pF, P LL2 on
Rev. 6.0, 07/02, page 926 of 986
Table 22.36 Peripheral Module Signal Timing ( 2)
HD6417750
SVF133
HD6417750
SVBT133
HD6417750
SF167
HD6417750
SF167I
HD6417750
SF200 HD6417750
SBP200
*2*3*4
Module Item Symbol Min Max Min Max Min Max Unit Figure
TMU,
RTC Timer clock
pulse width
(high)
tTCLKWH 4 4 4 Pcyc*122.61
Timer clock
pulse width
(low)
tTCLKWL 4 4 4 Pcyc*122.61
Timer clock
rise time tTCLKr 0.8 0.8 0.8 Pcyc*122.61
Timer clock
fall time tTCLKf 0.8 0.8 0.8 Pcyc*122.61
Oscillation
settling time tROSC —3 —3 3 s 22.62
SCI Input clock
cycle (asyn-
chronous)
tScyc 4 4 4 Pcyc*122.63
Input clock
cycle (syn-
chronous)
tScyc 6 6 6 Pcyc*122.63
Input clock
pulse width tSCKW 0.4 0.6 0.4 0.6 0.4 0.6 tScyc 22.63
Input clock
rise time tSCKr 0.8 0.8 0.8 Pcyc*122.63
Input clock
fall time tSCKf 0.8 0.8 0.8 Pcyc*122.63
Transf er dat a
delay time tTXD 1.5 10 1.5 8 1.5 6 ns 22.64
Receive data
setup time
(synchronous)
tRXS 16 16 16 ns 22.64
Receive data
hold time
(synchronous)
tRXH 16 16 16 ns 22.64
I/O
ports Output dat a
delay time tPORTD 1.5 10 1.5 8 1.5 6 ns 22.65
Input data
setup time tPORTS 3.5 3.5 3 ns 22.65
Input data
hold time tPORTH 1.5 1.5 1.5 ns 22.65
Rev. 6.0, 07/02, page 927 of 986
HD6417750
SVF133
HD6417750
SVBT133
HD6417750
SF167
HD6417750
SF167I
HD6417750
SF200 HD6417750
SBP200
*2*3*4
Module Item Symbol Min Max Min Max Min Max Unit Figure
DMAC DREQn
setup time tDRQS 3.5 3.5 3 ns 22.66
DREQn
hold time tDRQH 1.5 1.5 1.5 ns 22.66
DRAKn
delay time tDRAKD 1.5 10 1.5 8 1.5 6 ns 22.66
5 5 5 tcyc 22.71 Normal or sleep mode
NMI pulse
width (high) tNMIH
30 30 30 ns 22.71 Stand by mo de
5 5 5 tcyc 22.71 Normal or sleep mode
INTC
NMI pulse
width (low) tNMIL
30 30 30 ns 22.71 Stand by mo de
H-UDI Input clock
cycle tTCKcyc 50 50 50 ns 22.67
Input clock
pulse width
(high)
tTCKH 15 15 15 ns 22.67
Input clock
pulse width
(low)
tTCKL 15 15 15 ns 22.67
Input clock
rise time tTCKr 10 10 10 ns 22.67
Input clock
fall time tTCKf 10 10 10 ns 22.67
ASEBRK
setup time tASEBRKS 10 10 10 tcyc 22.68
ASEBRK
hold time tASEBRKH 10 10 10 tcyc 22.68
TDI/TMS
setup time tTDIS 15 15 15 ns 22.69
TDI/TMS
hold time tTDIH 15 15 15 ns 22.69
TDO delay
time tTDO 0 10 0 10 0 10 ns 22.69
ASE-PINBRK
pulse width tPINBRK 2 2 2 Pcyc*122.70
Notes: *1 Pc y c: P cl ock cycle s
*2V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
*3V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
(HD6417750SF167, HD6417750SF200)
VDDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 40 to +85°C, CL = 30 pF, PLL2 on
(HD6417750SF167I)
*4V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 928 of 986
Table 22.36 Peripheral Module Signal Timing ( 3)
HD6417750
VF128
HD6417750
F167
HD6417750
F167I HD6417750
BP200M
*2*3*4
Module Item Symbol Min Max Min Max Min Max Unit Figure
TMU,
RTC Timer clock
pulse width
(high)
tTCLKWH 4 4 4 Pcyc*122.61
Timer clock
pulse width
(low)
tTCLKWL 4 4 4 Pcyc*122.61
Timer clock
rise time tTCLKr 0.8 0.8 0.8 Pcyc*122.61
Timer clock
fall time tTCLKf 0.8 0.8 0.8 Pcyc*122.61
Oscillation
settling time tROSC —3 —3 3 s 22.62
SCI Input clock
cycle (asyn-
chronous)
tScyc 4 4 4 Pcyc*122.63
Input clock
cycle (syn-
chronous)
tScyc 6 6 6 Pcyc*122.63
Input clock
pulse width tSCKW 0.4 0.6 0.4 0.6 0.4 0.6 tScyc 22.63
Input clock
rise time tSCKr 0.8 0.8 0.8 Pcyc*122.63
Input clock
fall time tSCKf 0.8 0.8 0.8 Pcyc*122.63
Transf er dat a
delay time tTXD 1.3 10 1.3 8 1.2 6 ns 22.64
Receive data
setup time
(synchronous)
tRXS 16 16 16 ns 22.64
Receive data
hold time
(synchronous)
tRXH 16 16 16 ns 22.64
I/O
ports Output dat a
delay time tPORTD 0.5 10 0.5 8 0.5 6 ns 22.65
Input data
setup time tPORTS 3.5 3.5 3 ns 22.65
Input data
hold time tPORTH 1.5 1.5 1.5 ns 22.65
Rev. 6.0, 07/02, page 929 of 986
HD6417750
VF128
HD6417750
F167
HD6417750
F167I HD6417750
BP200M
*2*3*4
Module Item Symbol Min Max Min Max Min Max Unit Figure
DMAC DREQn
setup time tDRQS 3.5 3.5 3 ns 22.66
DREQn
hold time tDRQH 1.5 1.5 1.5 ns 22.66
DRAKn
delay time tDRAKD 1.0 10 1.0 8 1.0 6 ns 22.66
5 5 5 tcyc 22.71 Normal or sleep mode
NMI pulse
width (high) tNMIH
30 30 30 ns 22.71 Stand by mo de
5 5 5 tcyc 22.71 Normal or sleep mode
INTC
NMI pulse
width (low) tNMIL
30 30 30 ns 22.71 Stand by mo de
H-UDI Input clock
cycle tTCKcyc 50 50 50 ns 22.67
Input clock
pulse width
(high)
tTCKH 15 15 15 ns 22.67
Input clock
pulse width
(low)
tTCKL 15 15 15 ns 22.67
Input clock
rise time tTCKr 10 10 10 ns 22.67
Input clock
fall time tTCKf 10 10 10 ns 22.67
ASEBRK
setup time tASEBRKS 10 10 10 tcyc 22.68
ASEBRK
hold time tASEBRKH 10 10 10 tcyc 22.68
TDI/TMS
setup time tTDIS 15 15 15 ns 22.69
TDI/TMS
hold time tTDIH 15 15 15 ns 22.69
TDO delay
time tTDO 0 10 0 10 0 10 ns 22.69
ASE-PINBRK
pulse width tPINBRK 2 2 2 Pcyc*122.70
Notes: *1 Pc y c: P cl ock cycle s
*2V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.5 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
*3V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
(HD6417750F167)
VDDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 40 to +85°C, CL = 30 pF, PLL2 on
(HD6417750F167I)
*4V
DDQ = 3.0 to 3.6 V, VDD = typ. 1.8 V, Ta = 20 to +75°C, CL = 30 pF, PLL2 on
Rev. 6.0, 07/02, page 930 of 986
TCLK
t
TCLKf
t
TCLKWH
t
TCLKWL
t
TCLKr
Figure 22. 61 TCLK Input Timing
RTC internal clock
t
ROSC
Stable oscillation
V
DD-RTC
V
DD-RTC
min
Figure 22.62 RTC Oscillatio n Sett ling Time at Power-On
SCK, SCK2
t
SCKf
t
Scyc
t
SCKW
t
SCKr
Figure 22. 63 SCK Input Clock Timing
Rev. 6.0, 07/02, page 931 of 986
t
TXD
SCK
TXD
RXD
t
TXD
t
RXS
t
RXH
t
Scyc
Figure 22 . 64 SCI I/O Synchronous Mode Cloc k Timing
t
PORTD
t
PORTD
CKIO
Ports 19–0
(read)
Ports 19–0
(write)
t
PORTS
t
PORTH
Figure 22. 65 I/O Port Input/Out put Timing
t
DRAKD
t
DRQH
t
DRQH
t
DRQS
t
DRQS
CKIO
DRAKn
Figure 22.66(a) DREQ
DREQDREQ
DREQ/DRAK Timing
Rev. 6.0, 07/02, page 932 of 986
t
DBQH
t
DBQS
CKIO
D63 to D0
(READ)
DBREQ
BAVL
TR
t
BAVD
t
BAVD
t
TRH
(2)
t
TRS
t
DTRH
t
DTRS
(1)
(1): [2CKIO cycle – t
DTRS
] (= 18 ns: 100 MHz)
(2): DTR = 1CKIO cycle (= 10 ns: 100 MHz)
(t
DTRS
+ t
DTRH
) < DTR < 10 ns
Figure 22.66(b) DBREQ
DBREQDBREQ
DBREQ/TR
TRTR
TR Input Timing and BAVL
BAVLBAVL
BAVL Output Timing
t
TCKcyc
t
TCKH
t
TCKL
t
TCKr
t
TCKf
1/2V
DDQ
V
IH
V
IH
V
IL
V
IL
V
IH
1/2V
DDQ
Note: When clock is input from TCK pin
Figure 22. 67 TCK Input Timing
/
BRKACK
SCK2/
t
ASEBRKH
t
ASEBRKS
t
ASEBRKS
t
ASEBRKH
(Low)
(High)
Figure 22.68 RESET
RESETRESET
RESET Hold Timing
Rev. 6.0, 07/02, page 933 of 986
TDI
TMS
TCK
TDO
t
TCKcyc
t
TDO
t
TDIH
t
TDIS
Figure 22.69 H-UDI Data Transfer Timing
tPINBRK
Figure 22.70 Pin Break Timing
t
NMIH
t
NMIL
NMI
Figure 22 . 71 NMI Input Timing
Rev. 6.0, 07/02, page 934 of 986
22.3.5 AC Characteristic Test Conditions
The AC characteristic test conditions are as follows:
Input/output signal reference level: 1.5 V (VDDQ = 3.3 ±0.3 V)
Input pulse level: VSSQ–3.0 V (VSSQ–VDDQ for RESET, TRST, NMI, and ASEBRK/BRKACK)
Input rise/fall time: 1 ns
The output load circuit is shown in figure 22.72.
IOL
IOH
CLVREF
LSI output pin DUT output
Notes: 1.
2.
CL is the total value, including the capacitance of the test jig, etc.
The capacitance of each pin is set to 30 pF.
IOL and IOH values are as shown in table 22.16, Permissible Output Currents.
Figure 22.72 Output Load Circuit
Rev. 6.0, 07/02, page 935 of 986
22.3.6 Delay Time Variation Due to Load Capacitance
A graph (reference data) of the variation in delay time when a load capacitance greater than that
stipulated (30 pF) is connected to the SH7750 Series’ pins is shown below. The graph shown in
figure 22.73 should be taken into consideration if the stipulated capacitance is exceeded when
connecting an external device.
The graph will not be linear if the connected load capacitance exceeds the range shown in figure
22.73.
+4.0 ns
+3.0 ns
+2.0 ns
+1.0 ns
+0.0 ns
+0 pF +25 pF +50 pF
Load Capacitance
Delay Time
Figure 22.73 Load Capacitance vs. Delay Time
Rev. 6.0, 07/02, page 936 of 986
Rev. 6.0, 07/02, page 937 of 986
Appendix A Address List
Table A.1 Address List
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
CCN PTE H H' FF00 0000 H'1F00 0000 32 Undefined Undefined Held Held Iclk
CCN PTE L H'FF00 0004 H'1F00 0004 32 Undefined Undefi ned Held Held Iclk
CCN TTB H'FF00 0008 H'1F00 0008 32 Undefined Undefi ned Held Held Iclk
CCN TEA H'FF00 000C H'1F00 000C 32 Undefi ned Held Hel d Held Iclk
CCN MMUCR H'FF00 0010 H'1F00 0010 32 H'0000 0000 H'0000 0000 Held Held Iclk
CCN BASRA H'FF00 0014 H'1F00 0014 8 Undefi ned Held Hel d Held Iclk
CCN BASRB H'FF00 0018 H'1F00 0018 8 Undefi ned Held Hel d Held Iclk
CCN CCR H'FF00 001C H'1F00 001C 32 H'0000 0000 H'0000 0000 Held Held Iclk
CCN TRA H'FF00 0020 H' 1F00 0020 32 Undefined Undefi ned Held Held Iclk
CCN EXPEVT H'FF00 0024 H'1F00 0024 32 H' 0000 0000 H'0000 0020 Held Held Iclk
CCN INTEVT H'FF00 0028 H'1F00 0028 32 Undefined Undefined Held Held Iclk
CCN PTEA H'FF00 0034 H'1F00 0034 32 Undefined Undefined Held Held Iclk
CCN QACR0 H' FF00 0038 H'1F00 0038 32 Undefined Undefi ned Held Held Iclk
CCN QACR1 H' FF00 003C H'1F00 003C 32 Undefined Undefi ned Held Held Iclk
UBC BARA H'FF20 0000 H'1F20 0000 32 Undefined Held Held Held Iclk
UBC BAMRA H'FF20 0004 H'1F20 0004 8 Undefined Held Held Hel d Iclk
UBC BBRA H'FF20 0008 H'1F20 0008 16 H'0000 Held Held Held Iclk
UBC BARB H'FF20 000C H'1F20 000C 32 Undefined Held Held Held Iclk
UBC BAMRB H'FF20 0010 H'1F20 0010 8 Undefined Held Held Hel d Iclk
UBC BBRB H'FF20 0014 H'1F20 0014 16 H'0000 Held Held Held Iclk
UBC BDRB H'FF20 0018 H' 1F20 0018 32 Undefined Hel d Held Hel d Iclk
UBC BDMRB H'FF20 001C H'1F20 001C 32 Undefi ned Held Held Held Iclk
UBC BRCR H'FF20 0020 H'1F20 0020 16 H'0000*2Held Held Held Iclk
BSC BCR1 H' FF80 0000 H'1F80 0000 32 H'0000 0000*2Held Held Held Bclk
BSC BCR2 H'FF80 0004 H'1F80 0004 16 H'3FFC*2Held Held Held Bclk
BSC BCR3*5H'FF80 0050 H'1F80 0050 16 H'0000 Held Held Held Bclk
BSC BCR4*5H'FE0A00F0 H'1E0A00F0 32 H'0000 0000 Held Held Held Bclk
BSC WCR1 H'FF80 0008 H' 1F80 0008 32 H'7777 7777 Held Held Held Bclk
BSC WCR2 H'FF80 000C H'1F80 000C 32 H'FFFE EFFF Held Held Held Bclk
Rev. 6.0, 07/02, page 938 of 986
Table A.1 Address List (cont)
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
BSC WCR3 H'FF80 0010 H' 1F80 0010 32 H'0777 7777 Held Held Held Bclk
BSC MCR H'FF80 0014 H'1F80 0014 32 H'0000 0000 Held Held Held Bclk
BSC PCR H'FF80 0018 H'1F80 0018 16 H' 0000 Held Held Held Bclk
BSC RTCSR H'FF80 001C H'1F80 001C 16 H' 0000 Held Held Held Bclk
BSC RTCNT H'FF80 0020 H'1F80 0020 16 H'0000 Held Held Held Bclk
BSC RTCOR H' FF80 0024 H'1F80 0024 16 H' 0000 Held Held Held Bclk
BSC RFCR H'FF80 0028 H'1F80 0028 16 H'0000 Held Held Held Bclk
BSC PCTRA H'FF80 002C H'1F80 002C 32 H'0000 0000 Hel d Held Held Bclk
BSC PDTRA H'FF80 0030 H'1F80 0030 16 Undefined Held Held Held Bclk
BSC PCTRB H' FF80 0040 H'1F80 0040 32 H'0000 0000 Held Held Held Bclk
BSC PDTRB H' FF80 0044 H'1F80 0044 16 Undefined Held Held Held Bclk
BSC GPIOIC H'FF80 0048 H'1F80 0048 16 H'0000 0000 Held Held Held Bclk
BSC SDMR2 H'FF90 xxxx H'1F90 xxxx 8 Write-only Bclk
BSC SDMR3 H'FF94 xxxx H'1F94 xxxx 8 Bclk
DMAC SAR0 H'FFA 0 0000 H'1FA 0 0000 32 Undefined Undefi ned Held Held Bclk
DMAC DAR0 H'FFA0 0004 H'1FA0 0004 32 Undefined Undefined Held Held Bclk
DMAC DMATCR0 H' FFA 0 0008 H'1FA 0 0008 32 Undefined Undef i ned Held Held Bclk
DMAC CHCR0 H'FFA0 000C H'1FA0 000C 32 H'0000 0000 H' 0000 0000 Held Hel d Bclk
DMAC SAR1 H'FFA 0 0010 H'1FA 0 0010 32 Undefined Undefi ned Held Held Bclk
DMAC DAR1 H'FFA0 0014 H'1FA0 0014 32 Undefined Undefined Held Held Bclk
DMAC DMATCR1 H' FFA 0 0018 H'1FA 0 0018 32 Undefined Undef i ned Held Held Bclk
DMAC CHCR1 H'FFA0 001C H'1FA0 001C 32 H'0000 0000 H' 0000 0000 Held Hel d Bclk
DMAC SAR2 H'FFA 0 0020 H'1FA 0 0020 32 Undefined Undefi ned Held Held Bclk
DMAC DAR2 H'FFA0 0024 H'1FA0 0024 32 Undefined Undefined Held Held Bclk
DMAC DMATCR2 H' FFA 0 0028 H'1FA 0 0028 32 Undefined Undef i ned Held Held Bclk
DMAC CHCR2 H'FFA0 002C H'1FA0 002C 32 H'0000 0000 H' 0000 0000 Held Hel d Bclk
DMAC SAR3 H'FFA 0 0030 H'1FA 0 0030 32 Undefined Undefi ned Held Held Bclk
DMAC DAR3 H'FFA0 0034 H'1FA0 0034 32 Undefined Undefined Held Held Bclk
DMAC DMATCR3 H' FFA 0 0038 H'1FA 0 0038 32 Undefined Undef i ned Held Held Bclk
DMAC CHCR3 H'FFA0 003C H'1FA0 003C 32 H'0000 0000 H' 0000 0000 Held Hel d Bclk
DMAC DMAOR H' FFA 0 0040 H'1FA 0 0040 32 H'0000 0000 H'0000 0000 Held Held Bclk
Rev. 6.0, 07/02, page 939 of 986
Table A.1 Address List (cont)
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
DMAC SAR4*5H' FFA 0 0050 H'1FA 0 0050 32 Undefined Undefi ned Held Held Bclk
DMAC DAR4*5H'FFA0 0054 H'1FA0 0054 32 Undefined Undefi ned Held Held Bclk
DMAC DMATCR4*5H'FFA0 0058 H'1FA0 0058 32 Undefined Undefined Held Held Bclk
DMAC CHCR4*5H'FFA 0 005C H'1FA0 005C 32 H'0000 0000 H'0000 0000 Held Hel d Bclk
DMAC SAR5*5H' FFA 0 0060 H'1FA 0 0060 32 Undefined Undefi ned Held Held Bclk
DMAC DAR5*5H'FFA0 0064 H'1FA0 0064 32 Undefined Undefi ned Held Held Bclk
DMAC DMATCR5*5H'FFA0 0068 H'1FA0 0068 32 Undefined Undefined Held Held Bclk
DMAC CHCR5*5H'FFA 0 006C H'1FA0 006C 32 H'0000 0000 H'0000 0000 Held Hel d Bclk
DMAC SAR6*5H' FFA 0 0070 H'1FA 0 0070 32 Undefined Undefi ned Held Held Bclk
DMAC DAR6*5H'FFA0 0074 H'1FA0 0074 32 Undefined Undefi ned Held Held Bclk
DMAC DMATCR6*5H'FFA0 0078 H'1FA0 0078 32 Undefined Undefined Held Held Bclk
DMAC CHCR6*5H'FFA 0 007C H'1FA0 007C 32 H'0000 0000 H'0000 0000 Held Hel d Bclk
DMAC SAR7*5H' FFA 0 0080 H'1FA 0 0080 32 Undefined Undefi ned Held Held Bclk
DMAC DAR7*5H'FFA0 0084 H'1FA0 0084 32 Undefined Undefi ned Held Held Bclk
DMAC DMATCR7*5H'FFA0 0088 H'1FA0 0088 32 Undefined Undefined Held Held Bclk
DMAC CHCR7*5H'FFA 0 008C H'1FA0 008C 32 H'0000 0000 H'0000 0000 Held Hel d Bclk
CPG FRQCR H'FFC0 0000 H'1FC0 0000 16 *2Held Held Held Pclk
CPG*6STB CR H'FFC0 0004 H'1FC0 0004 8 H'00 Held Held Held Pclk
CPG*6WTCNT H'FFC0 0008 H'1FC0 0008 8/16 *3H'00 Held Held Held Pclk
CPG*6WTCSR H'FFC0 000C H'1FC0 000C 8/16*3H'00 Held Held Held Pclk
CPG*6STB CR2 H'FFC0 0010 H'1FC0 0010 8 H'00 Held Held Held Pclk
RTC R64CNT H' FFC8 0000 H'1FC8 0000 8 Held Held Held Held Pclk
RTC RSECCNT H'FFC8 0004 H'1FC8 0004 8 Held Hel d Held Held Pclk
RTC RMINCNT H'FFC8 0008 H'1FC8 0008 8 Held Hel d Held Held Pclk
RTC RHRCNT H'F F C8 000C H'1FC8 000C 8 Held Held Held Held Pclk
RTC RWKCNT H'FFC8 0010 H'1FC8 0010 8 Hel d Held Held Hel d Pclk
RTC RDAYCNT H'FFC8 0014 H'1FC8 0014 8 Held Held Held Held Pclk
RTC RMONCNTH'FF C8 0018 H'1FC8 0018 8 Held Held Held Hel d Pclk
RTC RYRCNT H'F FC8 001C H'1FC8 001C 16 Held Held Held Held Pclk
RTC RSECAR H'FFC8 0020 H'1FC8 0020 8 Held *2Held Held Held Pclk
RTC RMINAR H'FFC8 0024 H'1FC8 0024 8 Held *2Held Held Held Pclk
Rev. 6.0, 07/02, page 940 of 986
Table A.1 Address List (cont)
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
RTC RHRAR H'FFC8 0028 H'1FC8 0028 8 Held *2Held Held Held Pclk
RTC RWKAR H'FFC8 002C H'1FC8 002C 8 Held *2Held Held Held Pclk
RTC RDAYAR H'FFC8 0030 H'1FC8 0030 8 Held *2Held Held Held Pclk
RTC RMONAR H'FFC8 0034 H'1FC8 0034 8 Held *2Held Held Held Pclk
RTC RCR1 H'FFC8 0038 H'1FC8 0038 8 H'00*2H'00*2Held Held Pclk
RTC RCR2 H'FFC8 003C H'1FC8 003C 8 H'09*2H'00*2Held Held Pclk
RTC RCR3*5H'FFC8 0050 H'1FC8 0050 8 H'00 Held Held Held Pclk
RTC RYRAR*5H'FFC8 0054 H'1FC8 0054 16 Undefined Hel d Held Held Pclk
INTC ICR H'FFD0 0000 H'1FD0 0000 16 H' 0000*2H'0000*2Held Held Pclk
INTC IPRA H'FFD0 0004 H'1FD0 0004 16 H'0000 H'0000 Held Held Pclk
INTC IPRB H'FFD0 0008 H'1FD0 0008 16 H'0000 H'0000 Held Held Pclk
INTC IPRC H'FFD0 000C H'1FD0 000C 16 H'0000 H'0000 Held Held Pclk
INTC IPRD*4H'FFD00010 H'1F000010 16 H'DA74 H'DA74 Held Held Pclk
INTC INTPRI00
*5H'FE08 0000 H' 1E 08 0000 32 H' 0000 0000 Held Held Held Pclk
INTC INTREQ00
*5H'FE08 0020 H' 1E 08 0020 32 H' 0000 0000 Held Held Held Pclk
INTC INTMSK00
*5H'FE08 0040 H' 1E 08 0040 32 H' 0000 0300 Held Held Held Pclk
INTC INTMSKCL
R00*5H'FE08 0060 H' 1E 08 0060 32 Write-only Pclk
CPG*6CLKSTP00
*5H'FE0A 0000 H'1E0A 0000 32 H'0000 0000 Held Hel d Held Pclk
CPG*6CLKSTPC
LR00*5H'FE0A 0008 H'1E0A 0008 32 Write-only Pclk
TMU TSTR2*5H'FE10 0004 H'1E10 0004 8 H'00 Held Held Held Pclk
TMU TCOR3*5H'FE10 0008 H'1E10 0008 32 H'FFFF FFFF Held Held Held Pclk
TMU TCNT3*5H'FE 10 000C H'1E10 000C 32 H'FFFF FFFF Held Held Held Pclk
TMU TCR3*5H'FE10 0010 H'1E10 0010 16 H' 0000 Held Held Held Pclk
TMU TCOR4*5H'FE10 0014 H'1E10 0014 32 H'FFFF FFFF Held Held Held Pclk
TMU TCNT4*5H'FE 10 0018 H'1E10 0018 32 H'FFFF FFFF Held Held Held Pclk
TMU TCR4*5H'FE10 001C H'1E10 001C 16 H'0000 Held Held Hel d Pclk
Rev. 6.0, 07/02, page 941 of 986
Table A.1 Address List (cont)
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
TMU TOCR H'FFD8 0000 H'1FD8 0000 8 H'00 H'00 Held Held Pclk
TMU TSTR H'FFD8 0004 H'1FD8 0004 8 H'00 H'00 Held H'00*2Pclk
TMU TCOR0 H'FFD8 0008 H'1FD8 0008 32 H'FFFF FFFF H'FFFF FFFFHeld Held P clk
TMU TCNT0 H'FFD8 000C H'1FD8 000C 32 H'FFFF FFFF H'FFFF FFFFHeld Held Pclk
TMU TCR0 H'FFD8 0010 H'1FD8 0010 16 H'0000 H'0000 Held Hel d Pclk
TMU TCOR1 H'FFD8 0014 H'1FD8 0014 32 H'FFFF FFFF H'FFFF FFFFHeld Held P clk
TMU TCNT1 H'FFD8 0018 H'1FD8 0018 32 H'FFFF FFFF H'FFFF FFFFHeld Held Pclk
TMU TCR1 H'FFD8 001C H'1FD8 001C 16 H' 0000 H'0000 Hel d Held Pclk
TMU TCOR2 H'FFD8 0020 H'1FD8 0020 32 H'FFFF FFFF H'FFFF FFFFHeld Held P clk
TMU TCNT2 H'FFD8 0024 H'1FD8 0024 32 H'FFFF FFFF H'FFFF FFFFHeld Held Pclk
TMU TCR2 H'FFD8 0028 H'1FD8 0028 16 H'0000 H'0000 Held Held Pclk
TMU TCPR2 H'FFD8 002C H'1FD8 002C 32 Held Held Held Hel d Pclk
SCI S CS MR1 H'FFE0 0000 H'1FE 0 0000 8 H'00 H'00 Held H'00 Pc lk
SCI S CBRR1 H'FFE 0 0004 H'1FE0 0004 8 H' F F H'FF Held H'FF Pclk
SCI S CSCR1 H'FFE 0 0008 H'1FE0 0008 8 H' 00 H'00 Hel d H'00 Pc lk
SCI S CTDR1 H'FFE0 000C H'1FE0 000C 8 H'FF H'FF Held H' FF Pclk
SCI S CS SR1 H'FFE0 0010 H'1FE0 0010 8 H'84 H'84 Held H'84 Pclk
SCI SCRDR1 H'FFE 0 0014 H'1F E 0 0014 8 H'00 H'00 Held H'00 Pc lk
SCI SCSCMR1 H'FFE0 0018 H'1FE0 0018 8 H'00 H'00 Held H'00 Pclk
SCI S CSPTR1 H'FFE0 001C H'1FE 0 001C 8 H'00*2H'00*2Held H'00*2Pclk
SCIF SCSM R2 H'FFE8 0000 H'1FE8 0000 16 H'0000 H'0000 Held Held Pclk
SCIF SCBRR2 H'FFE8 0004 H' 1FE 8 0004 8 H' F F H'FF Held Held Pclk
SCIF SCSCR2 H'FFE8 0008 H'1FE8 0008 16 H'0000 H'0000 Held Hel d Pclk
SCIF SCFTDR2 H'FFE 8 000C H'1FE8 000C 8 Undefined Undef i ned Held Held Pclk
SCIF SCFSR2 H'FFE8 0010 H'1FE8 0010 16 H'0060 H'0060 Held Hel d Pclk
SCIF SCFRDR2 H'FF E8 0014 H'1FE8 0014 8 Undefined Undef ined Held Held Pclk
SCIF SCFCR2 H'FFE8 0018 H'1FE8 0018 16 H'0000 H'0000 Held Hel d Pclk
SCIF SCFDR2 H'FFE8 001C H'1FE8 001C 16 H'0000 H'0000 Held Held Pclk
SCIF SCSPTR2 H'FFE8 0020 H'1FE8 0020 16 H'0000*2H'0000*2Held Held Pclk
SCIF SCLSR2 H'FFE8 0024 H'1FE 8 0024 16 H'0000 H'0000 Held Held Pclk
Rev. 6.0, 07/02, page 942 of 986
Table A.1 Address List (cont)
Module Register P4 Address Area 7
Address*1Size Power-On
Reset Manual
Reset Sleep Standby
Synchro-
nization
Clock
H-UDI SDIR H'FFF0 0000 H'1FF0 0000 16 H'FFFF*2Held Held Held Pclk
H-UDI SDDR H'FFF0 0008 H'1FF0 0008 32 Undefined Held Held Held Pclk
H-UDI SDINT*5H'FFF0 0014 H'1FF0 0014 16 H'0000 Held Held Held Pclk
Notes: *1 With control registers, the above addresses in the physical page number field can be
accessed by means of a TLB setting. When these addresses are referenced directly
without using the TLB, operations are limited.
*2 Includes undefined bits. See the descriptions of the individual modules.
*3 Use word-size access when writing. Perform the write with the upper byte set to H'5A or
H'A5, respectively. Byte- and longword-size writes cannot be used.
Use byte-size access when reading.
*4 SH7750S, SH7750R only
*5 SH7750R only
*6 Includes power-down states
Rev. 6.0, 07/02, page 943 of 986
Appendix B Package Dimensions
0.635 1.27
0.635
1.27
27.0
27.0
0.20 (× 4)
A
B
2.1
0.6 ± 0.1
0.35 C
C
0.20 C
Details of the part A
256 × φ0.75 ± 0.15
0.30 C BA
M
0.10 C
M
Y
V
T
P
M
K
H
F
D
B
W
U
R
N
L
J
G
E
C
A
A135791113151719
2468101214161820
Hitachi Code
JEDEC
JEITA
Mass
(reference value)
BP-256
Conforms
3.0 g
Unit: mm
Figure B.1 Package Dimensions (256-Pin BGA) (SH7750 and SH7750S)
Rev. 6.0, 07/02, page 944 of 986
0.635 1.27
0.635
1.27
27.0
27.0
0.20 (× 4)
A
B
2.1
0.6 ± 0.1
0.35 C
C
0.20 C
Details of the part A
256 × φ0.75 ± 0.15
0.30 C BA
M
0.10 C
M
Y
V
T
P
M
K
H
F
D
B
W
U
R
N
L
J
G
E
C
A
A135791113151719
2468101214161820
Hitachi Code
JEDEC
JEITA
Mass
(reference value)
BP-256A
Conforms
3.0 g
Unit: mm
Figure B.2 Package Dimensions (256-Pin BGA) (SH7750R Only)
Rev. 6.0, 07/02, page 945 of 986
Hitachi Code
JEDEC
JEITA
Mass
(reference value)
FP-208E
Conforms
5.3 g
*Dimension including the plating thickness
Base material dimension
30.6 ± 0.2
30.6 ± 0.2
0.5
3.56 Max
0˚ – 8˚
*0.17 ± 0.05
156 105
104
52
1
157
208 53
*0.22 ± 0.05 0.10 M
0.10
3.20
0.5 ± 0.1
1.3
28
0.15
+0.10
–0.15
1.25
0.20 ± 0.04
0.15 ± 0.04
Unit: mm
Figure B.3 Package Dimensions (208-Pin QFP)
Rev. 6.0, 07/02, page 946 of 986
0.80
1.10
1.10
15.00
15.00
0.15
4 ×
0.2 C C
0.10 C
0.80
0.40 ± 0.05
1.40Max
B
A
D
C
F
E
H
G
K
J
M
L
P
N
T
R
U
17 15
16 13
14 11
12 9
10 7
85
63
41
2
Hitachi Code
JEDEC
JEITA
Mass
(reference value)
BP-264
0.6 g
0.20 C A
0.20 C B
B
C
φ0.08 AB
264 × φ0.50 ± 0.05
M
A
Unit: mm
Figure B.4 Package Dimensions (264-Pin CSP)
Rev. 6.0, 07/02, page 947 of 986
Appendix C Mode Pin Settings
The MD8–MD0 pin values are input in the event of a power-on reset via the RESET or
SCK2/MRESET pin.
(1) Clock Modes
Clock Operating Modes (SH7750, SH7750S)
External
Pin Combination Frequency
(vs. Input Clock)
Clock
Operating
Mode MD2 MD1 MD0
1/2
Frequency
Divider PLL1 PLL2 CPU
Clock Bus
Clock
Peripheral
Module
Clock FRQCR
Initia l Va l u e
0 0 Off On On 6 3/2 3/2 H'0E1A
1
0
1 Off On On 6 1 1 H'0E23
2 0 On On On 3 1 1/2 H'0E13
3
0
1
1 Off On On 6 2 1 H'0E13
4 0 On On On 3 3/2 3/4 H'0E0A
5
10
1 Off On On 6 3 3/2 H'0E0A
Notes: 1. Turning on/off of the ½ frequency divider is solely determined by the clock operating
mode.
2. For the ranges of input clock frequency, see the descriptions of the EXTAL clock input
frequency (fEX) and CKIO clock output (f OP) in section 22.3.1, Clock and Control Signal
Timing.
Clock Operating Modes (SH7750R)
External
Pin Combination Frequency
(vs. Input Clock)
Clock
Operating
Mode MD2 MD1 MD0 PLL1 PLL2 CPU
Clock Bus
Clock Peripheral
Module Clock FRQCR
Initia l Va l u e
00On (×12) On 12 3 3 H'0E1A
1
0
1On (×12) On 12 3/2 3/2 H'0E2C
20On (×6) On 6 2 1 H'0E13
3
0
1
1On (×12) On 12 4 2 H'0E13
40On (×6) On 6 3 3/2 H'0E0A
5
0
1On (×12) On 12 6 3 H'0E0A
6
1
10Off (×6) Off 1 1/2 1/2 H'0808
Notes: 1. The multiplication factor of PLL 1 is solely determined by the clock operating mode.
2. For the ranges of input clock frequency, see the descriptions of the EXTAL clock input
frequency (fEX) and CKIO clock output (f OP) in section 22.3.1, Clock and Control Signal
Timing.
Rev. 6.0, 07/02, page 948 of 986
(2) Area 0 Bus Width
Pin Value
MD6 MD4 MD3 Bus Width Memory Type
0 0 0 64 bits MPX interface
1 8 bits Reserved (setting prohibited)
1 0 16 bits Reserved
1 32 bits MPX interface
1 0 0 64 bits SRAM interface
1 8 bits SRAM interface
1 0 16 bits SRAM interface
1 32 bits SRAM interface
(3) Endian
Pin Value
MD5 Endian
0 Big endian
1 Little endian
(4) Master/Slave
Pin Value
MD7 Master/Slave
0Slave
1Master
(5) Cloc k Input
Pin Value
MD8 Clock Input
0 External input clock
1 Crystal resonator
Rev. 6.0, 07/02, page 949 of 986
Appendix D CKIO2ENB Pin Configuration
rd_pullup_control
rd_dt_
rd_hiz_control
rdwr_pullup_control
rdwr_dt_
rdwr_hiz_control
Bus clock ckio_hiz_control
VSSQ
CKIO2
CKIO
RD/
RD/
/ /
VDDQ
VDDQ
VDDQ
VDDQ
VDDQ
SH7750 Series
PLL2
Figure D.1 CKIO2ENB
CKIO2ENBCKIO2ENB
CKIO2ENB Pin Configurat ion
Rev. 6.0, 07/02, page 950 of 986
CKIO2ENB
CKIO2ENBCKIO2ENB
CKIO2ENB Description
0RD2, RD/WR2, and CKIO2 have the same pin states as RD, RD/WR, and
CKIO, respectively
1RD2, RD/WR2, and CKIO2 are in the high-impedance state
Note: CKIO is fed back to PLL2 to coordinate the external clock and internal clock phases.
However, CKIO2 is not fed back.
Rev. 6.0, 07/02, page 951 of 986
Appendix E Pin Functions
E.1 Pin States
Table E.1 Pin States in Reset, Power-Down State, and Bus-Released State
Reset
(Power-On) Reset
(Manual)
Signal Name I/O Master Slave Master Slave Standby Bus
Released
Hard-
ware
Standby Notes
D0D7 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
D8D15 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
D16D23 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
D24D31 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
D32D51 I/O Z*15 Z*15 ZK *15 ZK *15 Z*15KZ
*15K Z Output
state held
when used
as port
D52D55 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
D56D63 I/O Z*15 Z*15 Z*15 Z*15 Z*15 Z*15 Z
A0, A1, A18A25 O Z*16 Z*16 Z*14O*17 Z*14 Z*14O*7Z*14 Z
A2A17 O Z*16 Z*16 Z*14O*9Z*14 Z*14O*7Z*14 Z
RESET III III I I
BACK/BSREQ OHH HHH O Z
BREQ/BSACK II
*16 I*16 I*13 I*13 I*13 I*13 I
BS OH Z
*16 HZ
*14 Z*14H*7Z*14 Z
CKE O H H O*6O*6LO
*6Z
CS6CS0 OH Z
*16 HZ
*14 Z*14H*7Z*14 Z
RAS OH Z
*16 O*6Z*14 Z*14O*5Z*14O*5Z
RD/CASS OH Z
*16 O*6Z*14 Z*14O*5Z*14O*5Z
RD/WR OH Z
*16 HZ
*14 Z*14H*7Z*14 Z
RDY IPI
*16 PI*16 I*13 I*13 I*13 I*13 I
WE7/CAS7/DQM7 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE6/CAS6/DQM6 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE5/CAS5/DQM5 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE4/CAS4/DQM4 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE3/CAS3/DQM3 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
Rev. 6.0, 07/02, page 952 of 986
Table E.1 Pin States in Reset, Power-Down State, and Bus-Released State (cont)
Reset
(Power-On) Reset
(Manual)
Signal Name I/O Master Slave Master Slave Standby Bus
Released
Hard-
ware
Standby Notes
WE2/CAS2/DQM2 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE1/CAS1/DQM1 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
WE0/CAS0/DQM0 O H Z*16 O*6Z*14 Z*14O*5Z*14O*5Z
DACK1DACK0OLL LLZ
*12O*8O*12 ZDMAC
MD7/TXD I/O I*16 I*16 Z*12 Z*12 Z*12O*8Z*12OZ SCI
MD6/IOIS16 II
*16 I*16 I*13 I*13 I*13 I*13 I PCMCIA
(I/O)
MD5/RAS2 I/O*1I*16 I*16 Z*13O*6Z*13 Z*13O*5Z*13O*5Z DRAM2
MD4/CE2B I/O*2I*16 I*16 Z*13HZ
*13 Z*13H*7Z*13 Z PCMCIA
MD3/CE2A I/O*3I*16 I*16 Z*13HZ
*13 Z*13H*7Z*13 Z PCMCIA
CKIO O O O ZO*11 ZO*11 ZO*11 ZO*11 Z
STATUS1
STATUS0 OOO OOO O Z
*18
IRL3IRL0 IPI
*16 PI*16 I*13 I*13 I*13 I*13 IINTC
NMI I PI*16 PI*16 I*13 I*13 I*13 I*13 IINTC
DREQ1DREQ0 IPI
*16 PI*16 I*12 I*12 I*12 I*12 IDMAC
DRAK1DRAK0 O L L L L Z*12O*8O*12 ZDMAC
MD0/SCK I/O I*16 I*16 I*12 I*12 I*12Z*12O*8I*12OZ SCI
RXD I PI*16 PI*16 I*12 I*12 I*12 I*12 ISCI
SCK2/MRESET IPI
*16 PI*16 I*12 I*12 I*12 I*12 ISCIF
MD1/TXD2 I/O I*16 I*16 Z*12 Z*12 Z*12O*8Z*12OZ SCIF
MD2/RXD2 I I*16 I*16 I*12 I*12 I*12 I*12 ISCIF
CTS2 I/O PI*16 PI*16 I*12 I*12 I*12Z*12O*8I*12OZ SCIF
MD8/RTS2 I/O I*16 I*16 I*12 I*12 I*12Z*12O*8I*12OZ SCIF
TCLK I/O PI*16 PI*16 I*12 I*12 I*12OI
*12OZ TMU
TDO OOO OOO O Z H-UDI
TMS I PI*16 PI*16 I*16 I*16 I*16 I*16 I H-UDI
TCK I PI*16 PI*16 I*16 I*16 I*16 I*16 I H-UDI
TDI I PI*16 PI*16 I*16 I*16 I*16 I*16 I H-UDI
TRST IPI
*16 PI*16 I*16 I*16 I*16 I*16 I H-UDI
CKIO2*10 OO O ZO
*11 ZO*11 ZO*11 ZO*11 Z
Rev. 6.0, 07/02, page 953 of 986
Table E.1 Pin States in Reset, Power-Down State, and Bus-Released State (cont)
Reset
(Power-On) Reset
(Manual)
Signal Name I/O Master Slave Master Slave Standby Bus
Released
Hard-
ware
Standby Notes
RD2*10 OH Z
*16 O*6Z*14 Z*14O*5Z*14O*5Z
RD/WR2*10 OH Z
*16 HZ
*14 Z*14H*7Z*14 Z
CKIO2ENB III III I I
CA III III I I
Notes: I: Input
O: Output
H: High-level output
L: Low-level outp ut
Z: High-impedance
K: Output state held
*1 Output when area 2 DRAM is used.
*2 Output when area 5 PCMCIA is used.
*3 Output when area 6 PCMCIA is used.
*4 Depends on refresh and DMAC operations .
*5 Z (I) or O (refresh), depending on register setting (BCR1.HIZCNT).
*6 Depends on refresh operation.
*7 Z (I) or H (state held), depending on register setting (BCR1.HIZMEM).
*8 Z or O, depending on register setting (STBCR.PHZ).
*9 Output when refreshing is set.
*10 Operation in respective state when CKIO2ENB = 0; Z when CKIO2ENB = 1.
*11 Z or O, depending on register setting (FRQCR.CKOEN).
*12 Pulled up or not pulled up, depending on register setting (STBCR.PPU).
*13 Pulled up or not pulled up, depending on register setting (BCR1.IPUP).
*14 Pulled up or not pulled up, depending on register setting (BCR1.OPUP).
*15 Not pulled up.
*16 Pulled up with a built-in pull-up resistance. However, cannot be used for MD pin pull-
up in a power-on reset. Pull up or down outside the SH-4.
*17 Output when refreshing is set (SH7750R only).
*18 Z or O, depending on register setting (STBCR2.STHZ) (SH7750R only).
Rev. 6.0, 07/02, page 954 of 986
E.2 Handling of Unused Pins
When RTC is not used
EXTAL2: Pull up to 3.3 V
XTAL2: Leave unconnected
VDD-RTC: Power supply (3.3 V)
VSS-RTC: Power supply (0 V)
When PLL1 is not used
VDD-PLL1: Power supply (3 .3 V)
VSS-PLL1: Power supply (0 V)
When PLL2 is not used
VDD-PLL2: Power supply (3 .3 V)
VSS-PLL2: Power supply (0 V)
When on-chip crystal oscillator is not used
XTAL: Leave unconnected
VDD-CPG: Power supply (3.3 V)
VSS-CPG: Power supply (0 V)
Rev. 6.0, 07/02, page 955 of 986
Appendix F Synchronous DRAM Address
Multiplexing Tables
(1) BUS 64 (16M: 512k × 16b × 2) × 4 *
AMX 0 A MXEXT 0 16M, column-a ddr-8bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14 A22 A22 A11 BANK selects bank address
A13 A21 H/L A10 Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 956 of 986
(2) BUS 32 (16M: 512k × 16b × 2) × 2 *
AMX 0 A MXEXT 0 16M, column-a ddr-8bit 4MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14
A13 A21 A21 A11 BANK selects bank address
A12 A20 H/L A10 Address precharge setting
A11 A19 0 A9
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 957 of 986
(3) BUS 64 (16M: 512k × 16b × 2) × 4 *
AMX 0 A MXEXT 1 16M, column-a ddr-8bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14 A21 A21 A11 BANK selects bank address
A13 A22 H/L A10 Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 958 of 986
(4) BUS 32 (16M: 512k × 16b × 2) × 2 *
AMX 0 A MXEXT 1 16M, column-a ddr-8bit 4MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14
A13 A20 A20 A11 BANK selects bank address
A12 A21 H/L A10 Address precharge setting
A11 A19 0 A9
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 959 of 986
(5) BUS 64 (16M: 1M × 8b × 2) × 8 *
AMX 1 AMXEXT 0 16M, column-addr-9bit 16MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14 A23 A23 A11 BANK selects bank address
A13 A22 H/L A10 Address precharge setting
A12 A21 0 A9
A11 A20 A11 A8
A10 A19 A10 A7
A9 A18 A9 A6
A8 A17 A8 A5
A7 A16 A7 A4
A6 A15 A6 A3
A5 A14 A5 A2
A4 A13 A4 A1
A3 A12 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 960 of 986
(6) BUS 32 (16M: 1M × 8b × 2) × 4 *
AMX 1 A MXEXT 0 16M, column-a ddr-9bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14
A13 A22 A22 A11 BANK selects bank address
A12 A21 H/L A10 Address precharge setting
A11 A20 0 A9
A10 A19 A10 A8
A9 A18 A9 A7
A8 A17 A8 A6
A7 A16 A7 A5
A6 A15 A6 A4
A5 A14 A5 A3
A4 A13 A4 A2
A3 A12 A3 A1
A2 A11 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 961 of 986
(7) BUS 64 (16M: 1M × 8b × 2) × 8 *
AMX 1 AMXEXT 1 16M, column-addr-9bit 16MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14 A22 A22 A11 BANK selects bank address
A13 A23 H/L A10 Address precharge setting
A12 A21 0 A9
A11 A20 A11 A8
A10 A19 A10 A7
A9 A18 A9 A6
A8 A17 A8 A5
A7 A16 A7 A4
A6 A15 A6 A3
A5 A14 A5 A2
A4 A13 A4 A1
A3 A12 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 962 of 986
(8) BUS 32 (16M: 1M × 8b × 2) × 4 *
AMX 1 A MXEXT 1 16M, column-addr-9bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A14
A13 A21 A21 A11 BANK selects bank address
A12 A22 H/L A10 Address precharge setting
A11 A20 0 A9
A10 A19 A10 A8
A9 A18 A9 A7
A8 A17 A8 A6
A7 A16 A7 A5
A6 A15 A6 A4
A5 A14 A5 A3
A4 A13 A4 A2
A3 A12 A3 A1
A2 A11 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 963 of 986
(9) BUS 64 (64M: 1M × 16b × 4) × 4 *
AMX 2 64M, column-addr-8bit 32MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16 A24 A24 A13
A15 A23 A23 A12
BANK selects bank address
A14 A22 0 A11
A13 A21 H/L A10
Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 964 of 986
(10) BUS 32 (64M: 1M × 16b × 4) × 2 *
AMX 2 64M, column-addr-8bit 16MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16
A15 A23 A23 A13
A14 A22 A22 A12
BANK selects bank address
A13 A21 0 A11
A12 A20 H/L A10
Address precharge setting
A11 A19 0 A9
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 965 of 986
(11) BUS 64 (64M: 2M × 8b × 4) × 8 *
(128M: 2M × 16b × 4) × 4
AMX 3 64M, column-addr-9bit 64MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16 A25 A25 A13
A15 A24 A24 A12
BANK selects bank address
A14 A23 0 A11
A13 A22 H/L A10
Address precharge setting
A12 A21 0 A9
A11 A20 A11 A8
A10 A19 A10 A7
A9 A18 A9 A6
A8 A17 A8 A5
A7 A16 A7 A4
A6 A15 A6 A3
A5 A14 A5 A2
A4 A13 A4 A1
A3 A12 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 966 of 986
(12) BUS 32 (64M: 2M × 8b × 4) × 4 *
(128M: 2M × 16b × 4) × 2
AMX 3 64M, column-addr-9bit 32MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16
A15 A24 A24 A13
A14 A23 A23 A12
BANK selects bank address
A13 A22 0 A11
A12 A21 H/L A10
Address precharge setting
A11 A20 0 A9
A10 A19 A10 A8
A9 A18 A9 A7
A8 A17 A8 A6
A7 A16 A7 A5
A6 A15 A6 A4
A5 A14 A5 A3
A4 A13 A4 A2
A3 A12 A3 A1
A2 A11 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 967 of 986
(13) BUS 64 (64M: 512k × 32b × 4) × 2 *
AMX 4 64M, column-addr-8bit 16MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A15 A23 A23 A12
A14 A22 A22 A11
BANK selects bank address
A13 A21 H/L A10 Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 968 of 986
(14) BUS 32 (64M: 512k × 32b × 4) × 1 *
AMX 4 64M, column-addr- 8bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A15
A14 A22 A22 A12
A13 A21 A21 A11
BANK selects bank address
A12 A20 H/L A10 Address precharge setting
A11 A19 0 A9
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 969 of 986
(15) BUS 64 (64M: 1M × 32b × 2) × 2 *
AMX 5 64M, column-addr-8bit 16MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A15 A23 A23 A12 BANK selects bank address
A14 A22 0 A11
A13 A21 H/L A10 Address precharge setting
A12 A20 0 A9
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 970 of 986
(16) BUS 32 (64M: 1M × 32b × 2) × 1 *
AMX 5 64M, column-addr- 8bit 8MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A15
A14 A22 A22 A12 BANK selects bank address
A13 A21 0 A11
A12 A20 H/L A10 Address precharge setting
A11 A19 0 A9
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 971 of 986
(17) BUS 64 (128M: 4M × 8b × 4) × 8 (SH7750R only)
AMX 6 128M, column-addr-10bit 128MB
AMXEXT0
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16 A26 A26 A13
A15 A25 A25 A12
BANK selects bank address
A14 A24 0 A11
A13 A23 H/L A10 Address precharge setting
A12 A22 A12 A9
A11 A21 A11 A8
A10 A20 A10 A7
A9 A19 A9 A6
A8 A18 A8 A5
A7 A17 A7 A4
A6 A16 A6 A3
A5 A15 A5 A2
A4 A14 A4 A1
A3 A13 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 972 of 986
(18) BUS 64 (256M: 4M × 16b × 4) × 4 (SH7750R only) *
AMX 6 256M, column-addr-9bit 128MB
AMXEXT1
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A17 A26 A26 A14
A16 A25 A25 A13
BANK selects bank address
A15 A24 0 A12
A14 A23 0 A11
A13 A22 H/L A10 Address precharge setting
A12 A21 0 A9
A11 A20 A11 A8
A10 A19 A10 A7
A9 A18 A9 A6
A8 A17 A8 A5
A7 A16 A7 A4
A6 A15 A6 A3
A5 A14 A5 A2
A4 A13 A4 A1
A3 A12 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 973 of 986
(19) BUS 32 (128M: 4M ×
××
× 8b ×
××
× 4) ×
××
× 4 (SH7750S and SH7750R only) *
AMX 6 column-addr-10bit 64MB
AMXEXT 0
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A15 A25 A25 A13 BANK selects bank address
A14 A24 A24 A12
A13 A23 0 A11 Address precharge setting
A12 A22 H/L A10
A11 A21 A11 A9
A10 A20 A10 A8
A9 A19 A9 A7
A8 A18 A8 A6
A7 A17 A7 A5
A6 A16 A6 A4
A5 A15 A5 A3
A4 A14 A4 A2
A3 A13 A3 A1
A2 A12 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 974 of 986
(20) BUS 32 (256M: 4M × 16b × 4) × 2 (SH7750S and SH7750R only) *
AMX 6 256M, column-addr-9bit 64MB
AMXEXT 1
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A16 A25 A25 A14 BANK selects bank address
A15 A24 A24 A13
A14 A23 0 A12
A13 A22 0 A11
A12 A21 H/L A10 Address precharge setting
A11 A20 0 A9
A10 A19 A10 A8
A9 A18 A9 A7
A8 A17 A8 A6
A7 A16 A7 A5
A6 A15 A6 A4
A5 A14 A5 A3
A4 A13 A4 A2
A3 A12 A3 A1
A2 A11 A2 A0
Address
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 975 of 986
(21) BUS 64 (16M: 256k × 32b × 2) × 2 *
AMX 7 16M, column-addr- 8bit 4MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A13 A21 A21 A10 BANK selects bank address
A12 A20 H/L A9 Address precharge setting
A11 A19 0 A8
A10 A18 A10 A7
A9 A17 A9 A6
A8 A16 A8 A5
A7 A15 A7 A4
A6 A14 A6 A3
A5 A13 A5 A2
A4 A12 A4 A1
A3 A11 A3 A0
Address
A2 Not used
A1 Not used
A0 Not used
Rev. 6.0, 07/02, page 976 of 986
(22) BUS 32 (16M: 256k × 32b × 2) × 1 *
AMX 7 16M, column-addr- 8bit 2MB
SH7750 Series Address Pins
RAS Cycle CAS Cycle Synchronous DRAM
Address Pins Function
A13
A12 A20 A20 A10 BANK selects bank address
A11 A19 H/L A9 Address precharge setting
A10 A18 0 A8
A9 A17 A9 A7
A8 A16 A8 A6
A7 A15 A7 A5
A6 A14 A6 A4
A5 A13 A5 A3
A4 A12 A4 A2
A3 A11 A3 A1
A2 A10 A2 A0
Address
A1 Not used
A0 Not used
Note: * Example of a synchronous DRAM configuration
Rev. 6.0, 07/02, page 977 of 986
Appendix G Prefetching of I nstr uctions and its Side Effects
The SH7750 series incorporates an on-chip buffer for holding instructions that have been read
ahead of their execution (prefetching of instructions). Therefore, do not allocate programs to
memory in such a way that instructions are in the last 20 bytes of any memory space. If a program
is allocated in such a way, the prefetching of instructions may lead to a bus access for reading an
instruction from beyond the memory space. The following shows a case in which such bus access
is a problem.
PC (Program counter)
Address of instruction for prefetching
Area 0
Area 1
Address
H'03FFFFF8
H'03FFFFFA
H'03FFFFFC
H'03FFFFFE
H'04000000
H'04000002
ADD R1,R4
JMP @R2
NOP
NOP
.
.
.
.
.
.
Figure G.1 Instruction Prefetch
Figure G.1 depicts a case in wh ich the instruction (ADD) indicated by the program counter and the
instruction at the address H’04000002 are fetched simultaneously. The program is assumed to
branch to a region other than area 1 after the subsequent JMP instruction and delay slot instruction
have been executed.
In this case, a bus access to area 1 (instruction prefetch), which is not visible in the program flow,
may occur.
1. Side effects of the prefetching of instructions
a. An external bus access caused by an instruction prefetch may cause malfunctions in
external devices, such as FIFOs, that are connected to the region accessed.
b. If no device responds to an external bus request that is triggered by an instruction prefetch,
execution may hang.
2. Methods of preventing the invalid prefetching of instructions
a. Use an MMU.
b. Do not allocate programs so that they run into the last 20-byte region of any memory space.
Rev. 6.0, 07/02, page 978 of 986
Appendix H Power-On and Power-Off Procedures
Power-on
Supply the internal power after supplying power to the I/O, PLL, RTC, and CPG.*1
Supply power to VDDQ, VDD-PLL1/2, VDD-RTC, and VDD-CPG simultaneously.
At power-on, the RESET signal is low. Normally, supply power to the I/O, RTC, and CPG
before (or at the same time as) entering the signal lines (RESET, SCK2, MD0 to MD10,
and external clock). If the signal lines are entered first, the LSI may be damaged.
Input high level to SCK2 (MRESET) in compliance with the voltage level of the I/O, PLL,
RTC, CPG power supply voltage.
Power-off
When turn ing off the power, there are no restrictions for the timing of RESET and SCK2.
Turn off the I/O, PLL, RTC, CPG power supply voltage after (or at the same time as)*1
turning off the inter nal power supply voltage.
Note however that the internal power supply voltage may exceed the I/O, PLL, RTC, CPG
power supply voltage by a maximum of 0.3 V only when the system is being turned off.
The power supply level must be lowered in complian ce with the I/O, PLL, RTC, CPG
power supply voltage.
Note: *1 10 ms or less for the HD6417750R.
The ratings and procedures for power-on and power-off are given below.
VSSQ = VSS-PLL1 = VSS-PLL2 = VSS-RTC = VSS-CPG = 0 V
The LSI may be damaged if
0.3 V < Vin < VDDQ + 0.3 V
0.3 V < VDD < VDDQ + 0.3 V
are not satisfied when VDDQ = VDD-RTC = VDD-CPG.
2.0 V
1.2 V
GND t
on
0 t
on
< 10 ms*
t
off
0 t
off
< 10 ms*
V
DD
V
DDQ
Power-on Power-off
Note: * HD6417750R only
Figure H.1 Power-On and Power-Off Procedures
Rev. 6.0, 07/02, page 979 of 986
Appendix I Product Code Lineup
Table I.1 SH7750 Series Product Code Lineup
Abbreviation Voltage Operating
Frequency Operating
Temperature Mark Code Package
SH7750 1.95 V 200 MHz 20 to 75°C HD6417750BP200M BGA-256
1.8 V 167 MHz 20 to 75°C HD6417750F167 QFP-208
40 to 85°C HD6417750F167I
1.5 V 128 MHz 20 to 75°C HD6417750VF128
SH7750S 1.95 V 200 MHz 20 to 75°C HD6417750SBP200 BGA-256
20 to 75°C HD6417750SF200 QFP-208
1.8 V 167 MHz 20 to 75°C HD6417750SF167 QFP-208
40 to 85°C HD6417750SF167I
1.5 V 133 MHz 20 to 75°C HD6417750SVF133
30 to 70°C HD6417750SVBT133 CSP-264
SH7750R 1.5 V 240 MHz 20 to 75°C HD6417750RBP240 BGA-256
20 to 75°C HD6417750RF240 QFP-208
1.5 V 200 MHz 20 to 75°C HD6417750RBP200 BGA-256
20 to 75°C HD6417750RF200 QFP-208
Rev. 6.0, 07/02, page 980 of 986
Rev. 6.0, 07/02, page 981 of 986
Index
A
Address Space........................................... 64
B
Big endian................................................. 53
Bus Arbitration ....................................... 480
Bus State Controller................................ 311
Address Multiplexing ......................... 399
Areas........................................... 319, 382
Burst Access ....................................... 402
Burst ROM Interface .......................... 441
Byte Control SRAM Interface ............ 473
DRAM Interface ................................. 395
EDO Mode.......................................... 403
Endian................................................. 370
I/O card interface ........................ 322, 444
IC memory card interface ........... 322, 444
Master Mode....................................... 483
MPX Interface .................................... 455
Partial-Sharing Master Mode.............. 485
PCMCIA Interface.............................. 444
PCMCIA Support ............................... 322
RAS Down Mode ............................... 404
Refresh Timing ................................... 409
Refreshing........................................... 431
Slave Mode ......................................... 484
SRAM Interface.................................. 387
Synchronous DRAM Interface ........... 413
Wait State Control .............................. 401
Waits between Access Cycles............. 478
C
Caches....................................................... 95
Address Array............. 112, 114, 117, 119
cache fill ............................................. 108
Data Array .................. 113, 115, 118, 120
IC Index Mode.................................... 111
Instruction Cache .......................... 95, 108
OC Index Mode .................................. 107
Operand Cache................................ 95, 99
prefetch ...............................................122
Prefetch Operation ..............................108
RAM Mode ......................................... 106
Store Queues ....................................... 122
Tag ..............................................102, 110
U bit .................................................... 102
V bit ............................................ 102, 110
Write-Back Buffer...............................105
Write-Through Buffer ......................... 105
Clock Oscillation Circuits.......................247
Bus Clock Division Ratio....................258
Changing the Frequency ..................... 257
Clock Operating Modes ......................253
PLL Circuit ......................................... 257
clock pulse generator ..............................247
Control Registers ................................42, 49
DBR ......................................................50
Debug base register............................... 50
GBR ......................................................50
Global base register............................... 50
Saved general register 15 ...................... 50
Saved program counter .........................50
Saved status register.............................. 50
SGR....................................................... 50
SPC ....................................................... 50
SR..........................................................49
SSR .......................................................50
Status register........................................49
VBR ......................................................50
Vector base register...............................50
D
Data Format ..............................................53
Direct Memory Access Controller ..........489
Address Modes.................................... 519
Burst Mode..........................................523
Bus Modes .......................................... 522
Channel Priorities................................ 515
Cycle Steal Mode................................ 522
Rev. 6.0, 07/02, page 982 of 986
DMA Transfer .................................... 518
DMA Transfer Requests ..................... 512
Dual Address Mode ............................ 520
Ending DMA Transfer........................ 541
Fixed Mode......................................... 515
On-Demand Data Transfer Mode ....... 545
Round Robin Mode............................. 515
Single Address Mode.......................... 519
E
effective address ..................................... 175
Exceptions .............................................. 127
Address Error.............................. 146, 147
Exception Types ................................. 130
FPU exception ............................ 154, 169
General Exceptions............................. 141
General FPU Disable Exception ......... 151
General illegal instruction................... 169
General Illegal Instruction Exception . 149
Initial Page Write Exception............... 143
Instruction TLB Protection Violation
Exception ........................................ 145
Slot FPU Disable Exception ............... 152
slot illegal instruction exception ......... 169
Slot Illegal Instruction Exception ....... 150
TLB Miss Exception................... 141, 142
TLB Multiple-Hit Exception....... 139, 140
TLB Protection Violation Exception .. 144
Unconditional Trap............................. 148
User Breakpoint Trap ......................... 153
External Memory Space Map ................. 320
F
Floating-Point Registers ............. 43, 47, 165
Floating-Point Unit ................................. 161
Denormalized Numbers ...................... 164
Floating-Point Format......................... 161
Geometric Operation Instructions....... 170
NaN............................................. 163, 164
Non-Numbers ..................................... 163
Pair Single-Precision Data Transfer.... 172
G
General Registers................................ 42, 45
Graphics Support Functions.................... 170
H
Hitachi User Debug Interface..................799
TAP Control........................................ 810
I
I/O Ports..................................................731
Instruction Set ................................. 173, 179
Arithmetic Operation Instructions....... 182
Branch instructions .....................186, 214
Data transfer instructions ....................211
Double-precision floating-point
instructions...................................... 217
Fixed-point arithmetic instructions ..... 212
Fixed-Point Transfer Instructions........180
Floating-Point Control Instructions..... 190
Floating-Point Double-Precision
Instructions......................................190
Floating-Point Graphics Acceleration
Instructions......................................191
Floating-Point Single-Precision
Instructions......................................189
FPU system control instructions ......... 218
Graphics acceleration instructions ......218
Logic Operation Instructions...............184
Shift instructions ......................... 185, 214
Single-precision floating-point
instructions...................................... 216
System control instructions......... 187, 215
Interrupt Controller .................................751
Interrupt Priority ................................. 759
On-Chip Peripheral Module Interrupts757
Interrupts.................................................155
ATI......................................................289
BRI...................................................... 697
ERI...................................... 651, 697, 724
IRL Interrupts.............................. 156, 755
NMI..................................................... 155
NMI Interrupt...................................... 754
Peripheral Module Interrupts ..............157
Rev. 6.0, 07/02, page 983 of 986
PRI...................................................... 289
RXI ..................................... 651, 697, 724
TEI...................................................... 651
TICPI .................................................. 309
TUNI................................................... 309
TXI ..................................... 651, 697, 724
L
Little endian.............................................. 53
M
Memory Management Unit....................... 57
Address Array................................. 88, 90
Address Space Identifier....................... 70
Address Translation .............................. 69
Address Translation Method................. 75
ASID..................................................... 70
Avoiding Synonym Problems............... 80
Data Array ...................................... 89, 92
External memory space................... 64, 67
ITLB ..................................................... 75
LDTLB ................................................. 78
MMU Exceptions.................................. 81
MMU Functions.................................... 78
Physical address space.................... 58, 64
time sharing system .............................. 57
TLB................................................. 57, 71
UTLB.................................................... 71
Virtual address space ...................... 58, 68
virtual memory system.......................... 57
P
Pipelining................................................ 193
Execution Cycles ........................ 204, 211
Parallel-Executability.......................... 200
Pipeline Stalling.................................. 204
Power-Down Modes ............................... 221
Clock Pause Function ......................... 232
Deep Sleep Mode................................ 230
Exit from Standby Mode..................... 232
Hardware Standby Mode .................... 235
High Impedance Control..................... 226
Module Standby Function................... 233
Pull-Up Control...................................226
Sleep Mode .........................................230
Programming Model .................................41
Banks.....................................................42
Data Formats......................................... 41
Privileged Mode....................................42
R
Realtime Clock........................................267
Alarm Function ...................................288
Crystal Oscillator Circuit ....................289
CUI......................................................289
Time Setting........................................ 285
Registers
BAMRA.............................................. 778
BAMRB ..............................................781
BARA .................................................777
BARB.................................................. 781
BASRA ...............................................778
BASRB ...............................................781
BBRA..................................................779
BBRB..................................................783
BCR1 ..................................................326
BCR2 ..................................................335
BDRB.................................................. 781
BRCR..................................................783
CCR ......................................................97
CHCR.................................................. 499
DAR .................................................... 497
DMAOR..............................................507
DMATCR ...........................................498
EXPEVT .............................................128
FPSCR.................................................167
FPUL................................................... 168
FRQCR ...............................................254
GPIOIC ............................................... 745
ICR......................................................762
INTEVT ..............................................128
IPR ...................................................... 761
MCR.................................................... 352
MMUCR ...............................................61
PCR.....................................................359
PCTRA................................................ 742
Rev. 6.0, 07/02, page 984 of 986
PCTRB ............................................... 744
PDTRA ............................................... 743
PDTRB ............................................... 745
PTEH .................................................... 61
QACR0 ................................................. 97
R64CNT.............................................. 271
RCR1 .................................................. 279
RCR2 .................................................. 281
RDAYAR ........................................... 278
RDAYCNT......................................... 274
RFCR.................................................. 369
RHRAR .............................................. 277
RHRCNT ............................................ 272
RMINAR ............................................ 276
RMINCNT.......................................... 272
RMONAR........................................... 279
RMONCNT ........................................ 274
RSECAR............................................. 276
RSECCNT .......................................... 271
RTCNT ............................................... 367
RTCOR............................................... 368
RTCSR................................................ 364
RWKAR ............................................. 277
RWKCNT........................................... 273
RYRCNT ............................................ 275
SAR .................................................... 496
SCBRR1 ............................................. 613
SCBRR2 ............................................. 674
SCFCR2.............................................. 675
SCFDR2.............................................. 678
SCFRDR2........................................... 662
SCFSR2 .............................................. 668
SCFTDR2 ........................................... 663
SCLSR2 .............................................. 684
SCRDR1 ............................................. 597
SCRSR1.............................................. 597
SCRSR2.............................................. 661
SCSCMR1 .......................................... 706
SCSCR1...................................... 601, 708
SCSCR2.............................................. 665
SCSMR1..................................... 599, 707
SCSMR2............................................. 663
SCSPTR1.................................... 609, 746
SCSPTR2 .................................... 679, 748
SCSSR1.......................................605, 709
SCTDR1.............................................. 598
SCTSR1 ..............................................598
SCTSR2 ..............................................662
SDBPR................................................ 805
SDDR.................................................. 805
SDIR ...................................................803
SDMR .................................................362
STBCR................................................ 224
STBCR2.............................................. 227
TCNT .................................................. 298
TCOR.................................................. 298
TCPR2 ................................................303
TCR.....................................................299
TEA.......................................................61
TOCR.................................................. 295
TRA ....................................................128
TSTR................................................... 296
TTB.......................................................61
WCR1 .................................................340
WCR2 .................................................343
WCR3 .................................................351
WTCNT ..............................................260
WTCSR............................................... 261
Resets ...................................................... 136
H-UDI Reset ............................... 138, 811
Manual Reset ...................................... 137
Power-On Reset .................................. 136
Rounding................................................. 168
S
Serial Communication Interface .............593
Asynchronous mode.................... 621, 623
Bit Rate ...............................................613
Break...................................................652
Framing error ..............................632, 652
Multiprocessor Communication Function
........................................................634
Overrun error .............................. 632, 652
Parity error ..................................632, 652
Synchronous mode...................... 621, 642
Rev. 6.0, 07/02, page 985 of 986
Serial Communication Interface with FIFO
............................................................ 657
Asynchronous Mode ........................... 685
Break................................................... 698
Smart Card Interface............................... 703
bit rate................................................. 715
System Registers................................. 42, 50
Floating-point status/control register .... 51
FPSCR .................................................. 51
MACH .................................................. 50
MACL................................................... 50
Multiply-and-accumulate register high. 50
Multiply-and-accumulate register low.. 50
PC ......................................................... 50
PR ......................................................... 50
Procedure register ................................. 50
Program counter.................................... 50
T
Timer Unit...............................................291
Auto-Reload Count Operation ............305
Input Capture Function ....................... 307
TCNT Count Timing...........................306
U
User Break Controller .............................773
Instruction Access Cycle Break ..........788
Operand Access Cycle Break.............. 789
User Break Debug Support Function .. 793
User Break Operation Sequence .........787
W
Watchdog Timer ............................. 247, 259
Interval Timer Mode ........................... 265
Watchdog Timer Mode ....................... 264
Rev. 6.0, 07/02, page 986 of 986
SH7750 Series Hardware Manual
Publication Date: 1st Edition, June 1998
6th Edition, July 2002
Published by: Business Operation Division
Semiconductor & Integrated Circuits
Hitachi, Ltd.
Edited by: Technical Documentation Group
Hitachi Kodaira Semiconductor Co., Ltd.
Copyright © Hitachi, Ltd., 1998. All rights reserved. Printed in Japan.