PSoC(R) 3: CY8C38 Family Data Sheet (R) Programmable System-on-Chip (PSoC ) General Description With its unique array of configurable blocks, PSoC(R) 3 is a true system level solution providing microcontroller unit (MCU), memory, analog, and digital peripheral functions in a single chip. The CY8C38 family offers a modern method of signal acquisition, signal processing, and control with high accuracy, high bandwidth, and high flexibility. Analog capability spans the range from thermocouples (near DC voltages) to ultrasonic signals. The CY8C38 family can handle dozens of data acquisition channels and analog inputs on every general-purpose input/output (GPIO) pin. The CY8C38 family is also a high-performance configurable digital system with some part numbers including interfaces such as USB, multimaster inter-integrated circuit (I2C), and controller area network (CAN). In addition to communication interfaces, the CY8C38 family has an easy to configure logic array, flexible routing to all I/O pins, and a high-performance single cycle 8051 microprocessor core. You can easily create system-level designs using a rich library of prebuilt components and boolean primitives using PSoC CreatorTM, a hierarchical schematic design entry tool. The CY8C38 family provides unparalleled opportunities for analog and digital bill of materials integration while easily accommodating last minute design changes through simple firmware updates. Features Library of standard peripherals * 8-, 16-, 24-, and 32-bit timers, counters, and PWMs * Serial peripheral interface (SPI), universal asynchronous transmitter receiver (UART), and I2C * Many others available in catalog Library of advanced peripherals * Cyclic redundancy check (CRC) * Pseudo random sequence (PRS) generator * Local interconnect network (LIN) bus 2.0 * Quadrature decoder Analog peripherals (1.71 V VDDA 5.5 V) 1.024 V 0.1% internal voltage reference across -40 C to +85 C (14 ppm/C) Configurable delta-sigma ADC with 8- to 20-bit resolution * Sample rates up to 192 ksps * Programmable gain stage: x0.25 to x16 * 12-bit mode, 192 ksps, 66-dB signal to noise and distortion ratio (SINAD), 1-bit INL/DNL * 16-bit mode, 48 ksps, 84-dB SINAD, 2-bit INL, 1-bit DNL Up to four 8-bit, 8-Msps IDACs or 1-Msps VDACs Four comparators with 95-ns response time Up to four uncommitted opamps with 25-mA drive capability Up to four configurable multifunction analog blocks. Example configurations are programmable gain amplifier (PGA), transimpedance amplifier (TIA), mixer, and sample and hold CapSense support Programming, debug, and trace JTAG (4-wire), serial wire debug (SWD) (2-wire), and single wire viewer (SWV) interfaces Eight address and one data breakpoint 4-KB instruction trace buffer 2 Bootloader programming supportable through I C, SPI, UART, USB, and other interfaces Precision, programmable clocking 3- to 62-MHz internal oscillator over full temperature and voltage range 4- to 25-MHz crystal oscillator for crystal PPM accuracy Internal PLL clock generation up to 67 MHz 32.768-kHz watch crystal oscillator Low-power internal oscillator at 1, 33, and 100 kHz Temperature and packaging -40C to +85 C degrees industrial temperature 48-pin SSOP, 48-pin QFN, 68-pin QFN, and 100-pin TQFP package options Single cycle 8051 CPU DC to 67 MHz operation Multiply and divide instructions Flash program memory, up to 64 KB, 100,000 write cycles, 20 years retention, and multiple security features Up to 8-KB flash error correcting code (ECC) or configuration storage Up to 8 KB SRAM Up to 2 KB electrically erasable programmable read-only memory (EEPROM), 1 M cycles, and 20 years retention 24-channel direct memory access (DMA) with multilayer AHB[1] bus access * Programmable chained descriptors and priorities * High bandwidth 32-bit transfer support Low voltage, ultra low-power Wide operating voltage range: 0.5 V to 5.5 V High efficiency boost regulator from 0.5-V input through 1.8-V to 5.0-V output 0.8 mA at 3 MHz, 1.2 mA at 6 MHz, and 6.6 mA at 48 MHz Low-power modes including: * 1-A sleep mode with real time clock and low-voltage detect (LVD) interrupt * 200-nA hibernate mode with RAM retention Versatile I/O system 28 to 72 I/O (62 GPIOs, eight special input/outputs (SIO), two USBIOs[2]) Any GPIO to any digital or analog peripheral routability [2] LCD direct drive from any GPIO, up to 46 x 16 segments (R) [3] CapSense support from any GPIO 1.2-V to 5.5-V I/O interface voltages, up to four domains Maskable, independent IRQ on any pin or port Schmitt-trigger transistor-transistor logic (TTL) inputs All GPIO configurable as open drain high/low, pull-up/pull-down, High Z, or strong output Configurable GPIO pin state at power-on reset (POR) 25 mA sink on SIO Digital peripherals 20 to 24 programmable logic device (PLD) based universal digital blocks (UDB) [2] Full CAN 2.0b 16 Rx, 8 Tx buffers [2] Full-speed (FS) USB 2.0 12 Mbps using internal oscillator Up to four 16-bit configurable timer, counter, and PWM blocks 67 MHz, 24-bit fixed point digital filter block (DFB) to implement FIR and IIR filters Notes 1. AHB - AMBA (advanced microcontroller bus architecture) high-performance bus, an ARM data transfer bus 2. This feature on select devices only. See Ordering Information on page 118 for details. 3. GPIOs with opamp outputs are not recommended for use with CapSense. Cypress Semiconductor Corporation Document Number: 001-11729 Rev. *S * 198 Champion Court * San Jose, CA 95134-1709 * 408-943-2600 Revised May 20, 2011 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Contents 1. Architectural Overview .....................................................3 2. Pinouts ...............................................................................5 3. Pin Descriptions ..............................................................10 4. CPU ...................................................................................11 4.1 8051 CPU .................................................................11 4.2 Addressing Modes ....................................................11 4.3 Instruction Set ..........................................................11 4.4 DMA and PHUB .......................................................15 4.5 Interrupt Controller ...................................................17 5. Memory .............................................................................21 5.1 Static RAM ...............................................................21 5.2 Flash Program Memory ............................................21 5.3 Flash Security ...........................................................21 5.4 EEPROM ..................................................................21 5.5 Nonvolatile Latches (NVLs) ......................................22 5.6 External Memory Interface .......................................23 5.7 Memory Map ............................................................24 6. System Integration ..........................................................26 6.1 Clocking System .......................................................26 6.2 Power System ..........................................................29 6.3 Reset ........................................................................32 6.4 I/O System and Routing ...........................................33 7. Digital Subsystem ...........................................................39 7.1 Example Peripherals ................................................40 7.2 Universal Digital Block ..............................................42 7.3 UDB Array Description .............................................46 7.4 DSI Routing Interface Description ............................46 7.5 CAN ..........................................................................48 7.6 USB ..........................................................................49 7.7 Timers, Counters, and PWMs ..................................50 7.8 I2C ............................................................................50 7.9 Digital Filter Block .....................................................51 8. Analog Subsystem ..........................................................51 8.1 Analog Routing .........................................................52 8.2 Delta-sigma ADC ......................................................54 8.3 Comparators .............................................................55 8.4 Opamps ....................................................................57 8.5 Programmable SC/CT Blocks ..................................57 Document Number: 001-11729 Rev. *S 8.6 LCD Direct Drive ......................................................58 8.7 CapSense .................................................................59 8.8 Temp Sensor ............................................................59 8.9 DAC ..........................................................................59 8.10 Up/Down Mixer .......................................................60 8.11 Sample and Hold ....................................................60 9. Programming, Debug Interfaces, Resources ................61 9.1 JTAG Interface .........................................................61 9.2 Serial Wire Debug Interface .....................................63 9.3 Debug Features ........................................................64 9.4 Trace Features .........................................................64 9.5 Single Wire Viewer Interface ....................................64 9.6 Programming Features .............................................64 9.7 Device Security ........................................................64 10. Development Support ...................................................65 10.1 Documentation .......................................................65 10.2 Online .....................................................................65 10.3 Tools .......................................................................65 11. Electrical Specifications ...............................................66 11.1 Absolute Maximum Ratings ....................................66 11.2 Device Level Specifications ....................................67 11.3 Power Regulators ...................................................71 11.4 Inputs and Outputs .................................................75 11.5 Analog Peripherals .................................................82 11.6 Digital Peripherals ................................................101 11.7 Memory ................................................................105 11.8 PSoC System Resources .....................................111 11.9 Clocking ................................................................114 12. Ordering Information ...................................................118 12.1 Part Numbering Conventions ...............................119 13. Packaging .....................................................................120 14. Acronyms .....................................................................123 15. Reference Documents .................................................124 16. Document Conventions ..............................................124 16.1 Units of Measure ..................................................124 17. Revision History ..........................................................126 18. Sales, Solutions, and Legal Information .................130 Page 2 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 1. Architectural Overview Introducing the CY8C38 family of ultra low-power, flash Programmable System-on-Chip (PSoC(R)) devices, part of a scalable 8-bit PSoC 3 and 32-bit PSoC 5 platform. The CY8C38 family provides configurable blocks of analog, digital, and interconnect circuitry around a CPU subsystem. The combination of a CPU with a flexible analog subsystem, digital subsystem, routing, and I/O enables a high level of integration in a wide variety of consumer, industrial, and medical applications. Figure 1-1. Simplified Block Diagram Analog Interconnect 8-bit Timer Quadrature Decoder UDB Sequencer Usage Example for UDB IMO Universal Digital Block Array ( 24 x UDB) UDB UDB UDB 16-bit PWM UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB Master/ Slave 22 12-bit SPI UDB UDB UDB UDB 8-bit Timer Logic UDB 8-bit SPI I 2C Slave UDB I2C CAN 2.0 16-bit PRS UDB UDB FS USB 2.0 4x Timer Counter PWM Logic UDB UART UDB USB PHY GPIOs GPIOs Clock Tree 32.768 KHz ( Optional) Digital System System Wide Resources Xtal Osc SIO 4 to 25 MHz (Optional) GPIOs Digital Interconnect 12- bit PWM RTC Timer System Bus Memory System EEPROM SRAM CPU System 8051 or Cortex M3CPU Interrupt Controller Program & Debug Program GPIOs WDT and Wake GPIOs Debug & Trace EMIF PHUB DMA FLASH ILO Boundary Scan Power Management System LCD Direct Drive Digital Filter Block POR and LVD 1.8V LDO SMP Analog System ADC + 4x Opamp - 4 x SC/CT Blocks (TIA, PGA, Mixer etc) Temperature Sensor CapSense 4 x DAC 1x Del Sig ADC 3 per Opamp + 4x CMP - GPIOs 1.71 V to 5.5 V Sleep Power GPIOs SIOs Clocking System 0 .5 V to 5.5 V ( Optional) Figure 1-1 illustrates the major components of the CY8C38 family. They are: 8051 CPU subsystem Nonvolatile subsystem Programming, debug, and test subsystem Inputs and outputs Clocking Power Digital subsystem Analog subsystem Document Number: 001-11729 Rev. *S PSoC's digital subsystem provides half of its unique configurability. It connects a digital signal from any peripheral to any pin through the digital system interconnect (DSI). It also provides functional flexibility through an array of small, fast, low-power UDBs. PSoC Creator provides a library of prebuilt and tested standard digital peripherals (UART, SPI, LIN, PRS, CRC, timer, counter, PWM, AND, OR, and so on) that are mapped to the UDB array. You can also easily create a digital circuit using boolean primitives by means of graphical design entry. Each UDB contains programmable array logic (PAL)/programmable logic device (PLD) functionality, together with a small state machine engine to support a wide variety of peripherals. In addition to the flexibility of the UDB array, PSoC also provides configurable digital blocks targeted at specific functions. For the CY8C38 family these blocks can include four 16-bit timers, counters, and PWM blocks; I2C slave, master, and multimaster; FS USB; and Full CAN 2.0b. Page 3 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet For more details on the peripherals see the "Example Peripherals" section on page 40 of this data sheet. For information on UDBs, DSI, and other digital blocks, see the "Digital Subsystem" section on page 39 of this data sheet. PSoC's analog subsystem is the second half of its unique configurability. All analog performance is based on a highly accurate absolute voltage reference with less than 0.1-percent error over temperature and voltage. The configurable analog subsystem includes: Analog muxes Comparators Voltage references Analog-to-digital converter (ADC) Digital-to-analog converters (DACs) Digital filter block (DFB) All GPIO pins can route analog signals into and out of the device using the internal analog bus. This allows the device to interface up to 62 discrete analog signals. The heart of the analog subsystem is a fast, accurate, configurable delta-sigma ADC with these features: Less than 100 V offset A gain error of 0.2 percent INL less than 2 LSB DNL less than 1 LSB SINAD better than 84 dB in 16-bit mode This converter addresses a wide variety of precision analog applications, including some of the most demanding sensors. The output of the ADC can optionally feed the programmable DFB through the DMA without CPU intervention. You can configure the DFB to perform IIR and FIR digital filters and several user-defined custom functions. The DFB can implement filters with up to 64 taps. It can perform a 48-bit multiply-accumulate (MAC) operation in one clock cycle. Four high-speed voltage or current DACs support 8-bit output signals at an update rate of up to 8 Msps. They can be routed out of any GPIO pin. You can create higher resolution voltage PWM DAC outputs using the UDB array. This can be used to create a pulse width modulated (PWM) DAC of up to 10 bits, at up to 48 kHz. The digital DACs in each UDB support PWM, PRS, or delta-sigma algorithms with programmable widths. In addition to the ADC, DACs, and DFB, the analog subsystem provides multiple: Uncommitted opamps Configurable switched capacitor/continuous time (SC/CT) blocks. These support: Transimpedance amplifiers Programmable gain amplifiers Mixers Other similar analog components See the "Analog Subsystem" section on page 51 of this data sheet for more details. PSoC's 8051 CPU subsystem is built around a single cycle pipelined 8051 8-bit processor running at up to 67 MHz. The CPU subsystem includes a programmable nested vector interrupt controller, DMA controller, and RAM. PSoC's nested vector interrupt controller provides low latency by allowing the CPU to vector directly to the first address of the interrupt service routine, bypassing the jump instruction required by other architectures. The DMA controller enables peripherals to exchange data without CPU involvement. This allows the CPU to run slower (saving power) or use those CPU cycles to improve the performance of firmware algorithms. The single cycle 8051 CPU runs ten times faster than a standard 8051 processor. The processor speed itself is configurable, allowing you to tune active power consumption for specific applications. PSoC's nonvolatile subsystem consists of flash, byte-writeable EEPROM, and nonvolatile configuration options. It provides up to 64 KB of on-chip flash. The CPU can reprogram individual blocks of flash, enabling bootloaders. You can enable an error correcting code (ECC) for high reliability applications. A powerful and flexible protection model secures the user's sensitive information, allowing selective memory block locking for read and write protection. Up to 2 KB of byte-writeable EEPROM is available on-chip to store application data. Additionally, selected configuration options such as boot speed and pin drive mode are stored in nonvolatile memory. This allows settings to activate immediately after POR. The three types of PSoC I/O are extremely flexible. All I/Os have many drive modes that are set at POR. PSoC also provides up to four I/O voltage domains through the Vddio pins. Every GPIO has analog I/O, LCD drive[4], CapSense[5], flexible interrupt generation, slew rate control, and digital I/O capability. The SIOs on PSoC allow VOH to be set independently of Vddio when used as outputs. When SIOs are in input mode they are high impedance. This is true even when the device is not powered or when the pin voltage goes above the supply voltage. This makes the SIO ideally suited for use on an I2C bus where the PSoC may not be powered when other devices on the bus are. The SIO pins also have high current sink capability for applications such as LED drives. The programmable input threshold feature of the SIO can be used to make the SIO function as a general purpose analog comparator. For devices with Full-Speed USB the USB physical interface is also provided (USBIO). When not using USB these pins may also be used for limited digital functionality and device programming. All of the features of the PSoC I/Os are covered in detail in the "I/O System and Routing" section on page 33 of this data sheet. The PSoC device incorporates flexible internal clock generators, designed for high stability and factory trimmed for high accuracy. The internal main oscillator (IMO) is the master clock base for the system, and has 1-percent accuracy at 3 MHz. The IMO can be configured to run from 3 MHz up to 62 MHz. Multiple clock derivatives can be generated from the main clock frequency to meet application needs. The device provides a PLL to generate system clock frequencies up to 67 MHz from the IMO, external crystal, or external reference clock. Notes 4. This feature on select devices only. See Ordering Information on page 118 for details. 5. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-11729 Rev. *S Page 4 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet It also contains a separate, very low-power internal low-speed oscillator (ILO) for the sleep and watchdog timers. A 32.768-kHz external watch crystal is also supported for use in real-time clock (RTC) applications. The clocks, together with programmable clock dividers, provide the flexibility to integrate most timing requirements. The CY8C38 family supports a wide supply operating range from 1.71 V to 5.5 V. This allows operation from regulated supplies such as 1.8 V 5%, 2.5 V 10%, 3.3 V 10%, or 5.0 V 10%, or directly from a wide range of battery types. In addition, it provides an integrated high efficiency synchronous boost converter that can power the device from supply voltages as low as 0.5 V. This enables the device to be powered directly from a single battery or solar cell. In addition, you can use the boost converter to generate other voltages required by the device, such as a 3.3-V supply for LCD glass drive. The boost's output is available on the Vboost pin, allowing other devices in the application to be powered from the PSoC. PSoC supports a wide range of low-power modes. These include a 200-nA hibernate mode with RAM retention and a 1-A sleep mode with RTC. In the second mode, the optional 32.768-kHz watch crystal runs continuously and maintains an accurate RTC. Power to all major functional blocks, including the programmable digital and analog peripherals, can be controlled independently by firmware. This allows low-power background processing when some peripherals are not in use. This, in turn, provides a total device current of only 1.2 mA when the CPU is running at 6 MHz, or 0.8 mA running at 3 MHz. The details of the PSoC power modes are covered in the "Power System" section on page 29 of this data sheet. PSoC uses JTAG (4-wire) or SWD (2-wire) interfaces for programming, debug, and test. The 1-wire SWV may also be used for `printf' style debugging. By combining SWD and SWV, you can implement a full debugging interface with just three pins. Using these standard interfaces you can debug or program the PSoC with a variety of hardware solutions from Cypress or third party vendors. PSoC supports on-chip break points and 4-KB instruction and data race memory for debug. Details of the programming, test, and debugging interfaces are discussed in the "Programming, Debug Interfaces, Resources" section on page 61 of this data sheet. 2. Pinouts The Vddio pin that supplies a particular set of pins is indicated by the black lines drawn on the pinout diagrams in Figure 2-1 through Figure 2-4. Using the Vddio pins, a single PSoC can support multiple interface voltage levels, eliminating the need for off-chip level shifters. Each Vddio may sink up to 100 mA total to its associated I/O pins and opamps. On the 68-pin and 100-pin devices each set of Vddio associated pins may sink up to 100 mA. The 48-pin device may sink up to 100 mA total for all Vddio0 plus Vddio2 associated I/O pins and 100 mA total for all Vddio1 plus Vddio3 associated I/O pins. Figure 2-1. 48-pin SSOP Part Pinout (SIO) P12[2] (SIO) P12[3] (OpAmp2out, GPIO) P0[0] (OpAmp0out, GPIO) P0[1] (OpAmp0+, GPIO) P0[2] (OpAmp0-/Extref0, GPIO) P0[3] Vddio0 (OpAmp2+, GPIO) P0[4] (OpAmp2-, GPIO) P0[5] (IDAC0, GPIO) P0[6] (IDAC2, GPIO) P0[7] Vccd Vssd Vddd (GPIO) P2[3] (GPIO) P2[4] Vddio2 (GPIO) P2[5] (GPIO) P2[6] (GPIO) P2[7] Vssb Ind Vboost Vbat 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 Lines show Vddio to I/O supply association SSOP 48 47 46 45 44 43 42 41 40 39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 Vdda Vssa Vcca P15[3] (GPIO, kHz XTAL: Xi) P15[2] (GPIO, kHz XTAL: Xo) P12[1] (SIO, I2C1: SDA) P12[0] (SIO, I2C1: SCL) Vddio3 P15[1] (GPIO, MHz XTAL: Xi) P15[0] (GPIO, MHz XTAL: Xo) Vccd Vssd Vddd [6] P15[7] (USBIO, D-, SWDCK) [6] P15[6] (USBIO, D+, SWDIO) P1[7] (GPIO) P1[6] (GPIO) Vddio1 P1[5] (GPIO, nTRST) P1[4] (GPIO, TDI) P1[3] (GPIO, TDO, SWV) P1[2] (GPIO, configurable XRES) P1[1] (GPIO, TCK, SWDCK) P1[0] (GPIO, TMS, SWDIO) Note 6. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. Document Number: 001-11729 Rev. *S Page 5 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet P0[3] (OpAmp0-/Extref0, GPIO) P0[2] (OpAmp0+, GPIO) P0[1] (OpAmp0out, GPIO) P0[0] (OpAmp2out, GPIO) P12[3] (SIO) P12[2] (SIO) Vdda Vssa Vcca P15[3] (GPIO, kHz XTAL: Xi) P15[2] (GPIO, kHz XTAL: Xo) P12[1] (SIO, I2C1: SDA) (SIO, I2C1: SCL) P12[0] (GPIO, MHz XTAL: Xi) P15[1] Vddio3 13 14 ( Top View) (GPIO) P1[6] (GPIO) P1[7] [8] (USBIO, D+, SWDIO) P15[6] [8] (USBIO, D-, SWDCK) P15[7] Vddd Vssd Vccd (GPIO, MHz XTAL: Xo) P15[0] Vddio1 Vddio0 P0[4] (OpAmp2+, GPIO) 38 37 P0[6] (IDAC0, GPIO) P0[5] (OpAmp2-, GPIO) Vccd P0[7] (IDAC2, GPIO) QFN 7 8 9 10 11 12 36 35 34 33 32 31 30 29 28 27 26 25 17 18 19 20 21 22 23 24 3 4 5 6 42 41 40 39 P2[4] (GPIO) 46 45 44 43 Vssb Ind Vb Vbat (GPIO, TMS, SWDIO) P1[0] (GPIO, TCK, SWDCK) P1[1] (GPIO, Configurable XRES) P1[2] (GPIO, TDO, SWV) P1[3] (GPIO, TDI) P1[4] (GPIO, nTRST) P1[5] Lines show Vddio to I/O supply association 15 16 1 2 P2[3] (GPIO) Vddd Vssd P2[5] (GPIO) Vddio2 (GPIO) P2[6] (GPIO) P2[7] 47 48 Figure 2-2. 48-pin QFN Part Pinout[7] Notes 7. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. 8. The center pad on the QFN package should be connected to digital ground (VSSD) for best mechanical, thermal, and electrical performance. If not connected to ground, it should be electrically floated and not connected to any other signal. Document Number: 001-11729 Rev. *S Page 6 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 P2[5] (GPIO) Vddio2 P2[4] (GPIO) P2[3] (GPIO) P2[2] (GPIO) P2[1] (GPIO) P2[0] (GPIO) P15[5] (GPOI) P15[4] (GPIO) Vddd Vssd Vccd P0[7] (GPIO, IDAC2) P0[6] (GPIO, IDAC0) P0[5] (GPIO, OpAmp P0[4] (GPIO, OpAmp Vddio0 Figure 2-3. 68-pin QFN Part Pinout[9] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 51 50 Lines show Vddio to I/O supply association QFN (Top View) 49 48 47 46 45 44 43 42 41 40 39 38 37 36 35 P0[3] (GPIO, OpAmp0-/Extref0) P0[2] (GPIO, OpAmp0+) P0[1] (GPIO, OpAmp0out) P0[0] (GPIO, OpAmp2out) P12[3] (SIO) P12[2] (SIO) Vssd Vdda Vssa Vcca P15[3] (GPIO, kHz XTAL: Xi) P15[2] (GPIO, kHz XTAL: Xo) P12[1] (SIO, I2C1: SDA) P12[0] (SIO, 12C1: SCL) P3[7] (GPIO, OpAmp3out) P3[6] (GPIO, OpAmp1out) Vddio3 (GPIO) P1[6] (GPIO) P1[7] (SIO) P12[6] (SIO) P12[7] [10] (USBIO, D+, SWDIO) P15[6] [10] (USBIO, D-, SWDCK) P15[7] Vddd Vssd Vccd (MHz XTAL: Xo, GPIO) P15[0] (MHz XTAL: Xi, GPIO) P15[1] (IDAC1, GPIO) P3[0] (IDAC3, GPIO) P3[1] (OpAmp3-/Extref1, GPIO) P3[2] (OpAmp3+, GPIO) P3[3] (OpAmp1-, GPIO) P3[4] (OpAmp1+, GPIO) P3[5] 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 (GPIO) P2[6] (GPIO) P2[7] (I2C0: SCL, SIO) P12[4] (I2C0: SDA, SIO) P12[5] Vssb Ind Vboost Vbat Vssd XRES (TMS, SWDIO, GPIO) P1[0] (TCK, SWDCK, GPIO) P1[1] (configurable XRES, GPIO) P1[2] (TDO, SWV, GPIO) P1[3] (TDI, GPIO) P1[4] (nTRST, GPIO) P1[5] Vddio1 Notes 9. The center pad on the QFN package should be connected to digital ground (VSSD) for best mechanical, thermal, and electrical performance. If not connected to ground, it should be electrically floated and not connected to any other signal. 10. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. Document Number: 001-11729 Rev. *S Page 7 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet TQFP 77 76 P4[5] (GPIO) P4[4] (GPIO) P4[3] (GPIO) P4[2] (GPIO) P0[7] (GPIO, IDAC2) P0[6] (GPIO, IDAC0) P0[5] (GPIO, OpAmp2-) P0[4] (GPIO, OpAmp2+) 87 86 85 84 83 82 81 80 79 78 90 89 88 P15[4] (GPIO) P6[3] (GPIO) P6[2] (GPIO) P6[1] (GPIO) P6[0] (GPIO) Vddd Vssd Vccd P4[7] (GPIO) P4[6] (GPIO) 98 97 96 95 94 93 92 91 Lines show Vddio to I/O supply association 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 Vcca NC NC NC NC NC NC P15[3] (GPIO, kHz XTAL: Xi) P15[2] (GPIO, kHz XTAL: Xo) P12[1] (SIO, I2C1: SDA) P12[0] (SIO, I2C1: SCL) P3[7] (GPIO, OpAmp3out) P3[6] (GPIO, OpAmp1out) (OpAmp1+, GPIO) P3[5] Vddio3 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 Vddio0 P0[3] (GPIO, OpAmp0-/Extref0) P0[2] (GPIO, OpAmp0+) P0[1] (GPIO, OpAmp0out) P0[0] (GPIO, OpAmp2out) P4[1] (GPIO) P4[0] (GPIO) P12[3] (SIO) P12[2] (SIO) Vssd Vdda Vssa [11] [11] (USBIO, D-, SWDCK) P15[7] Vddd Vssd Vccd NC NC (MHz XTAL: Xo, GPIO) P15[0] (MHz XTAL: Xi, GPIO) P15[1] (IDAC1, GPIO) P3[0] (IDAC3, GPIO) P3[1] (OpAmp3-/Extref1, GPIO) P3[2] (OpAmp3+, GPIO) P3[3] (OpAmp1-, GPIO) P3[4] 54 53 52 51 26 27 28 29 30 31 32 33 34 35 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 Vddio1 (GPIO) P1[6] (GPIO) P1[7] (SIO) P12[6] (SIO) P12[7] (GPIO) P5[4] (GPIO) P5[5] (GPIO) P5[6] (GPIO) P5[7] (USBIO, D+, SWDIO) P15[6] (GPIO) P2[5] (GPIO) P2[6] (GPIO) P2[7] (I2C0: SCL, SIO) P12[4] (I2C0: SDA, SIO) P12[5] (GPIO) P6[4] (GPIO) P6[5] (GPIO) P6[6] (GPIO) P6[7] Vssb Ind Vboost Vbat Vssd XRES (GPIO) P5[0] (GPIO) P5[1] (GPIO) P5[2] (GPIO) P5[3] (TMS, SWDIO, GPIO) P1[0] (TCK, SWDCK, GPIO) P1[1] (configurable XRES, GPIO) P1[2] (TDO, SWV, GPIO) P1[3] (TDI, GPIO) P1[4] (nTRST, GPIO) P1[5] 100 99 Vddio2 P2[4] (GPIO) P2[3] (GPIO) P2[2] (GPIO) P2[1] (GPIO) P2[0] (GPIO) P15[5] (GPIO) Figure 2-4. 100-pin TQFP Part Pinout Figure 2-5 and Figure 2-6 show an example schematic and an example PCB layout, for the 100-pin TQFP part, for optimal analog performance on a two-layer board. The two pins labeled Vddd must be connected together. The two pins labeled Vccd must be connected together, with capacitance added, as shown in Figure 2-5 and Power System on page 29. The trace between the two Vccd pins should be as short as possible. The two pins labeled Vssd must be connected together. For information on circuit board layout issues for mixed signals, refer to the application note, AN57821 - Mixed Signal Circuit Board Layout Considerations for PSoC(R) 3 and PSoC 5. Note 11. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. Document Number: 001-11729 Rev. *S Page 8 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 2-5. Example Schematic for 100-pin TQFP Part with Power Connections Vddd Vddd C1 1 uF Vddd C2 0.1 uF U2 CY8C55xx P2[5] P2[6] P2[7] P12[4], SIO P12[5], SIO P6[4] P6[5] P6[6] P6[7] Vssb Ind Vboost Vbat Vssd XRES P5[0] P5[1] P5[2] P5[3] P1[0], SWIO, TMS P1[1], SWDIO, TCK P1[2] P1[3], SWV, TDO P1[4], TDI P1[5], nTRST Vddio0 OA0-, REF0, P0[3] OA0+, P0[2] OA0out, P0[1] OA2out, P0[0] P4[1] P4[0] SIO, P12[3] SIO, P12[2] Vssd Vdda Vssa Vcca NC NC NC NC NC NC kHzXin, P15[3] kHzXout, P15[2] SIO, P12[1] SIO, P12[0] OA3out, P3[7] OA1out, P3[6] Vssd Vssd Vddd C12 0.1 uF Vccd Vddd C15 1 uF C16 0.1 uF 75 74 73 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 C8 0.1 uF C17 1 uF Vssd Vssd Vssd Vdda Vssa Vcca Vssa Vdda C9 1 uF C10 0.1 uF Vssa Vddd C11 0.1 uF C13 10 uF, 6.3 V Vssa Vdda Vddd Vddio1 P1[6] P1[7] P12[6], SIO P12[7], SIO P5[4] P5[5] P5[6] P5[7] USB D+, P15[6] USB D-, P15[7] Vddd Vssd Vccd NC NC P15[0], MHzXout P15[1], MHzXin P3[0], IDAC1 P3[1], IDAC3 P3[2], OA3-, REF1 P3[3], OA3+ P3[4], OA1P3[5], OA1+ Vddio3 Vssd Vssd 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 P32 47 48 49 50 Vssd 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 Vssd Vddio2 P2[4] P2[3] P2[2] P2[1] P2[0] P15[5] P15[4] P6[3] P6[2] P6[1] P6[0] Vddd Vssd Vccd P4[7] P4[6] P4[5] P4[4] P4[3] P4[2] IDAC2, P0[7] IDAC0, P0[6] OA2-, P0[5] OA2+, P0[4] Vssd Vddd 100 99 98 97 96 95 94 93 92 91 90 89 Vddd 88 Vssd 87 86 85 84 83 82 81 80 79 78 77 76 Vccd C6 0.1 uF C14 0.1 uF Vssd Vssa Vssd Note The two Vccd pins must be connected together with as short a trace as possible. A trace under the device is recommended, as shown in Figure 2-6 on page 10. Document Number: 001-11729 Rev. *S Page 9 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 2-6. Example PCB Layout for 100-pin TQFP Part for Optimal Analog Performance Vssa Vddd Vssd Vdda Vssa Plane Vssd Plane 3. Pin Descriptions IDAC0, IDAC1, IDAC2, IDAC3 Low resistance output pin for high current DACs (IDAC). OpAmp0out, OpAmp1out, OpAmp2out, OpAmp3out High current output of uncommitted opamp[12]. kHz XTAL: Xo, kHz XTAL: Xi 32.768-kHz crystal oscillator pin. MHz XTAL: Xo, MHz XTAL: Xi 4- to 25-MHz crystal oscillator pin. nTRST Extref0, Extref1 Optional JTAG test reset programming and debug port connection to reset the JTAG connection. External reference input to the analog system. SIO OpAmp0-, OpAmp1-, OpAmp2-, OpAmp3- OpAmp0+, OpAmp1+, OpAmp2+, OpAmp3+ Special I/O provides interfaces to the CPU, digital peripherals and interrupts with a programmable high threshold voltage, analog comparator, high sink current, and high impedance state when the device is unpowered. Noninverting input to uncommitted opamp. SWDCK GPIO Serial wire debug clock programming and debug port connection. Inverting input to uncommitted opamp. General purpose I/O pin provides interfaces to the CPU, digital peripherals, analog peripherals, interrupts, LCD segment drive, and CapSense[12]. I2C0: SCL, I2C1: SCL I2C SCL line providing wake from sleep on an address match. Any I/O pin can be used for I2C SCL if wake from sleep is not required. SWDIO Serial wire debug input and output programming and debug port connection. SWV. Single wire viewer debug output. I2C0: SDA, I2C1: SDA TCK I2C JTAG test clock programming and debug port connection. SDA line providing wake from sleep on an address match. Any I/O pin can be used for I2C SDA if wake from sleep is not required. Ind Inductor connection to boost pump. TDI JTAG test data in programming and debug port connection. TDO JTAG test data out programming and debug port connection. Note 12. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-11729 Rev. *S Page 10 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet TMS JTAG test mode select programming and debug port connection. USBIO, D+ Provides D+ connection directly to a USB 2.0 bus. May be used as a digital I/O pin; it is powered from VDDD instead of from a Vddio. Pins are Do Not Use (DNU) on devices without USB. USBIO, D- Provides D- connection directly to a USB 2.0 bus. May be used as a digital I/O pin; it is powered from VDDD instead of from a Vddio. Pins are Do Not Use (DNU) on devices without USB. Vboost Power sense connection to boost pump. 4. CPU 4.1 8051 CPU The CY8C38 devices use a single cycle 8051 CPU, which is fully compatible with the original MCS-51 instruction set. The CY8C38 family uses a pipelined RISC architecture, which executes most instructions in 1 to 2 cycles to provide peak performance of up to 33 MIPS with an average of 2 cycles per instruction. The single cycle 8051 CPU runs ten times faster than a standard 8051 processor. The 8051 CPU subsystem includes these features: Single cycle 8051 CPU Up to 64 KB of flash memory, up to 2 KB of EEPROM, and up to 8 KB of SRAM Vbat Programmable nested vector interrupt controller Battery supply to boost pump. DMA controller Vcca Peripheral HUB (PHUB) Output of analog core regulator and input to analog core. Requires a 1-F capacitor to VSSA. Regulator output not for external use. External memory interface (EMIF) Vccd The following addressing modes are supported by the 8051: Output of digital core regulator and input to digital core. The two VCCD pins must be shorted together, with the trace between them as short as possible, and a 1-F capacitor to VSSD; see Power System on page 29. Regulator output not for external use. Direct Addressing: The operand is specified by a direct 8-bit Vdda Supply for all analog peripherals and analog core regulator. Vdda must be the highest voltage present on the device. All other supply pins must be less than or equal to Vdda. Vddd Supply for all digital peripherals and digital core regulator. Vddd must be less than or equal to Vdda. Vssa Ground for all analog peripherals. Vssb Ground connection for boost pump. Vssd Ground for all digital logic and I/O pins. Vddio0, Vddio1, Vddio2, Vddio3 Supply for I/O pins. Each Vddio must be tied to a valid operating voltage (1.71 V to 5.5 V), and must be less than or equal to Vdda. If the I/O pins associated with Vddio0, Vddio2, or Vddio3 are not used then that Vddio should be tied to ground (Vssd or Vssa). XRES (and configurable XRES) External reset pin. Active low with internal pull-up. Pin P1[2] may be configured to be a XRES pin; see "Nonvolatile Latches (NVLs)" on page 22. 4.2 Addressing Modes address field. Only the internal RAM and the SFRs can be accessed using this mode. Indirect Addressing: The instruction specifies the register which contains the address of the operand. The registers R0 or R1 are used to specify the 8-bit address, while the data pointer (DPTR) register is used to specify the 16-bit address. Register Addressing: Certain instructions access one of the registers (R0 to R7) in the specified register bank. These instructions are more efficient because there is no need for an address field. Register Specific Instructions: Some instructions are specific to certain registers. For example, some instructions always act on the accumulator. In this case, there is no need to specify the operand. Immediate Constants: Some instructions carry the value of the constants directly instead of an address. Indexed Addressing: This type of addressing can be used only for a read of the program memory. This mode uses the Data Pointer as the base and the accumulator value as an offset to read a program memory. Bit Addressing: In this mode, the operand is one of 256 bits. 4.3 Instruction Set The 8051 instruction set is highly optimized for 8-bit handling and Boolean operations. The types of instructions supported include: Arithmetic instructions Logical instructions Data transfer instructions Boolean instructions Program branching instructions Document Number: 001-11729 Rev. *S Page 11 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 4.3.1 Instruction Set Summary 4.3.1.1 Arithmetic Instructions Arithmetic instructions support the direct, indirect, register, immediate constant, and register-specific instructions. Arithmetic modes are used for addition, subtraction, multiplication, division, increment, and decrement operations. Table 4-1 lists the different arithmetic instructions. Table 4-1. Arithmetic Instructions Mnemonic Description Bytes Cycles 1 1 ADD A,Rn Add register to accumulator ADD A,Direct Add direct byte to accumulator 2 2 ADD A,@Ri Add indirect RAM to accumulator 1 2 ADD A,#data Add immediate data to accumulator 2 2 ADDC A,Rn Add register to accumulator with carry 1 1 ADDC A,Direct Add direct byte to accumulator with carry 2 2 ADDC A,@Ri Add indirect RAM to accumulator with carry 1 2 ADDC A,#data Add immediate data to accumulator with carry 2 2 SUBB A,Rn Subtract register from accumulator with borrow 1 1 SUBB A,Direct Subtract direct byte from accumulator with borrow 2 2 SUBB A,@Ri Subtract indirect RAM from accumulator with borrow 1 2 SUBB A,#data Subtract immediate data from accumulator with borrow 2 2 INC Increment accumulator 1 1 A INC Rn Increment register 1 2 INC Direct Increment direct byte 2 3 INC @Ri Increment indirect RAM 1 3 DEC A Decrement accumulator 1 1 DEC Rn Decrement register 1 2 DEC Direct Decrement direct byte 2 3 DEC @Ri Decrement indirect RAM 1 3 INC DPTR Increment data pointer 1 1 MUL Multiply accumulator and B 1 2 DIV Divide accumulator by B 1 6 DAA Decimal adjust accumulator 1 3 4.3.1.2 Logical Instructions The logical instructions perform Boolean operations such as AND, OR, XOR on bytes, rotate of accumulator contents, and swap of nibbles in an accumulator. The Boolean operations on the bytes are performed on the bit-by-bit basis. Table 4-2 shows the list of logical instructions and their description. Table 4-2. Logical Instructions Mnemonic Description Bytes Cycles ANL A,Rn AND register to accumulator 1 1 ANL A,Direct AND direct byte to accumulator 2 2 ANL A,@Ri AND indirect RAM to accumulator 1 2 ANL A,#data AND immediate data to accumulator 2 2 ANL Direct, A AND accumulator to direct byte 2 3 Document Number: 001-11729 Rev. *S Page 12 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 4-2. Logical Instructions (continued) Bytes Cycles ANL Direct, #data Mnemonic AND immediate data to direct byte Description 3 3 ORL A,Rn OR register to accumulator 1 1 ORL A,Direct OR direct byte to accumulator 2 2 ORL A,@Ri OR indirect RAM to accumulator 1 2 ORL A,#data OR immediate data to accumulator 2 2 ORL Direct, A OR accumulator to direct byte 2 3 ORL Direct, #data OR immediate data to direct byte 3 3 XRL A,Rn XOR register to accumulator 1 1 XRL A,Direct XOR direct byte to accumulator 2 2 XRL A,@Ri XOR indirect RAM to accumulator 1 2 XRL A,#data XOR immediate data to accumulator 2 2 XRL Direct, A XOR accumulator to direct byte 2 3 XRL Direct, #data XOR immediate data to direct byte 3 3 CLR A Clear accumulator 1 1 CPL A Complement accumulator 1 1 RL A Rotate accumulator left 1 1 RLC A Rotate accumulator left through carry 1 1 RR A Rotate accumulator right 1 1 RRC A Rotate accumulator right though carry 1 1 SWAP A Swap nibbles within accumulator 1 1 4.3.1.3 Data Transfer Instructions The data transfer instructions are of three types: the core RAM, xdata RAM, and the lookup tables. The core RAM transfer includes transfer between any two core RAM locations or SFRs. These instructions can use direct, indirect, register, and immediate addressing. The xdata RAM transfer includes only the transfer between the accumulator and the xdata RAM location. It can use only indirect addressing. The lookup tables involve nothing but the read of program memory using the Indexed addressing mode. Table 4-3 lists the various data transfer instructions available. 4.3.1.4 Boolean Instructions The 8051 core has a separate bit-addressable memory location. It has 128 bits of bit addressable RAM and a set of SFRs that are bit addressable. The instruction set includes the whole menu of bit operations such as move, set, clear, toggle, OR, and AND instructions and the conditional jump instructions. Table 4-4 lists the available Boolean instructions. Table 4-3. Data Transfer Instructions Mnemonic Description Bytes Cycles MOV A,Rn Move register to accumulator 1 1 MOV A,Direct Move direct byte to accumulator 2 2 MOV A,@Ri Move indirect RAM to accumulator 1 2 MOV A,#data Move immediate data to accumulator 2 2 MOV Rn,A Move accumulator to register 1 1 MOV Rn,Direct Move direct byte to register 2 3 MOV Rn, #data Move immediate data to register 2 2 MOV Direct, A Move accumulator to direct byte 2 2 MOV Direct, Rn Move register to direct byte 2 2 Document Number: 001-11729 Rev. *S Page 13 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 4-3. Data Transfer Instructions (continued) Bytes Cycles MOV Direct, Direct Mnemonic Move direct byte to direct byte Description 3 3 MOV Direct, @Ri Move indirect RAM to direct byte 2 3 MOV Direct, #data Move immediate data to direct byte 3 3 MOV @Ri, A Move accumulator to indirect RAM 1 2 MOV @Ri, Direct Move direct byte to indirect RAM 2 3 MOV @Ri, #data Move immediate data to indirect RAM 2 2 MOV DPTR, #data16 Load data pointer with 16 bit constant 3 3 MOVC A, @A+DPTR Move code byte relative to DPTR to accumulator 1 5 MOVC A, @A + PC Move code byte relative to PC to accumulator 1 4 MOVX A,@Ri Move external RAM (8-bit) to accumulator 1 4 MOVX A, @DPTR Move external RAM (16-bit) to accumulator 1 3 MOVX @Ri, A Move accumulator to external RAM (8-bit) 1 5 MOVX @DPTR, A Move accumulator to external RAM (16-bit) 1 4 PUSH Direct Push direct byte onto stack 2 3 POP Pop direct byte from stack 2 2 Direct XCH A, Rn Exchange register with accumulator 1 2 XCH A, Direct Exchange direct byte with accumulator 2 3 XCH A, @Ri Exchange indirect RAM with accumulator 1 3 Exchange low order indirect digit RAM with accumulator 1 3 Bytes Cycles XCHD A, @Ri Table 4-4. Boolean Instructions Mnemonic Description CLR C Clear carry 1 1 CLR bit Clear direct bit 2 3 SETB C Set carry 1 1 SETB bit Set direct bit 2 3 CPL C Complement carry 1 1 CPL bit Complement direct bit 2 3 ANL C, bit AND direct bit to carry 2 2 ANL C, /bit AND complement of direct bit to carry 2 2 ORL C, bit OR direct bit to carry 2 2 ORL C, /bit OR complement of direct bit to carry 2 2 MOV C, bit Move direct bit to carry 2 2 MOV bit, C Move carry to direct bit 2 3 JC Jump if carry is set 2 3 JNC rel rel Jump if no carry is set 2 3 JB Jump if direct bit is set 3 5 JNB bit, rel Jump if direct bit is not set 3 5 JBC bit, rel Jump if direct bit is set and clear bit 3 5 bit, rel Document Number: 001-11729 Rev. *S Page 14 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 4.3.1.5 Program Branching Instructions The 8051 supports a set of conditional and unconditional jump instructions that help to modify the program execution flow. Table 4-5 shows the list of jump instructions. Table 4-5. Jump Instructions Mnemonic Description Bytes Cycles ACALL addr11 Absolute subroutine call 2 4 LCALL addr16 Long subroutine call 3 4 RET Return from subroutine 1 4 RETI Return from interrupt 1 4 AJMP addr11 Absolute jump 2 3 LJMP addr16 Long jump 3 4 SJMP rel Short jump (relative address) 2 3 JMP @A + DPTR Jump indirect relative to DPTR 1 5 JZ rel Jump if accumulator is zero 2 4 JNZ rel Jump if accumulator is nonzero 2 4 CJNE A,Direct, rel Compare direct byte to accumulator and jump if not equal 3 5 CJNE A, #data, rel Compare immediate data to accumulator and jump if not equal 3 4 CJNE Rn, #data, rel Compare immediate data to register and jump if not equal 3 4 CJNE @Ri, #data, rel Compare immediate data to indirect RAM and jump if not equal 3 5 DJNZ Rn,rel Decrement register and jump if not zero 2 4 DJNZ Direct, rel Decrement direct byte and jump if not zero 3 5 NOP No operation 1 1 4.4 DMA and PHUB 4.4.1 PHUB Features The PHUB and the DMA controller are responsible for data transfer between the CPU and peripherals, and also data transfers between peripherals. The PHUB and DMA also control device configuration during boot. The PHUB consists of: CPU and DMA controller are both bus masters to the PHUB A central hub that includes the DMA controller, arbiter, and Simultaneous CPU and DMA access to peripherals located on Multiple spokes that radiate outward from the hub to most Simultaneous DMA source and destination burst transactions There are two PHUB masters: the CPU and the DMA controller. Both masters may initiate transactions on the bus. The DMA channels can handle peripheral communication without CPU intervention. The arbiter in the central hub determines which DMA channel is the highest priority if there are multiple requests. Supports 8-, 16-, 24-, and 32-bit addressing and data router peripherals Document Number: 001-11729 Rev. *S Eight multi-layer AHB bus parallel access paths (spokes) for peripheral access different spokes on different spokes Table 4-6. PHUB Spokes and Peripherals PHUB Spokes Peripherals 0 SRAM 1 IOs, PICU, EMIF 2 PHUB local configuration, Power manager, Clocks, IC, SWV, EEPROM, Flash programming interface 3 Analog interface and trim, Decimator 4 USB, CAN, I2C, Timers, Counters, and PWMs 5 DFB 6 UDBs group 1 7 UDBs group 2 Page 15 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 4.4.2 DMA Features 24 DMA channels Each channel has one or more transaction descriptors (TD) to configure channel behavior. Up to 128 total TDs can be defined TDs can be dynamically updated Priority levels 2 to 7 are guaranteed the minimum bus bandwidth shown in Table 4-7 after the CPU and DMA priority levels 0 and 1 have satisfied their requirements. Table 4-7. Priority Levels Priority Level % Bus Bandwidth 0 100.0 Eight levels of priority per channel 1 100.0 Any digitally routable signal, the CPU, or another DMA channel, 2 50.0 3 25.0 Each channel can generate up to two interrupts per transfer 4 12.5 Transactions can be stalled or canceled 5 6.2 Supports transaction size of infinite or 1 to 64 KB 6 3.1 TDs may be nested and/or chained for complex transactions 7 1.5 can trigger a transaction 4.4.3 Priority Levels The CPU always has higher priority than the DMA controller when their accesses require the same bus resources. Due to the system architecture, the CPU can never starve the DMA. DMA channels of higher priority (lower priority number) may interrupt current DMA transfers. In the case of an interrupt, the current transfer is allowed to complete its current transaction. To ensure latency limits when multiple DMA accesses are requested simultaneously, a fairness algorithm guarantees an interleaved minimum percentage of bus bandwidth for priority levels 2 through 7. Priority levels 0 and 1 do not take part in the fairness algorithm and may use 100 percent of the bus bandwidth. If a tie occurs on two DMA requests of the same priority level, a simple round robin method is used to evenly share the allocated bandwidth. The round robin allocation can be disabled for each DMA channel, allowing it to always be at the head of the line. When the fairness algorithm is disabled, DMA access is granted based solely on the priority level; no bus bandwidth guarantees are made. 4.4.4 Transaction Modes Supported The flexible configuration of each DMA channel and the ability to chain multiple channels allow the creation of both simple and complex use cases. General use cases include, but are not limited to: 4.4.4.1 Simple DMA In a simple DMA case, a single TD transfers data between a source and sink (peripherals or memory location). The basic timing diagrams of DMA read and write cycles are shown in Figure 4-1. For more description on other transfer modes, refer to the Technical Reference Manual. Figure 4-1. DMA Timing Diagram ADDRESS Phase DATA Phase ADDRESS Phase CLK ADDR 16/32 DATA Phase CLK A ADDR 16/32 B WRITE A B WRITE DATA (A) DATA READY DATA DATA (A) READY Basic DMA Read Transfer without wait states Document Number: 001-11729 Rev. *S Basic DMA Write Transfer without wait states Page 16 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 4.4.4.2 Auto Repeat DMA Auto repeat DMA is typically used when a static pattern is repetitively read from system memory and written to a peripheral. This is done with a single TD that chains to itself. 4.4.4.3 Ping Pong DMA A ping pong DMA case uses double buffering to allow one buffer to be filled by one client while another client is consuming the data previously received in the other buffer. In its simplest form, this is done by chaining two TDs together so that each TD calls the opposite TD when complete. phase TD(s) finish, a status phase TD can be invoked that reads some memory mapped status information from the peripheral and copies it to a location in system memory specified by the CPU for later inspection. Multiple sets of configuration, data, and status phase `subchains' can be strung together to create larger chains that transmit multiple packets in this way. A similar concept exists in the opposite direction to receive the packets. 4.4.4.7 Nested DMA Circular DMA is similar to ping pong DMA except it contains more than two buffers. In this case there are multiple TDs; after the last TD is complete it chains back to the first TD. One TD may modify another TD, as the TD configuration space is memory mapped similar to any other peripheral. For example, a first TD loads a second TD's configuration and then calls the second TD. The second TD moves data as required by the application. When complete, the second TD calls the first TD, which again updates the second TD's configuration. This process repeats as often as necessary. 4.4.4.5 Scatter Gather DMA 4.5 Interrupt Controller In the case of scatter gather DMA, there are multiple noncontiguous sources or destinations that are required to effectively carry out an overall DMA transaction. For example, a packet may need to be transmitted off of the device and the packet elements, including the header, payload, and trailer, exist in various noncontiguous locations in memory. Scatter gather DMA allows the segments to be concatenated together by using multiple TDs in a chain. The chain gathers the data from the multiple locations. A similar concept applies for the reception of data onto the device. Certain parts of the received data may need to be scattered to various locations in memory for software processing convenience. Each TD in the chain specifies the location for each discrete element in the chain. The interrupt controller provides a mechanism for hardware resources to change program execution to a new address, independent of the current task being executed by the main code. The interrupt controller provides enhanced features not found on original 8051 interrupt controllers: 4.4.4.4 Circular DMA 4.4.4.6 Packet Queuing DMA Packet queuing DMA is similar to scatter gather DMA but specifically refers to packet protocols. With these protocols, there may be separate configuration, data, and status phases associated with sending or receiving a packet. For instance, to transmit a packet, a memory mapped configuration register can be written inside a peripheral, specifying the overall length of the ensuing data phase. The CPU can set up this configuration information anywhere in system memory and copy it with a simple TD to the peripheral. After the configuration phase, a data phase TD (or a series of data phase TDs) can begin (potentially using scatter gather). When the data Document Number: 001-11729 Rev. *S Thirty-two interrupt vectors Jumps directly to ISR anywhere in code space with dynamic vector addresses Multiple sources for each vector Flexible interrupt to vector matching Each interrupt vector is independently enabled or disabled Each interrupt can be dynamically assigned one of eight priorities Eight level nestable interrupts Multiple I/O interrupt vectors Software can send interrupts Software can clear pending interrupts Figure 4-2 on page 18 represents typical flow of events when an interrupt triggered. Figure 4-3 on page 19 shows the interrupt structure and priority polling. Page 17 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 4-2. Interrupt Processing Timing Diagram 1 2 3 4 5 6 7 8 9 10 11 S CLK Arrival of new Interrupt INT_INPUT S Pend bit is set on next system clock active edge POST and PEND bits cleared after IRQ is sleared PEND S Interrupt is posted to ascertain the priority POST S Interrupt request sent to core for processing IRQ ACTIVE_INT_NUM (#10) NA NA INT_VECT_ADDR 0x0010 IRQ cleared after receiving IRA S S The active interrupt number is posted to core The active interrupt ISR address is posted to core 0x0000 S S NA S IRA S IRC Interrupt generation and posting to CPU CPU Response Int. State Clear S Completing current instruction and branching to vector address Complete ISR and return TIME Notes 1: Interrupt triggered asynchronous to the clock 2: The PEND bit is set on next active clock edge to indicate the interrupt arrival 3: POST bit is set following the PEND bit 4: Interrupt request and the interrupt number sent to CPU core after evaluation priority (Takes 3 clocks) 5: ISR address is posted to CPU core for branching 6: CPU acknowledges the interrupt request 7: ISR address is read by CPU for branching 8, 9: PEND and POST bits are cleared respectively after receiving the IRA from core 10: IRA bit is cleared after completing the current instruction and starting the instruction execution from ISR location (Takes 7 cycles) 11: IRC is set to indicate the completion of ISR, Active int. status is restored with previous status The total interrupt latency (ISR execution) = POST + PEND + IRQ + IRA + Completing current instruction and branching = 1+1+1+2+7 cycles = 12 cycles Document Number: 001-11729 Rev. *S Page 18 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 4-3. Interrupt Structure Interrupt Polling logic Interrupts form Fixed function blocks, DMA and UDBs Highest Priority Interrupt Enable/ Disable, PEND and POST logic Interrupts 0 to 30 from UDBs 0 Interrupts 0 to 30 from Fixed Function Blocks 1 IRQ 8 Level Priority decoder for all interrupts Polling sequence Interrupt routing logic to select 31 sources Interrupt 2 to 29 Interrupts 0 to 30 from DMA Individual Enable Disable bits 0 to 30 ACTIVE_INT_NUM [15:0] INT_VECT_ADDR IRA IRC 30 Global Enable disable bit When an interrupt is pending, the current instruction is completed and the program counter is pushed onto the stack. Code execution then jumps to the program address provided by the vector. After the ISR is completed, a RETI instruction is executed and returns execution to the instruction following the previously interrupted instruction. To do this the RETI instruction pops the program counter from the stack. If the same priority level is assigned to two or more interrupts, the interrupt with the lower vector number is executed first. Each interrupt vector may choose from three interrupt sources: Fixed Document Number: 001-11729 Rev. *S Lowest Priority Function, DMA, and UDB. The fixed function interrupts are direct connections to the most common interrupt sources and provide the lowest resource cost connection. The DMA interrupt sources provide direct connections to the two DMA interrupt sources provided per DMA channel. The third interrupt source for vectors is from the UDB digital routing array. This allows any digital signal available to the UDB array to be used as an interrupt source. Fixed function interrupts and all interrupt sources may be routed to any interrupt vector using the UDB interrupt source connections. Page 19 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 4-8. Interrupt Vector Table # Fixed Function DMA UDB 0 LVD phub_termout0[0] udb_intr[0] 1 ECC phub_termout0[1] udb_intr[1] 2 Reserved phub_termout0[2] udb_intr[2] 3 Sleep (Pwr Mgr) phub_termout0[3] udb_intr[3] 4 PICU[0] phub_termout0[4] udb_intr[4] 5 PICU[1] phub_termout0[5] udb_intr[5] 6 PICU[2] phub_termout0[6] udb_intr[6] 7 PICU[3] phub_termout0[7] udb_intr[7] 8 PICU[4] phub_termout0[8] udb_intr[8] 9 PICU[5] phub_termout0[9] udb_intr[9] 10 PICU[6] phub_termout0[10] udb_intr[10] 11 PICU[12] phub_termout0[11] udb_intr[11] 12 PICU[15] phub_termout0[12] udb_intr[12] 13 Comparators Combined phub_termout0[13] udb_intr[13] 14 Switched Caps Combined phub_termout0[14] udb_intr[14] phub_termout0[15] udb_intr[15] 2 15 I C 16 CAN phub_termout1[0] udb_intr[16] 17 Timer/Counter0 phub_termout1[1] udb_intr[17] 18 Timer/Counter1 phub_termout1[2] udb_intr[18] 19 Timer/Counter2 phub_termout1[3] udb_intr[19] 20 Timer/Counter3 phub_termout1[4] udb_intr[20] 21 USB SOF Int phub_termout1[5] udb_intr[21] 22 USB Arb Int phub_termout1[6] udb_intr[22] 23 USB Bus Int phub_termout1[7] udb_intr[23] 24 USB Endpoint[0] phub_termout1[8] udb_intr[24] 25 USB Endpoint Data phub_termout1[9] udb_intr[25] 26 Reserved phub_termout1[10] udb_intr[26] 27 LCD phub_termout1[11] udb_intr[27] 28 DFB Int phub_termout1[12] udb_intr[28] 29 Decimator Int phub_termout1[13] udb_intr[29] 30 PHUB Error Int phub_termout1[14] udb_intr[30] 31 EEPROM Fault Int phub_termout1[15] udb_intr[31] Document Number: 001-11729 Rev. *S Page 20 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 5. Memory 5.1 Static RAM CY8C38 SRAM is used for temporary data storage. Up to 8 KB of SRAM is provided and can be accessed by the 8051 or the DMA controller. See Memory Map on page 24. Simultaneous access of SRAM by the 8051 and the DMA controller is possible if different 4-KB blocks are accessed. 5.2 Flash Program Memory Flash memory in PSoC devices provides nonvolatile storage for user firmware, user configuration data, bulk data storage, and optional ECC data. The main flash memory area contains up to 64 KB of user program space. Up to an additional 8 KB of flash space is available for ECC. If ECC is not used this space can store device configuration data and bulk user data. User code may not be run out of the ECC flash memory section. ECC can correct one bit error and detect two bit errors per 8 bytes of firmware memory; an interrupt can be generated when an error is detected. Flash is read in units of rows; each row is 9 bytes wide with 8 bytes of data and 1 byte of ECC data. When a row is read, the data bytes are copied into an 8-byte instruction buffer. The CPU fetches its instructions from this buffer, for improved CPU performance. Flash programming is performed through a special interface and preempts code execution out of flash. The flash programming interface performs flash erasing, programming and setting code protection levels. Flash in-system serial programming (ISSP), typically used for production programming, is possible through both the SWD and JTAG interfaces. In-system programming, typically used for bootloaders, is also possible using serial interfaces such as I2C, USB, UART, and SPI, or any communications protocol. 5.3 Flash Security All PSoC devices include a flexible flash-protection model that prevents access and visibility to on-chip flash memory. This prevents duplication or reverse engineering of proprietary code. Flash memory is organized in blocks, where each block contains 256 bytes of program or data and 32 bytes of ECC or configuration data. A total of up to 256 blocks is provided on 64-KB flash devices. The device offers the ability to assign one of four protection levels to each row of flash. Table 5-1 lists the protection modes available. Flash protection levels can only be changed by performing a complete flash erase. The Full Protection and Field Upgrade settings disable external access (through a debugging tool such as PSoC Creator, for example). If your application requires code update through a bootloader, then use the Field Upgrade setting. Use the Unprotected setting only when no security is needed in your application. The PSoC device also offers an advanced security feature called Device Security which permanently disables all test, programming, and debug ports, protecting your application from external access (see the "Device Security" section on page 64). For more information about how to take full advantage of the security features in PSoC, see the PSoC 3 TRM. Document Number: 001-11729 Rev. *S Table 5-1. Flash Protection Protection Setting Allowed Not Allowed Unprotected External read and write - + internal read and write Factory Upgrade External write + internal read and write External read Field Upgrade Internal read and write External read and write Full Protection Internal read External read and write + internal write Disclaimer Note the following details of the flash code protection features on Cypress devices. Cypress products meet the specifications contained in their particular Cypress data sheets. Cypress believes that its family of products is one of the most secure families of its kind on the market today, regardless of how they are used. There may be methods, unknown to Cypress, that can breach the code protection features. Any of these methods, to our knowledge, would be dishonest and possibly illegal. Neither Cypress nor any other semiconductor manufacturer can guarantee the security of their code. Code protection does not mean that we are guaranteeing the product as `unbreakable'. Cypress is willing to work with the customer who is concerned about the integrity of their code. Code protection is constantly evolving. We at Cypress are committed to continuously improving the code protection features of our products. 5.4 EEPROM PSoC EEPROM memory is a byte-addressable nonvolatile memory. The CY8C38 has up to 2 KB of EEPROM memory to store user data. Reads from EEPROM are random access at the byte level. Reads are done directly; writes are done by sending write commands to an EEPROM programming interface. CPU code execution can continue from flash during EEPROM writes. EEPROM is erasable and writeable at the row level. The EEPROM is divided into 128 rows of 16 bytes each. The CPU can not execute out of EEPROM. There is no ECC hardware associated with EEPROM. If ECC is required it must be handled in firmware. Page 21 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 5.5 Nonvolatile Latches (NVLs) PSoC has a 4-byte array of nonvolatile latches (NVLs) that are used to configure the device at reset. The NVL register map is shown in Table 5-2. Table 5-2. Device Configuration NVL Register Map Register Address 7 6 5 4 3 2 1 0 0x00 PRT3RDM[1:0] PRT2RDM[1:0] PRT1RDM[1:0] PRT0RDM[1:0] 0x01 PRT12RDM[1:0] PRT6RDM[1:0] PRT5RDM[1:0] PRT4RDM[1:0] 0x02 XRESMEN 0x03 PRT15RDM[1:0] DIG_PHS_DLY[3:0] ECCEN DPS[1:0] CFGSPEED The details for individual fields and their factory default settings are shown in Table 5-3:. Table 5-3. Fields and Factory Default Settings Field Description Settings PRTxRDM[1:0] Controls reset drive mode of the corresponding IO port. 00b (default) - high impedance analog See "Reset Configuration" on page 39. All pins of the port 01b - high impedance digital are set to the same mode. 10b - resistive pull up 11b - resistive pull down XRESMEN 0 (default for 68-pin and 100-pin parts) - GPIO Controls whether pin P1[2] is used as a GPIO or as an external reset. See "Pin Descriptions" on page 10, XRES 1 (default for 48-pin parts) - external reset description. CFGSPEED Controls the speed of the IMO-based clock during the device boot process, for faster boot or low-power operation 0 (default) - 12 MHz IMO 1 - 48 MHz IMO DPS{1:0] Controls the usage of various P1 pins as a debug port. See "Programming, Debug Interfaces, Resources" on page 61. 00b - 5-wire JTAG 01b (default) - 4-wire JTAG 10b - SWD 11b - debug ports disabled ECCEN Controls whether ECC flash is used for ECC or for general 0 (default) - ECC disabled configuration and data storage. See "Flash Program 1 - ECC enabled Memory" on page 21. DIG_PHS_DLY[3:0] Selects the digital clock phase delay. See the TRM for details. Although PSoC Creator provides support for modifying the device configuration NVLs, the number of NVL erase / write cycles is limited - see "Nonvolatile Latches (NVL))" on page 106. Document Number: 001-11729 Rev. *S Page 22 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 5.6 External Memory Interface CY8C38 provides an EMIF for connecting to external memory devices. The connection allows read and write accesses to external memories. The EMIF operates in conjunction with UDBs, I/O ports, and other hardware to generate external memory address and control signals. At 33 MHz, each memory access cycle takes four bus clock cycles. Figure 5-1 is the EMIF block diagram. The EMIF supports synchronous and asynchronous memories. The CY8C38 supports only one type of external memory device at a time. External memory can be accessed through the 8051 xdata space; up to 24 address bits can be used. See "xdata Space" section on page 25. The memory can be 8 or 16 bits wide. Figure 5-1. EMIF Block Diagram Address Signals External_ MEM_ ADDR[23:0] I/O PORTs Data Signals External_ MEM_ DATA[15:0] I/O PORTs Control Signals I/O PORTs Data, Address, and Control Signals IO IF PHUB Data, Address, and Control Signals Control DSI Dynamic Output Control UDB DSI to Port Data, Address, and Control Signals EM Control Signals Other Control Signals EMIF Document Number: 001-11729 Rev. *S Page 23 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 5.7 Memory Map Figure 5-2. 8051 Internal Data Space The CY8C38 8051 memory map is very similar to the MCS-51 memory map. 0x00 4 Banks, R0-R7 Each 0x1F 5.7.1 Code Space 0x20 The CY8C38 8051 code space is 64 KB. Only main flash exists in this space. See the Flash Program Memory on page 21. 0x2F Bit-Addressable Area 0x30 5.7.2 Internal Data Space Lower Core RAM Shared with Stack Space (direct and indirect addressing) 0x7F The CY8C38 8051 internal data space is 384 bytes, compressed within a 256-byte space. This space consists of 256 bytes of RAM (in addition to the SRAM mentioned in Static RAM on page 21) and a 128-byte space for special function registers (SFR). See Figure 5-2. The lowest 32 bytes are used for 4 banks of registers R0-R7. The next 16 bytes are bit-addressable. 0x80 0xFF Upper Core RAM Shared with Stack Space (indirect addressing) SFR Special Function Registers (direct addressing) In addition to the register or bit address modes used with the lower 48 bytes, the lower 128 bytes can be accessed with direct or indirect addressing. With direct addressing mode, the upper 128 bytes map to the SFRs. With indirect addressing mode, the upper 128 bytes map to RAM. Stack operations use indirect addressing; the 8051 stack space is 256 bytes. See the "Addressing Modes" section on page 11. 5.7.3 SFRs The SFR space provides access to frequently accessed registers. The memory map for the SFR memory space is shown in Table 5-4. Table 5-4. SFR Map Address 0xF8 0/8 SFRPRT15DR 1/9 SFRPRT15PS 2/A SFRPRT15SEL 3/B - 4/C - 5/D - 6/E - 7/F - 0xF0 B - SFRPRT12SEL - - - - - 0xE8 SFRPRT12DR SFRPRT12PS MXAX - - - - - 0xE0 ACC - - - - - - - 0xD8 SFRPRT6DR SFRPRT6PS SFRPRT6SEL - - - - - 0xD0 PSW - - - - - - - 0xC8 SFRPRT5DR SFRPRT5PS SFRPRT5SEL - - - - - 0xC0 SFRPRT4DR SFRPRT4PS SFRPRT4SEL - - - - - - - - - - 0xB8 0xB0 SFRPRT3DR SFRPRT3PS SFRPRT3SEL - - - - - 0xA8 IE - - - - - - - 0xA0 P2AX - SFRPRT1SEL - - - - - 0x98 SFRPRT2DR SFRPRT2PS SFRPRT2SEL - - - - - 0x90 SFRPRT1DR SFRPRT1PS - DPX0 - DPX1 - - 0x88 - SFRPRT0PS SFRPRT0SEL - - - - - 0x80 SFRPRT0DR SP DPL0 DPH0 DPL1 DPH1 DPS - The CY8C38 family provides the standard set of registers found on industry standard 8051 devices. In addition, the CY8C38 devices add SFRs to provide direct access to the I/O ports on the device. The following sections describe the SFRs added to the CY8C38 family. which data pointer register, DPTR0 or DPTR1, is used for the following instructions: XData Space Access SFRs MOVC A, @A+DPTR The 8051 core features dual DPTR registers for faster data transfer operations. The data pointer select SFR, DPS, selects JMP @A+DPTR Document Number: 001-11729 Rev. *S MOVX @DPTR, A MOVX A, @DPTR Page 24 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet INC DPTR 5.7.3.1 xdata Space MOV DPTR, #data16 The 8051 xdata space is 24-bit, or 16 MB in size. The majority of this space is not `external'--it is used by on-chip components. See Table 5-5. External, that is, off-chip, memory can be accessed using the EMIF. See External Memory Interface on page 23. The extended data pointer SFRs, DPX0, DPX1, MXAX, and P2AX, hold the most significant parts of memory addresses during access to the xdata space. These SFRs are used only with the MOVX instructions. During a MOVX instruction using the DPTR0/DPTR1 register, the most significant byte of the address is always equal to the contents of DPX0/DPX1. Table 5-5. XDATA Data Address Map During a MOVX instruction using the R0 or R1 register, the most significant byte of the address is always equal to the contents of MXAX, and the next most significant byte is always equal to the contents of P2AX. 0x00 0000 - 0x00 1FFF SRAM 0x00 4000 - 0x00 42FF Clocking, PLLs, and oscillators 0x00 4300 - 0x00 43FF Power management 0x00 4400 - 0x00 44FF Interrupt controller I/O Port SFRs The I/O ports provide digital input sensing, output drive, pin interrupts, connectivity for analog inputs and outputs, LCD, and access to peripherals through the DSI. Full information on I/O ports is found in I/O System and Routing on page 33. Address Range Purpose 0x00 4500 - 0x00 45FF Ports interrupt control 0x00 4700 - 0x00 47FF Flash programming interface 0x00 4900 - 0x00 49FF I2C controller 0x00 4E00 - 0x00 4EFF Decimator I/O ports are linked to the CPU through the PHUB and are also available in the SFRs. Using the SFRs allows faster access to a limited set of I/O port registers, while using the PHUB allows boot configuration and access to all I/O port registers. 0x00 4F00 - 0x00 4FFF Fixed timer/counter/PWMs 0x00 5000 - 0x00 51FF I/O ports control 0x00 5400 - 0x00 54FF EMIF control registers Each SFR supported I/O port provides three SFRs: 0x00 5800 - 0x00 5FFF Analog subsystem interface SFRPRTxDR sets the output data state of the port (where x is 0x00 6000 - 0x00 60FF USB controller 0x00 6400 - 0x00 6FFF UDB configuration port number and includes ports 0-6, 12 and 15). The SFRPRTxSEL selects whether the PHUB PRTxDR register or the SFRPRTxDR controls each pin's output buffer within the port. If a SFRPRTxSEL[y] bit is high, the corresponding SFRPRTxDR[y] bit sets the output state for that pin. If a SFRPRTxSEL[y] bit is low, the corresponding PRTxDR[y] bit sets the output state of the pin (where y varies from 0 to 7). The SFRPRTxPS is a read only register that contains pin state values of the port pins. Document Number: 001-11729 Rev. *S 0x00 7000 - 0x00 7FFF PHUB configuration 0x00 8000 - 0x00 8FFF EEPROM 0x00 A000 - 0x00 A400 CAN 0x00 C000 - 0x00 C800 DFB 0x01 0000 - 0x01 FFFF Digital Interconnect configuration 0x05 0220 - 0x05 02F0 Debug controller 0x08 0000 - 0x08 1FFF Flash ECC bytes 0x80 0000 - 0xFF FFFF External memory interface Page 25 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6. System Integration Key features of the clocking system include: Seven general purpose clock sources 6.1 Clocking System The clocking system generates, divides, and distributes clocks throughout the PSoC system. For the majority of systems, no external crystal is required. The IMO and PLL together can generate up to a 66 MHz clock, accurate to 1 percent over voltage and temperature. Additional internal and external clock sources allow each design to optimize accuracy, power, and cost. All of the system clock sources can be used to generate other clock frequencies in the 16-bit clock dividers and UDBs for anything the user wants, for example a UART baud rate generator. Clock generation and distribution is automatically configured through the PSoC Creator IDE graphical interface. This is based on the complete system's requirements. It greatly speeds the design process. PSoC Creator allows you to build clocking systems with minimal input. You can specify desired clock frequencies and accuracies, and the software locates or builds a clock that meets the required specifications. This is possible because of the programmability inherent PSoC. 3- to 62-MHz IMO, 1% at 3 MHz 4- to 25-MHz external crystal oscillator (MHzECO) Clock doubler provides a doubled clock frequency output for the USB block, see USB Clock Domain on page 28. DSI signal from an external I/O pin or other logic 24- to 67-MHz fractional PLL sourced from IMO, MHzECO, or DSI 1-kHz, 33-kHz, 100-kHz ILO for WDT and sleep timer 32.768-kHz external crystal oscillator (kHzECO) for RTC IMO has a USB mode that auto locks to the USB bus clock requiring no external crystal for USB. (USB equipped parts only) Independently sourced clock in all clock dividers Eight 16-bit clock dividers for the digital system Four 16-bit clock dividers for the analog system Dedicated 16-bit divider for the bus clock Dedicated 4-bit divider for the CPU clock Automatic clock configuration in PSoC Creator Table 6-1. Oscillator Summary Source Fmin Tolerance at Fmin Fmax Tolerance at Fmax Startup Time IMO 3 MHz 1% over voltage and temperature 62 MHz 7% 10 s max MHzECO 4 MHz Crystal dependent 25 MHz Crystal dependent 5 ms typ, max is crystal dependent DSI 0 MHz Input dependent 66 MHz Input dependent Input dependent PLL 24 MHz Input dependent 67 MHz Input dependent 250 s max Doubler 48 MHz Input dependent 48 MHz Input dependent 1 s max ILO 1 kHz -50%, +100% 100 kHz -55%, +100% 15 ms max in lowest power mode kHzECO 32 kHz Crystal dependent 32 kHz 500 ms typ, max is crystal dependent Document Number: 001-11729 Rev. *S Crystal dependent Page 26 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 6-1. Clocking Subsystem 3-62 MHz IMO 4-25 MHz ECO External IO or DSI 0-66 MHz 32 kHz ECO 1,33,100 kHz ILO CPU Clock CPU Clock Divider 4 bit 48 MHz Doubler for USB 24-67 MHz PLL System Clock Mux Bus Clock Bus Clock Divider 16 bit 7 Digital Clock Divider 16 bit Digital Clock Divider 16 bit Analog Clock Divider 16 bit s k e w Digital Clock Divider 16 bit Digital Clock Divider 16 bit Analog Clock Divider 16 bit s k e w Digital Clock Divider 16 bit Digital Clock Divider 16 bit Analog Clock Divider 16 bit s k e w Digital Clock Divider 16 bit Digital Clock Divider 16 bit Analog Clock Divider 16 bit s k e w 7 6.1.1 Internal Oscillators 6.1.1.1 Internal Main Oscillator In most designs the IMO is the only clock source required, due to its 1-percent accuracy. The IMO operates with no external components and outputs a stable clock. A factory trim for each frequency range is stored in the device. With the factory trim, tolerance varies from 1 percent at 3 MHz, up to 7 percent at 62 MHz. The IMO, in conjunction with the PLL, allows generation of CPU and system clocks up to the device's maximum frequency (see PLL). The IMO provides clock outputs at 3, 6, 12, 24, 48, and 62 MHz. 6.1.1.2 Clock Doubler The clock doubler outputs a clock at twice the frequency of the input clock. The doubler works at input frequency of 24 MHz, providing 48 MHz for the USB. It can be configured to use a clock from the IMO, MHzECO, or the DSI (external pin). 6.1.1.3 PLL The PLL allows low-frequency, high-accuracy clocks to be multiplied to higher frequencies. This is a trade off between higher clock frequency and accuracy and, higher power consumption and increased startup time. The PLL block provides a mechanism for generating clock frequencies based upon a variety of input sources. The PLL outputs clock frequencies in the range of 24 to 67 MHz. Its input and feedback dividers supply 4032 discrete ratios to create almost any desired system clock frequency. The accuracy of the PLL output depends on the accuracy of the PLL input source. The most common PLL use is to multiply the IMO clock at 3 MHz, where it is most accurate, to generate the CPU and system clocks up to the device's maximum frequency. Document Number: 001-11729 Rev. *S The PLL achieves phase lock within 250 s (verified by bit setting). It can be configured to use a clock from the IMO, MHzECO or DSI (external pin). The PLL clock source can be used until lock is complete and signaled with a lock bit. The lock signal can be routed through the DSI to generate an interrupt. Disable the PLL before entering low-power modes. 6.1.1.4 Internal Low-Speed Oscillator The ILO provides clock frequencies for low-power consumption, including the watchdog timer, and sleep timer. The ILO generates up to three different clocks: 1 kHz, 33 kHz, and 100 kHz. The 1-kHz clock (CLK1K) is typically used for a background `heartbeat' timer. This clock inherently lends itself to low-power supervisory operations such as the watchdog timer and long sleep intervals using the central timewheel (CTW). The central timewheel is a 1-kHz, free running, 13-bit counter clocked by the ILO. The central timewheel is always enabled, except in hibernate mode and when the CPU is stopped during debug on chip mode. It can be used to generate periodic interrupts for timing purposes or to wake the system from a low-power mode. Firmware can reset the central timewheel. Systems that require accurate timing should use the RTC capability instead of the central timewheel. The 100-kHz clock (CLK100K) works as a low-power system clock to run the CPU. It can also generate time intervals such as fast sleep intervals using the fast timewheel. The fast timewheel is a 100-kHz, 5-bit counter clocked by the ILO that can also be used to wake the system. The fast timewheel settings are programmable, and the counter automatically resets when the terminal count is reached. This enables flexible, periodic wakeups of the CPU at a higher rate than is allowed using the central timewheel. The fast timewheel can generate an optional interrupt each time the terminal count is reached. Page 27 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet The 33-kHz clock (CLK33K) comes from a divide-by-3 operation on CLK100K. This output can be used as a reduced accuracy version of the 32.768-kHz ECO clock with no need for a crystal. 6.1.2 External Oscillators 6.1.2.1 MHz External Crystal Oscillator The MHzECO provides high frequency, high precision clocking using an external crystal (see Figure 6-2). It supports a wide variety of crystal types, in the range of 4 to 25 MHz. When used in conjunction with the PLL, it can generate CPU and system clocks up to the device's maximum frequency (see PLL). The GPIO pins connecting to the external crystal and capacitors are fixed. MHzECO accuracy depends on the crystal chosen. Figure 6-2. MHzECO Block Diagram 4 - 25 MHz Crystal Osc XCLK_MHZ 6.1.2.3 Digital System Interconnect The DSI provides routing for clocks taken from external clock oscillators connected to I/O. The oscillators can also be generated within the device in the digital system and UDBs. While the primary DSI clock input provides access to all clocking resources, up to eight other DSI clocks (internally or externally generated) may be routed directly to the eight digital clock dividers. This is only possible if there are multiple precision clock sources. 6.1.3 Clock Distribution All seven clock sources are inputs to the central clock distribution system. The distribution system is designed to create multiple high precision clocks. These clocks are customized for the design's requirements and eliminate the common problems found with limited resolution prescalers attached to peripherals. The clock distribution system generates several types of clock trees. The system clock is used to select and supply the fastest clock in the system for general system clock requirements and clock synchronization of the PSoC device. Bus clock 16-bit divider uses the system clock to generate the Xo (Pin P15[0]) Xi (Pin P15[1]) 4 -25 MHz crystal External Components Capacitors 6.1.2.2 32.768-kHz ECO The 32.768-kHz external crystal oscillator (32kHzECO) provides precision timing with minimal power consumption using an external 32.768-kHz watch crystal (see Figure 6-3). The 32kHzECO also connects directly to the sleep timer and provides the source for the RTC. The RTC uses a 1-second interrupt to implement the RTC functionality in firmware. The oscillator works in two distinct power modes. This allows users to trade off power consumption with noise immunity from neighboring circuits. The GPIO pins connected to the external crystal and capacitors are fixed. Figure 6-3. 32kHzECO Block Diagram 32 kHz Crystal Osc Xi (Pin P15[3]) External Components XCLK32K system's bus clock used for data transfers. Bus clock is the source clock for the CPU clock divider. Eight fully programmable 16-bit clock dividers generate digital system clocks for general use in the digital system, as configured by the design's requirements. Digital system clocks can generate custom clocks derived from any of the seven clock sources for any purpose. Examples include baud rate generators, accurate PWM periods, and timer clocks, and many others. If more than eight digital clock dividers are required, the UDBs and fixed function timer/counter/PWMs can also generate clocks. Four 16-bit clock dividers generate clocks for the analog system components that require clocking, such as ADC and mixers. The analog clock dividers include skew control to ensure that critical analog events do not occur simultaneously with digital switching events. This is done to reduce analog system noise. Each clock divider consists of an 8-input multiplexer, a 16-bit clock divider (divide by 2 and higher) that generates ~50 percent duty cycle clocks, system clock resynchronization logic, and deglitch logic. The outputs from each digital clock tree can be routed into the digital system interconnect and then brought back into the clock system as an input, allowing clock chaining of up to 32 bits. 6.1.4 USB Clock Domain Xo (Pin P15[2]) 32 kHz crystal The USB clock domain is unique in that it operates largely asynchronously from the main clock network. The USB logic contains a synchronous bus interface to the chip, while running on an asynchronous clock to process USB data. The USB logic requires a 48 MHz frequency. This frequency can be generated from different sources, including DSI clock at 48 MHz or doubled value of 24 MHz from internal oscillator, DSI signal, or crystal oscillator. Capacitors Document Number: 001-11729 Rev. *S Page 28 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6.2 Power System The power system consists of separate analog, digital, and I/O supply pins, labeled Vdda, Vddd, and Vddiox, respectively. It also includes two internal 1.8-V regulators that provide the digital (Vccd) and analog (Vcca) supplies for the internal core logic. The output pins of the regulators (Vccd and Vcca) and the Vddio pins must have capacitors connected as shown in Figure 6-4. The two Vccd pins must be shorted together, with as short a trace as possible, and connected to a 1-F 10-percent X5R capacitor. The power system also contains a sleep regulator, an I2C regulator, and a hibernate regulator. Figure 6-4. PSoC Power System Vddd 1 F Vddio2 Vddd I/O Supply Vssd Vccd Vddio2 Vddio0 0.1 F 0.1 F I/O Supply Vddio0 0.1 F I2C Regulator Sleep Regulator Digital Domain Vdda Vdda Vcca Analog Regulator Digital Regulators Vssb 0.1 F 1 F . Vssa Analog Domain 0.1 F I/O Supply Vddio3 Vddd Vssd I/O Supply Vccd Vddio1 Hibernate Regulator 0.1 F 0.1 F Vddio1 Vddd Vddio3 Note The two Vccd pins must be connected together with as short a trace as possible. A trace under the device is recommended, as shown in Figure 2-6 on page 10. Document Number: 001-11729 Rev. *S Page 29 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6.2.1 Power Modes PSoC 3 devices have four different power modes, as shown in Table 6-2 and Table 6-3. The power modes allow a design to easily provide required functionality and processing power while simultaneously minimizing power consumption and maximizing battery life in low-power and portable devices. PSoC 3 power modes, in order of decreasing power consumption are: Active Alternate Active Active is the main processing mode. Its functionality is configurable. Each power controllable subsystem is enabled or disabled by using separate power configuration template registers. In alternate active mode, fewer subsystems are enabled, reducing power. In sleep mode most resources are disabled regardless of the template settings. Sleep mode is optimized to provide timed sleep intervals and Real Time Clock functionality. The lowest power mode is hibernate, which retains register and SRAM state, but no clocks, and allows wakeup only from I/O pins. Figure 6-5 on page 31 illustrates the allowable transitions between power modes Sleep Hibernate Table 6-2. Power Modes Power Modes Description Active Primary mode of operation, all peripherals available (programmable) Alternate Active Entry Condition Wakeup Source Active Clocks Regulator Any All regulators available. Wakeup, reset, Any interrupt (programmable) Digital and analog manual register regulators can be disabled entry if external regulation used. Manual register Any interrupt Any All regulators available. entry (programmable) Digital and analog regulators can be disabled if external regulation used. Similar to Active mode, and is typically configured to have fewer peripherals active to reduce power. One possible configuration is to use the UDBs for processing, with the CPU turned off All subsystems automatically Manual register disabled entry Sleep Manual register All subsystems automatically entry disabled Lowest power consuming mode with all peripherals and internal regulators disabled, except hibernate regulator is enabled Configuration and memory contents retained Hibernate Comparator, ILO/kHzECO PICU, I2C, RTC, CTW, LVD PICU Both digital and analog regulators buzzed. Digital and analog regulators can be disabled if external regulation used. Only hibernate regulator active. Table 6-3. Power Modes Wakeup Time and Power Consumption Sleep Modes Active Alternate Active Sleep Wakeup Time Current (typ) Code Execution Digital Resources Analog Resources Clock Sources Available Wakeup Sources Reset Sources - 1.2 mA[13] Yes All All All - All - - User defined All All All - All <15 s 1 A No I2C Comparator ILO/kHzECO Comparator, PICU, I2C, RTC, CTW, LVD XRES, LVD, WDR 200 nA No None None None PICU XRES Hibernate <100 s Note 13. Bus clock off. Execute from CPU instruction buffer at 6 MHz. See Table 11-2 on page 67. Document Number: 001-11729 Rev. *S Page 30 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 6-5. Power Mode Transitions Active central timewheel provides periodic interrupts to allow the system to wake up, poll peripherals, or perform real-time functions. Reset event sources include the external reset I/O pin (XRES), WDT, and precision reset (PRES). 6.2.2 Boost Converter Manual Sleep Hibernate Buzz Alternate Active 6.2.1.1 Active Mode Active mode is the primary operating mode of the device. When in active mode, the active configuration template bits control which available resources are enabled or disabled. When a resource is disabled, the digital clocks are gated, analog bias currents are disabled, and leakage currents are reduced as appropriate. User firmware can dynamically control subsystem power by setting and clearing bits in the active configuration template. The CPU can disable itself, in which case the CPU is automatically reenabled at the next wakeup event. Applications that use a supply voltage of less than 1.71 V, such as solar or single cell battery supplies, may use the on-chip boost converter. The boost converter may also be used in any system that requires a higher operating voltage than the supply provides. For instance, this includes driving 5.0 V LCD glass in a 3.3 V system. The boost converter accepts an input voltage as low as 0.5 V. With one low cost inductor it produces a selectable output voltage sourcing enough current to operate the PSoC and other on-board components. The boost converter accepts an input voltage from 0.5 V to 5.5 V (VBAT), and can start up with Vbat as low as 0.5 V. The converter provides a user configurable output voltage of 1.8 to 5.0 V (Vboost). Vbat is typically less than Vboost; if Vbat is greater than or equal to Vboost, then Vboost will be the same as Vbat. The block can deliver up to 50 mA (IBOOST) depending on configuration. When a wakeup event occurs, the global mode is always returned to active, and the CPU is automatically enabled, regardless of its template settings. Active mode is the default global power mode upon boot. Four pins are associated with the boost converter: Vbat, Vssb, Vboost, and Ind. The boosted output voltage is sensed at the Vboost pin and must be connected directly to the chip's supply inputs. An inductor is connected between the Vbat and Ind pins. You can optimize the inductor value to increase the boost converter efficiency based on input voltage, output voltage, current and switching frequency. The External Schottky diode shown in Figure 6-6 is required only in cases when Vboost > 3.6 V. 6.2.1.2 Alternate Active Mode Figure 6-6. Application for Boost Converter Alternate Active mode is very similar to Active mode. In alternate active mode, fewer subsystems are enabled, to reduce power consumption. One possible configuration is to turn off the CPU and flash, and run peripherals at full speed. 6.2.1.3 Sleep Mode Sleep mode reduces power consumption when a resume time of 15 s is acceptable. The wake time is used to ensure that the regulator outputs are stable enough to directly enter active mode. Vboost Vdda Vddd Optional Schottky Diode. Only required when Vdd >3.6 V. IND 22 F PSoC 0.1 F 10 H 6.2.1.4 Hibernate Mode In hibernate mode nearly all of the internal functions are disabled. Internal voltages are reduced to the minimal level to keep vital systems alive. Configuration state is preserved in hibernate mode and SRAM memory is retained. GPIOs configured as digital outputs maintain their previous values and external GPIO pin interrupt settings are preserved. The device can only return from hibernate mode in response to an external I/O interrupt. The resume time from hibernate mode is less than 100 s. 6.2.1.5 Wakeup Events Wakeup events are configurable and can come from an interrupt or device reset. A wakeup event restores the system to active mode. Firmware enabled interrupt sources include internally generated interrupts, power supervisor, central timewheel, and I/O interrupts. Internal interrupt sources can come from a variety of peripherals, such as analog comparators and UDBs. The Document Number: 001-11729 Rev. *S 22 F Vbat Vssb Vssa Vssd The switching frequency can be set to 100 kHz, 400 kHz, 2 MHz, or 32 kHz to optimize efficiency and component cost. The 100 kHz, 400 kHz, and 2 MHz switching frequencies are generated using oscillators internal to the boost converter block. When the 32-kHz switching frequency is selected, the clock is derived from a 32 kHz external crystal oscillator. The 32-kHz external clock is primarily intended for boost standby mode. At 2 MHz the Vboost output is limited to 2 x Vbat, and at 400 kHz Vboost is limited to 4 x Vbat. The boost converter can be operated in two different modes: active and standby. Active mode is the normal mode of operation where the boost regulator actively generates a regulated output Page 31 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet voltage. In standby mode, most boost functions are disabled, thus reducing power consumption of the boost circuit. The converter can be configured to provide low-power, low-current regulation in the standby mode. The external 32-kHz crystal can be used to generate inductor boost pulses on the rising and falling edge of the clock when the output voltage is less than the programmed value. This is called automatic thump mode (ATM). The boost typically draws 200 A in active mode and 12 A in standby mode. The boost operating modes must be used in conjunction with chip power modes to minimize the total chip power consumption. Table 6-4 lists the boost power modes available in different chip power modes. Figure 6-7. Resets Vddd Vdda Power Voltage Level Monitors Reset Pin External Reset Processor Interrupt Reset Controller System Reset Table 6-4. Chip and Boost Power Modes Compatibility Chip Power Modes Boost Power Modes Chip - Active mode Boost can be operated in either active or standby mode. Chip - Sleep mode Boost can be operated in either active or standby mode. However, it is recommended to operate boost in standby mode for low-power consumption Chip - Hibernate mode Boost can only be operated in active mode. However, it is recommended not to use boost in chip hibernate mode due to high current consumption in boost active mode If the boost converter is not used in a given application, tie the Vbat, Vssb, and Vboost pins to ground and leave the Ind pin unconnected. 6.3 Reset CY8C38 has multiple internal and external reset sources available. The reset sources are: Power source monitoring - The analog and digital power voltages, Vdda, Vddd, Vcca, and Vccd are monitored in several different modes during power up, active mode, and sleep mode (buzzing). If any of the voltages goes outside predetermined ranges then a reset is generated. The monitors are programmable to generate an interrupt to the processor under certain conditions before reaching the reset thresholds. External - The device can be reset from an external source by pulling the reset pin (XRES) low. The XRES pin includes an internal pull-up to Vddio1. Vddd, Vdda, and Vddio1 must all have voltage applied before the part comes out of reset. Watchdog Timer Software Reset Register The term device reset indicates that the processor as well as analog and digital peripherals and registers are reset. A reset status register holds the source of the most recent reset or power voltage monitoring interrupt. The program may examine this register to detect and report exception conditions. This register is cleared after a power-on reset. 6.3.1 Reset Sources 6.3.1.1 Power Voltage Level Monitors IPOR - Initial power-on reset At initial power on, IPOR monitors the power voltages VDDD and VDDA, both directly at the pins and at the outputs of the corresponding internal regulators. The trip level is not precise. It is set to approximately 1 volt, which is below the lowest specified operating voltage but high enough for the internal circuits to be reset and to hold their reset state. The monitor generates a reset pulse that is at least 100 ns wide. It may be much wider if one or more of the voltages ramps up slowly. To save power the IPOR circuit is disabled when the internal digital supply is stable. Voltage supervision is then handed off to the precise low voltage reset (PRES) circuit. When the voltage is high enough for PRES to release, the IMO starts. PRES - Precise low voltage reset Watchdog timer - A watchdog timer monitors the execution of This circuit monitors the outputs of the analog and digital internal regulators after power up. The regulator outputs are compared to a precise reference voltage. The response to a PRES trip is identical to an IPOR reset. Software - The device can be reset under program control. In normal operating mode, the program cannot disable the digital PRES circuit. The analog regulator can be disabled, which also disables the analog portion of the PRES. The PRES circuit is disabled automatically during sleep and hibernate modes, with one exception: During sleep mode the regulators are periodically activated (buzzed) to provide supervisory services and to reduce wakeup time. At these times the PRES circuit is also buzzed to allow periodic voltage monitoring. instructions by the processor. If the watchdog timer is not reset by firmware within a certain period of time, the watchdog timer generates a reset. Document Number: 001-11729 Rev. *S Page 32 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet ALVI, DLVI, AHVI - Analog/digital low voltage interrupt, analog high voltage interrupt Interrupt circuits are available to detect when Vdda and Vddd go outside a voltage range. For AHVI, Vdda is compared to a fixed trip level. For ALVI and DLVI, Vdda and Vddd are compared to trip levels that are programmable, as listed in Table 6-5. ALVI and DLVI can also be configured to generate a device reset instead of an interrupt. Table 6-5. Analog/Digital Low Voltage Interrupt, Analog High Voltage Interrupt Interrupt Supply DLVI VDDD ALVI VDDA AHVI VDDA Normal Available Trip Voltage Settings Range 1.71 V-5.5 V 1.70 V-5.45 V in 250 mV increments 1.71 V-5.5 V 1.70 V-5.45 V in 250 mV increments 1.71 V-5.5 V 5.75 V Accuracy 2% 2% 2% The monitors are disabled until after IPOR. During sleep mode these circuits are periodically activated (buzzed). If an interrupt occurs during buzzing then the system first enters its wakeup sequence. The interrupt is then recognized and may be serviced. 6.3.1.2 Other Reset Sources XRES - External reset PSoC 3 has either a single GPIO pin that is configured as an external reset or a dedicated XRES pin. Either the dedicated XRES pin or the GPIO pin, if configured, holds the part in reset while held active (low). The response to an XRES is the same as to an IPOR reset. The external reset is active low. It includes an internal pull-up resistor. XRES is active during sleep and hibernate modes. SRES - Software reset A reset can be commanded under program control by setting a bit in the software reset register. This is done either directly by the program or indirectly by DMA access. The response to a SRES is the same as after an IPOR reset. Another register bit exists to disable this function. WRES - Watchdog timer reset The watchdog reset detects when the software program is no longer being executed correctly. To indicate to the watchdog timer that it is running correctly, the program must periodically reset the timer. If the timer is not reset before a user-specified amount of time, then a reset is generated. Note IPOR disables the watchdog function. The program must enable the watchdog function at an appropriate point in the code by setting a register bit. When this bit is set, it cannot be cleared again except by an IPOR power on reset event. 6.4 I/O System and Routing PSoC I/Os are extremely flexible. Every GPIO has analog and digital I/O capability. All I/Os have a large number of drive modes, which are set at POR. PSoC also provides up to four individual I/O voltage domains through the Vddio pins. There are two types of I/O pins on every device; those with USB provide a third type. Both GPIO and SIO provide similar digital functionality. The primary differences are their analog capability and drive strength. Devices that include USB also provide two USBIO pins that support specific USB functionality as well as limited GPIO capability. All I/O pins are available for use as digital inputs and outputs for both the CPU and digital peripherals. In addition, all I/O pins can generate an interrupt. The flexible and advanced capabilities of the PSoC I/O, combined with any signal to any pin routability, greatly simplify circuit design and board layout. All GPIO pins can be used for analog input, CapSense[14], and LCD segment drive, while SIO pins are used for voltages in excess of VDDA and for programmable output voltages. Features supported by both GPIO and SIO: User programmable port reset state Separate I/O supplies and voltages for up to four groups of I/O Digital peripherals use DSI to connect the pins Input or output or both for CPU and DMA Eight drive modes Every pin can be an interrupt source configured as rising edge, falling edge or both edges. If required, level sensitive interrupts are supported through the DSI Dedicated port interrupt vector for each port Slew rate controlled digital output drive mode Access port control and configuration registers on either port basis or pin basis Separate port read (PS) and write (DR) data registers to avoid read modify write errors Special functionality on a pin by pin basis Additional features only provided on the GPIO pins: LCD segment drive on LCD equipped devices [14] CapSense Analog input and output capability Continuous 100 A clamp current capability Standard drive strength down to 1.7 V Additional features only provided on SIO pins: Higher drive strength than GPIO Hot swap capability (5 V tolerance at any operating VDD) Programmable and regulated high input and output drive levels down to 1.2 V No analog input, CapSense, or LCD capability Over voltage tolerance up to 5.5 V SIO can act as a general purpose analog comparator USBIO features: Full speed USB 2.0 compliant I/O Highest drive strength for general purpose use Input, output, or both for CPU and DMA Input, output, or both for digital peripherals Digital output (CMOS) drive mode Each pin can be an interrupt source configured as rising edge, falling edge, or both edges Note 14. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-11729 Rev. *S Page 33 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 6-8. GPIO Block Diagram Digital Input Path Naming Convention `x' = Port Number `y' = Pin Number PRT[x]CTL PRT[x]DBL_SYNC_IN PRT[x]PS Digital System Input PICU[x]INTTYPE[y] Input Buffer Disable PICU[x]INTSTAT Interrupt Logic Pin Interrupt Signal PICU[x]INTSTAT Digital Output Path PRT[x]SLW PRT[x]SYNC_OUT Vddio Vddio PRT[x]DR 0 Digital System Output In 1 Vddio PRT[x]BYP Drive Logic PRT[x]DM2 PRT[x]DM1 PRT[x]DM0 Bidirectional Control PRT[x]BIE Analog Slew Cntl PIN OE 1 Capsense Global Control 0 1 0 1 CAPS[x]CFG1 Switches PRT[x]AG Analog Global Enable PRT[x]AMUX Analog Mux Enable LCD Display Data PRT[x]LCD_COM_SEG Logic & MUX PRT[x]LCD_EN LCD Bias Bus Document Number: 001-11729 Rev. *S 5 Page 34 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 6-9. SIO Input/Output Block Diagram Digital Input Path PRT[x]SIO_HYST_EN PRT[x]SIO_DIFF Reference Level PRT[x]DBL_SYNC_IN Naming Convention `x' = Port Number `y' = Pin Number Buffer Thresholds PRT[x]PS Digital System Input PICU[x]INTTYPE[y] Input Buffer Disable PICU[x]INTSTAT Interrupt Logic Pin Interrupt Signal PICU[x]INTSTAT Digital Output Path Reference Level PRT[x]SIO_CFG PRT[x]SLW PRT[x]SYNC_OUT PRT[x]DR Driver Vhigh 0 Digital System Output In 1 PRT[x]BYP Drive Logic PRT[x]DM2 PRT[x]DM1 PRT[x]DM0 Bidirectional Control PRT[x]BIE Slew Cntl PIN OE Figure 6-10. USBIO Block Diagram Digital Input Path Naming Convention `x' = Port Number `y' = Pin Number USB Receiver Circuitry PRT[x]DBL_SYNC_IN USBIO_CR1[0,1] Digital System Input PICU[x]INTTYPE[y] PICU[x]INTSTAT Interrupt Logic Pin Interrupt Signal PICU[x]INTSTAT Digital Output Path PRT[x]SYNC_OUT D+ pin only USBIO_CR1[7] USB or I/O USB SIE Control for USB Mode USBIO_CR1[4,5] Digital System Output PRT[x]BYP Vddd 0 1 In Drive Logic Vddd 5k Vddd Vddd 1.5 k PIN USBIO_CR1[2] USBIO_CR1[3] USBIO_CR1[6] Document Number: 001-11729 Rev. *S D+ 1.5 k D+D- 5 k Open Drain Page 35 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6.4.1 Drive Modes Each GPIO and SIO pin is individually configurable into one of the eight drive modes listed in Table 6-6. Three configuration bits are used for each pin (DM[2:0]) and set in the PRTxDM[2:0] registers. Figure 6-11 depicts a simplified pin view based on each of the eight drive modes. Table 6-6 shows the I/O pin's drive state based on the port data register value or digital array signal if bypass mode is selected. Note that the actual I/O pin voltage is determined by a combination of the selected drive mode and the load at the pin. For example, if a GPIO pin is configured for resistive pull-up mode and driven high while the pin is floating, the voltage measured at the pin is a high logic state. If the same GPIO pin is externally tied to ground then the voltage unmeasured at the pin is a low logic state. Figure 6-11. Drive Mode Vddio DR PS 0. Pin High Impedance Analog DR PS Pin 1. High Impedance Digital DR PS Pin 2. Resistive Pull-Up Vddio DR PS 4. Open Drain, Drives Low Pin Vddio DR PS 3. Resistive Pull-Down Vddio DR PS Pin 5. Open Drain, Drives High DR PS Pin Vddio Pin 6. Strong Drive DR PS Pin 7. Resistive Pull-Up and Pull-Down Table 6-6. Drive Modes Diagram PRTxDM2 PRTxDM1 PRTxDM0 PRTxDR = 1 PRTxDR = 0 0 High impedence analog Drive Mode 0 0 0 High Z High Z 1 High Impedance digital 0 0 1 High Z High Z 2 Resistive pull-up[15] 0 1 0 Res High (5K) Strong Low 3 Resistive pull-down[15] 0 1 1 Strong High Res Low (5K) 4 Open drain, drives low 1 0 0 High Z Strong Low 5 Open drain, drive high 1 0 1 Strong High High Z 6 Strong drive 1 1 0 Strong High Strong Low 7 Resistive pull-up and pull-down[15] 1 1 1 Res High (5K) Res Low (5K) Note 15. Resistive pull-up and pull-down are not available with SIO in regulated output mode. Document Number: 001-11729 Rev. *S Page 36 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet High impedance analog The default reset state with both the output driver and digital input buffer turned off. This prevents any current from flowing in the I/O's digital input buffer due to a floating voltage. This state is recommended for pins that are floating or that support an analog voltage. High impedance analog pins do not provide digital input functionality. To achieve the lowest chip current in sleep modes, all I/Os must either be configured to the high impedance analog mode, or have their pins driven to a power supply rail by the PSoC device or by external circuitry. High impedance digital The input buffer is enabled for digital signal input. This is the standard high impedance (High Z) state recommended for digital inputs. Resistive pull-up or resistive pull-down Resistive pull-up or pull-down, respectively, provides a series resistance in one of the data states and strong drive in the other. Pins can be used for digital input and output in these modes. Interfacing to mechanical switches is a common application for these modes. Resistive pullup and pull-down are not available with SIO in regulated output mode. Open drain, drives high and open drain, drives low Open drain modes provide high impedance in one of the data states and strong drive in the other. Pins can be used for digital input and output in these modes. A common application for these modes is driving the I2C bus signal lines. Strong drive Provides a strong CMOS output drive in either high or low state. This is the standard output mode for pins. Strong Drive mode pins must not be used as inputs under normal circumstances. This mode is often used to drive digital output signals or external FETs. Resistive pull-up and pull-down Similar to the resistive pull-up and resistive pull-down modes except the pin is always in series with a resistor. The high data state is pull-up while the low data state is pull-down. This mode is most often used when other signals that may cause shorts can drive the bus. Resistive pullup and pull-down are not available with SIO in regulated output mode. 6.4.2 Pin Registers Registers to configure and interact with pins come in two forms that may be used interchangeably. All I/O registers are available in the standard port form, where each bit of the register corresponds to one of the port pins. This register form is efficient for quickly reconfiguring multiple port pins at the same time. I/O registers are also available in pin form, which combines the eight most commonly used port register bits into a single register for each pin. This enables very fast configuration changes to individual pins with a single register write. Document Number: 001-11729 Rev. *S 6.4.3 Bidirectional Mode High speed bidirectional capability allows pins to provide both the high impedance digital drive mode for input signals and a second user selected drive mode such as strong drive (set using PRTxDM[2:0] registers) for output signals on the same pin, based on the state of an auxiliary control bus signal. The bidirectional capability is useful for processor busses and communications interfaces such as the SPI Slave MISO pin that requires dynamic hardware control of the output buffer. The auxiliary control bus routes up to 16 UDB or digital peripheral generated output enable signals to one or more pins. 6.4.4 Slew Rate Limited Mode GPIO and SIO pins have fast and slow output slew rate options for strong and open drain drive modes, not resistive drive modes. Because it results in reduced EMI, the slow edge rate option is recommended for signals that are not speed critical, generally less than 1 MHz. The fast slew rate is for signals between 1 MHz and 33 MHz. The slew rate is individually configurable for each pin, and is set by the PRTxSLW registers. 6.4.5 Pin Interrupts All GPIO and SIO pins are able to generate interrupts to the system. All eight pins in each port interface to their own Port Interrupt Control Unit (PICU) and associated interrupt vector. Each pin of the port is independently configurable to detect rising edge, falling edge, both edge interrupts, or to not generate an interrupt. Depending on the configured mode for each pin, each time an interrupt event occurs on a pin, its corresponding status bit of the interrupt status register is set to `1' and an interrupt request is sent to the interrupt controller. Each PICU has its own interrupt vector in the interrupt controller and the pin status register providing easy determination of the interrupt source down to the pin level. Port pin interrupts remain active in all sleep modes allowing the PSoC device to wake from an externally generated interrupt. While level sensitive interrupts are not directly supported; UDB provide this functionality to the system when needed. 6.4.6 Input Buffer Mode GPIO and SIO input buffers can be configured at the port level for the default CMOS input thresholds or the optional LVTTL input thresholds. All input buffers incorporate Schmitt triggers for input hysteresis. Additionally, individual pin input buffers can be disabled in any drive mode. 6.4.7 I/O Power Supplies Up to four I/O pin power supplies are provided depending on the device and package. Each I/O supply must be less than or equal to the voltage on the chip's analog (VDDA) pin. This feature allows users to provide different I/O voltage levels for different pins on the device. Refer to the specific device package pinout to determine Vddio capability for a given port and pin. The SIO port pins support an additional regulated high output capability, as described in Adjustable Output Level. Page 37 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6.4.8 Analog Connections These connections apply only to GPIO pins. All GPIO pins may be used as analog inputs or outputs. The analog voltage present on the pin must not exceed the Vddio supply voltage to which the GPIO belongs. Each GPIO may connect to one of the analog global busses or to one of the analog mux buses to connect any pin to any internal analog resource such as ADC or comparators. In addition, select pins provide direct connections to specific analog features such as the high current DACs or uncommitted opamps. 6.4.9 CapSense This section applies only to GPIO pins. All GPIO pins may be used to create CapSense buttons and sliders[16]. See the "CapSense" section on page 59 for more information. 6.4.10 LCD Segment Drive Figure 6-12. SIO Reference for Input and Output Input Path Digital Input Vinref Reference Generator SIO_Ref Voutref Output Path Driver Vhigh This section applies only to GPIO pins. All GPIO pins may be used to generate Segment and Common drive signals for direct glass drive of LCD glass. See the "LCD Direct Drive" section on page 58 for details. 6.4.11 Adjustable Output Level This section applies only to SIO pins. SIO port pins support the ability to provide a regulated high output level for interface to external signals that are lower in voltage than the SIO's respective Vddio. SIO pins are individually configurable to output either the standard Vddio level or the regulated output, which is based on an internally generated reference. Typically a voltage DAC (VDAC) is used to generate the reference (see Figure 6-12). The "DAC" section on page 59 has more details on VDAC use and reference routing to the SIO pins. Resistive pullup and pull-down drive modes are not available with SIO in regulated output mode. 6.4.12 Adjustable Input Level This section applies only to SIO pins. SIO pins by default support the standard CMOS and LVTTL input levels but also support a differential mode with programmable levels. SIO pins are grouped into pairs. Each pair shares a reference generator block which, is used to set the digital input buffer reference level for interface to external signals that differ in voltage from Vddio. The reference sets the pins voltage threshold for a high logic level (see Figure 6-12). Available input thresholds are: PIN Digital Output Drive Logic 6.4.13 SIO as Comparator This section applies only to SIO pins. The adjustable input level feature of the SIOs as explained in the Adjustable Input Level section can be used to construct a comparator. The threshold for the comparator is provided by the SIO's reference generator. The reference generator has the option to set the analog signal routed through the analog global line as threshold for the comparator. Note that a pair of SIO pins share the same threshold. The digital input path in Figure 6-9 on page 35 illustrates this functionality. In the figure, `Reference level' is the analog signal routed through the analog global. The hysteresis feature can also be enabled for the input buffer of the SIO, which increases noise immunity for the comparator. 0.5 x Vddio 6.4.14 Hot Swap 0.4 x Vddio This section applies only to SIO pins. SIO pins support `hot swap' capability to plug into an application without loading the signals that are connected to the SIO pins even when no power is applied to the PSoC device. This allows the unpowered PSoC to maintain a high impedance load to the external device while also preventing the PSoC from being powered through a GPIO pin's protection diode. 0.5 x VREF VREF Typically a voltage DAC (VDAC) generates the VREF reference. "DAC" section on page 59 has more details on VDAC use and reference routing to the SIO pins. Note 16. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-11729 Rev. *S Page 38 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 6.4.15 Over Voltage Tolerance 6.4.19 JTAG Boundary Scan All I/O pins provide an over voltage tolerance feature at any operating VDD. There are no current limitations for the SIO pins as they present a high impedance load to the external circuit where Vddio < VIN < 5.5 V. The GPIO pins must be limited to 100 A using a current limiting resistor. GPIO pins clamp the pin voltage to approximately one diode above the Vddio supply where Vddio < VIN < VDDA. In case of a GPIO pin configured for analog input/output, the analog voltage on the pin must not exceed the Vddio supply voltage to which the GPIO belongs. The device supports standard JTAG boundary scan chains on all I/O pins for board level test. 6.4.17 Low-Power Functionality In all low-power modes the I/O pins retain their state until the part is awakened and changed or reset. To awaken the part, use a pin interrupt, because the port interrupt logic continues to function in all low-power modes. The main components of the digital programmable system are: UDB - These form the core functionality of the digital programmable system. UDBs are a collection of uncommitted logic (PLD) and structural logic (Datapath) optimized to create all common embedded peripherals and customized functionality that are application or design specific. Universal digital block array - UDB blocks are arrayed within a matrix of programmable interconnect. The UDB array structure is homogeneous and allows for flexible mapping of digital functions onto the array. The array supports extensive and flexible routing interconnects between UDBs and the Digital System Interconnect. Digital system interconnect (DSI) - Digital signals from UDBs, fixed function peripherals, I/O pins, interrupts, DMA, and other system core signals are attached to the digital system interconnect to implement full featured device connectivity. The DSI allows any digital function to any pin or other feature routability when used with the universal digital block array. Figure 7-1. CY8C38 Digital Programmable Architecture Digital Core System and Fixed Function Peripherals Document Number: 001-11729 Rev. *S DSI Routing Interface UDB Array IO Port Some pins on the device include additional special functionality in addition to their GPIO or SIO functionality. The specific special function pins are listed in Pinouts on page 5. The special features are: Digital 4- to 25-MHz crystal oscillator 32.768-kHz crystal oscillator 2 Wake from sleep on I C address match. Any pin can be used 2 for I C if wake from sleep is not required. JTAG interface pins SWD interface pins SWV interface pins External reset Analog Opamp inputs and outputs High current IDAC outputs External reference inputs IO Port 6.4.18 Special Pin Functionality IO Port While reset is active all I/Os are reset to and held in the High Impedance Analog state. After reset is released, the state can be reprogrammed on a port-by-port basis to pull-down or pull-up. To ensure correct reset operation, the port reset configuration data is stored in special nonvolatile registers. The stored reset data is automatically transferred to the port reset configuration registers at reset release. The features of the digital programmable system are outlined here to provide an overview of capabilities and architecture. You do not need to interact directly with the programmable digital system at the hardware and register level. PSoC Creator provides a high level schematic capture graphical interface to automatically place and route resources similar to PLDs. UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB DSI Routing Interface IO Port 6.4.16 Reset Configuration The digital programmable system creates application specific combinations of both standard and advanced digital peripherals and custom logic functions. These peripherals and logic are then interconnected to each other and to any pin on the device, providing a high level of design flexibility and IP security. UDB Array A common application for this feature is connection to a bus such as I2C where different devices are running from different supply voltages. In the I2C case, the PSoC chip is configured into the Open Drain, Drives Low mode for the SIO pin. This allows an external pull-up to pull the I2C bus voltage above the PSoC pin supply. For example, the PSoC chip could operate at 1.8 V, and an external device could run from 5 V. Note that the SIO pin's VIH and VIL levels are determined by the associated Vddio supply pin. The I/O pin must be configured into a high impedance drive mode, open drain low drive mode, or pull-down drive mode, for over voltage tolerance to work properly. Absolute maximum ratings for the device must be observed for all I/O pins. 7. Digital Subsystem Digital Core System and Fixed Function Peripherals Page 39 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.1 Example Peripherals The flexibility of the CY8C38 family's UDBs and analog blocks allow the user to create a wide range of components (peripherals). The most common peripherals were built and characterized by Cypress and are shown in the PSoC Creator component catalog, however, users may also create their own custom components using PSoC Creator. Using PSoC Creator, users may also create their own components for reuse within their organization, for example sensor interfaces, proprietary algorithms, and display interfaces. The number of components available through PSoC Creator is too numerous to list in the data sheet, and the list is always growing. An example of a component available for use in CY8C38 family, but, not explicitly called out in this data sheet is the UART component. 7.1.1 Example Digital Components The following is a sample of the digital components available in PSoC Creator for the CY8C38 family. The exact amount of hardware resources (UDBs, routing, RAM, flash) used by a component varies with the features selected in PSoC Creator for the component. Communications I2C UART SPI Functions EMIF PWMs Timers Counters Logic NOT OR XOR AND 7.1.2 Example Analog Components The following is a sample of the analog components available in PSoC Creator for the CY8C38 family. The exact amount of hardware resources (SC/CT blocks, routing, RAM, flash) used by a component varies with the features selected in PSoC Creator for the component. Amplifiers TIA PGA opamp ADC Delta-sigma DACs Current Document Number: 001-11729 Rev. *S Voltage PWM Comparators Mixers 7.1.3 Example System Function Components The following is a sample of the system function components available in PSoC Creator for the CY8C38 family. The exact amount of hardware resources (UDBs, DFB taps, SC/CT blocks, routing, RAM, flash) used by a component varies with the features selected in PSoC Creator for the component. CapSense LCD drive LCD control Filters 7.1.4 Designing with PSoC Creator 7.1.4.1 More Than a Typical IDE A successful design tool allows for the rapid development and deployment of both simple and complex designs. It reduces or eliminates any learning curve. It makes the integration of a new design into the production stream straightforward. PSoC Creator is that design tool. PSoC Creator is a full featured Integrated Development Environment (IDE) for hardware and software design. It is optimized specifically for PSoC devices and combines a modern, powerful software development platform with a sophisticated graphical design tool. This unique combination of tools makes PSoC Creator the most flexible embedded design platform available. Graphical design entry simplifies the task of configuring a particular part. You can select the required functionality from an extensive catalog of components and place it in your design. All components are parameterized and have an editor dialog that allows you to tailor functionality to your needs. PSoC Creator automatically configures clocks and routes the I/O to the selected pins and then generates APIs to give the application complete control over the hardware. Changing the PSoC device configuration is as simple as adding a new component, setting its parameters, and rebuilding the project. At any stage of development you are free to change the hardware configuration and even the target processor. To retarget your application (hardware and software) to new devices, even from 8- to 32-bit families, just select the new device and rebuild. You also have the ability to change the C compiler and evaluate an alternative. Components are designed for portability and are validated against all devices, from all families, and against all supported tool chains. Switching compilers is as easy as editing the from the project options and rebuilding the application with no errors from the generated APIs or boot code. Page 40 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 7-2. PSoC Creator Framework 7.1.4.2 Component Catalog Figure 7-3. Component Catalog The component catalog is a repository of reusable design elements that select device functionality and customize your PSoC device. It is populated with an impressive selection of content; from simple primitives such as logic gates and device registers, through the digital timers, counters and PWMs, plus analog components such as ADC, DACs, and filters, and communication protocols, such as I2C, USB, and CAN. See Example Peripherals on page 40 for more details about available peripherals. All content is fully characterized and carefully documented in data sheets with code examples, AC/DC specifications, and user code ready APIs. 7.1.4.3 Design Reuse The symbol editor gives you the ability to develop reusable components that can significantly reduce future design time. Just draw a symbol and associate that symbol with your proven design. PSoC Creator allows for the placement of the new symbol anywhere in the component catalog along with the content provided by Cypress. You can then reuse your content as many times as you want, and in any number of projects, without ever having to revisit the details of the implementation. Document Number: 001-11729 Rev. *S Page 41 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.1.4.4 Software Development Figure 7-4. Code Editor With JTAG (4-wire) and SWD (2-wire) debug connectivity available on all devices, the PSoC Creator debugger offers full control over the target device with minimum intrusion. Breakpoints and code execution commands are all readily available from toolbar buttons and an impressive lineup of windows--register, locals, watch, call stack, memory and peripherals--make for an unparalleled level of visibility into the system. PSoC Creator contains all the tools necessary to complete a design, and then to maintain and extend that design for years to come. All steps of the design flow are carefully integrated and optimized for ease-of-use and to maximize productivity. 7.2 Universal Digital Block Anchoring the tool is a modern, highly customizable user interface. It includes project management and integrated editors for C and assembler source code, as well the design entry tools. Project build control leverages compiler technology from top commercial vendors such as ARM(R) Limited, KeilTM, and CodeSourcery (GNU). Free versions of Keil C51 and GNU C Compiler (GCC) for ARM, with no restrictions on code size or end product distribution, are included with the tool distribution. Upgrading to more optimizing compilers is a snap with support for the professional Keil C51 product and ARM RealViewTM compiler. 7.1.4.5 Nonintrusive Debugging Figure 7-5. PSoC Creator Debugger The UDB represents an evolutionary step to the next generation of PSoC embedded digital peripheral functionality. The architecture in first generation PSoC digital blocks provides coarse programmability in which a few fixed functions with a small number of options are available. The new UDB architecture is the optimal balance between configuration granularity and efficient implementation. A cornerstone of this approach is to provide the ability to customize the devices digital operation to match application requirements. To achieve this, UDBs consist of a combination of uncommitted logic (PLD), structured logic (Datapath), and a flexible routing scheme to provide interconnect between these elements, I/O connections, and other peripherals. UDB functionality ranges from simple self contained functions that are implemented in one UDB, or even a portion of a UDB (unused resources are available for other functions), to more complex functions that require multiple UDBs. Examples of basic functions are timers, counters, CRC generators, PWMs, dead band generators, and communications functions, such as UARTs, SPI, and I2C. Also, the PLD blocks and connectivity provide full featured general purpose programmable logic within the limits of the available resources. Figure 7-6. UDB Block Diagram PLD Chaining Clock and Reset Control Status and Control PLD 12C4 (8 PTs) PLD 12C4 (8 PTs) Datapath Datapath Chaining Routing Channel Document Number: 001-11729 Rev. *S Page 42 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet The main component blocks of the UDB are: Figure 7-7. PLD 12C4 Structure PT0 PT1 PT2 PT3 PT4 PT5 PT6 PT7 PLD blocks - There are two small PLDs per UDB. These blocks IN0 TC TC TC TC TC TC TC TC IN1 TC TC TC TC TC TC TC TC IN2 TC TC TC TC TC TC TC TC IN3 TC TC TC TC TC TC TC TC IN4 TC TC TC TC TC TC TC TC IN5 TC TC TC TC TC TC TC TC IN6 TC TC TC TC TC TC TC TC IN7 TC TC TC TC TC TC TC TC IN8 TC TC TC TC TC TC TC TC IN9 TC TC TC TC TC TC TC TC IN10 TC TC TC TC TC TC TC TC IN11 TC TC TC TC TC TC TC TC take inputs from the routing array and form registered or combinational sum-of-products logic. PLDs are used to implement state machines, state bits, and combinational logic equations. PLD configuration is automatically generated from graphical primitives. Datapath module - This 8-bit wide datapath contains structured logic to implement a dynamically configurable ALU, a variety of compare configurations and condition generation. This block also contains input/output FIFOs, which are the primary parallel data interface between the CPU/DMA system and the UDB. Status and control module - The primary role of this block is to provide a way for CPU firmware to interact and synchronize with UDB operation. AND Array SELIN (carry in) Clock and reset module - This block provides the UDB clocks and reset selection and control. 7.2.1 PLD Module The primary purpose of the PLD blocks is to implement logic expressions, state machines, sequencers, lookup tables, and decoders. In the simplest use model, consider the PLD blocks as a standalone resource onto which general purpose RTL is synthesized and mapped. The more common and efficient use model is to create digital functions from a combination of PLD and datapath blocks, where the PLD implements only the random logic and state portion of the function while the datapath (ALU) implements the more structured elements. Document Number: 001-11729 Rev. *S OUT0 MC0 T T T T T T T T OUT1 MC1 T T T T T T T T OUT2 MC2 T T T T T T T T OUT3 MC3 T T T T T T T T SELOUT (carry out) OR Array One 12C4 PLD block is shown in Figure 7-7. This PLD has 12 inputs, which feed across eight product terms. Each product term (AND function) can be from 1 to 12 inputs wide, and in a given product term, the true (T) or complement (C) of each input can be selected. The product terms are summed (OR function) to create the PLD outputs. A sum can be from 1 to 8 product terms wide. The 'C' in 12C4 indicates that the width of the OR gate (in this case 8) is constant across all outputs (rather than variable as in a 22V10 device). This PLA like structure gives maximum flexibility and insures that all inputs and outputs are permutable for ease of allocation by the software tools. There are two 12C4 PLDs in each UDB. Page 43 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.2.2 Datapath Module The datapath contains an 8-bit single cycle ALU, with associated compare and condition generation logic. This datapath block is optimized to implement embedded functions, such as timers, counters, integrators, PWMs, PRS, CRC, shifters and dead band generators and many others. Figure 7-8. Datapath Top Level PHUB System Bus R/W Access to All Registers F1 F0 A0 A1 D0 D1 D1 Data Registers D0 To/From Previous Datapath A1 Conditions: 2 Compares, 2 Zero Detect, 2 Ones Detect Overflow Detect 6 Datapath Control Input from Programmable Routing Input Muxes Control Store RAM 8 Word X 16 Bit FIFOs Chaining Output Muxes 6 Output to Programmable Routing To/From Next Datapath Accumulators A0 PI Parallel Input/Output (To/From Programmable Routing) PO ALU Shift Mask 7.2.2.1 Working Registers 7.2.2.2 Dynamic Datapath Configuration RAM The datapath contains six primary working registers, which are accessed by CPU firmware or DMA during normal operation. Dynamic configuration is the ability to change the datapath function and internal configuration on a cycle-by-cycle basis, under sequencer control. This is implemented using the 8-word x 16-bit configuration RAM, which stores eight unique 16-bit wide configurations. The address input to this RAM controls the sequence, and can be routed from any block connected to the UDB routing matrix, most typically PLD logic, I/O pins, or from the outputs of this or other datapath blocks. Table 7-1. Working Datapath Registers Name Function Description A0 and A1 Accumulators These are sources and sinks for the ALU and also sources for the compares. D0 and D1 Data Registers These are sources for the ALU and sources for the compares. ALU F0 and F1 FIFOs These are the primary interface to the system bus. They can be a data source for the data registers and accumulators or they can capture data from the accumulators or ALU. Each FIFO is four bytes deep. Increment The ALU performs eight general purpose functions. They are: Decrement Add Subtract Logical AND Logical OR Logical XOR Pass, used to pass a value through the ALU to the shift register, mask, or another UDB register. Document Number: 001-11729 Rev. *S Page 44 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Independent of the ALU operation, these functions are available: 7.2.2.7 Chaining Shift left Shift right The datapath can be configured to chain conditions and signals such as carries and shift data with neighboring datapaths to create higher precision arithmetic, shift, CRC/PRS functions. Nibble swap 7.2.2.8 Time Multiplexing Bitwise OR mask In applications that are over sampled, or do not need high clock rates, the single ALU block in the datapath can be efficiently shared with two sets of registers and condition generators. Carry and shift out data from the ALU are registered and can be selected as inputs in subsequent cycles. This provides support for 16-bit functions in one (8-bit) datapath. 7.2.2.3 Conditionals Each datapath has two compares, with bit masking options. Compare operands include the two accumulators and the two data registers in a variety of configurations. Other conditions include zero detect, all ones detect, and overflow. These conditions are the primary datapath outputs, a selection of which can be driven out to the UDB routing matrix. Conditional computation can use the built in chaining to neighboring UDBs to operate on wider data widths without the need to use routing resources. 7.2.2.4 Variable MSB The most significant bit of an arithmetic and shift function can be programmatically specified. This supports variable width CRC and PRS functions, and in conjunction with ALU output masking, can implement arbitrary width timers, counters and shift blocks. 7.2.2.5 Built in CRC/PRS The datapath has built-in support for single cycle CRC computation and PRS generation of arbitrary width and arbitrary polynomial. CRC/PRS functions longer than 8 bits may be implemented in conjunction with PLD logic, or built in chaining may be use to extend the function into neighboring UDBs. 7.2.2.9 Datapath I/O There are six inputs and six outputs that connect the datapath to the routing matrix. Inputs from the routing provide the configuration for the datapath operation to perform in each cycle, and the serial data inputs. Inputs can be routed from other UDB blocks, other device peripherals, device I/O pins, and so on. The outputs to the routing can be selected from the generated conditions, and the serial data outputs. Outputs can be routed to other UDB blocks, device peripherals, interrupt and DMA controller, I/O pins, and so on. 7.2.3 Status and Control Module The primary purpose of this circuitry is to coordinate CPU firmware interaction with internal UDB operation. Figure 7-10. Status and Control Registers System Bus 7.2.2.6 Input/Output FIFOs Each datapath contains two four-byte deep FIFOs, which can be independently configured as an input buffer (system bus writes to the FIFO, datapath internal reads the FIFO), or an output buffer (datapath internal writes to the FIFO, the system bus reads from the FIFO). The FIFOs generate status that are selectable as datapath outputs and can therefore be driven to the routing, to interact with sequencers, interrupts, or DMA. Figure 7-9. Example FIFO Configurations System Bus System Bus F0 D0/D1 A0/A1/ALU A0/A1/ALU A0/A1/ALU F1 F0 F1 System Bus System Bus TX/RX Dual Capture Document Number: 001-11729 Rev. *S F0 F1 D0 A0 D1 A1 Dual Buffer 8-bit Status Register (Read Only) 8-bit Control Register (Write/Read) Routing Channel The bits of the control register, which may be written to by the system bus, are used to drive into the routing matrix, and thus provide firmware with the opportunity to control the state of UDB processing. The status register is read-only and it allows internal UDB state to be read out onto the system bus directly from internal routing. This allows firmware to monitor the state of UDB processing. Each bit of these registers has programmable connections to the routing matrix and routing connections are made depending on the requirements of the application. 7.2.3.1 Usage Examples As an example of control input, a bit in the control register can be allocated as a function enable bit. There are multiple ways to enable a function. In one method the control bit output would be routed to the clock control block in one or more UDBs and serve as a clock enable for the selected UDB blocks. A status example is a case where a PLD or datapath block generated a condition, such as a "compare true" condition that is captured and latched by the status register and then read (and cleared) by CPU firmware. Page 45 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Each subcomponent block of a UDB including the two PLDs, the datapath, and Status and Control, has a clock selection and control block. This promotes a fine granularity with respect to allocating clocking resources to UDB component blocks and allows unused UDB resources to be used by other functions for maximum system efficiency. 7.3 UDB Array Description Figure 7-11 shows an example of a 16 UDB array. In addition to the array core, there are a DSI routing interfaces at the top and bottom of the array. Other interfaces that are not explicitly shown include the system interfaces for bus and clock distribution. The UDB array includes multiple horizontal and vertical routing channels each comprised of 96 wires. The wire connections to UDBs, at horizontal/vertical intersection and at the DSI interface are highly permutable providing efficient automatic routing in PSoC Creator. Additionally the routing allows wire by wire segmentation along the vertical and horizontal routing to further increase routing flexibility and capability. An example of this is the 8-bit timer in the upper left corner of the array. This function only requires one datapath in the UDB, and therefore the PLD resources may be allocated to another function. A function such as a Quadrature Decoder may require more PLD logic than one UDB can supply and in this case can utilize the unused PLD blocks in the 8-bit Timer UDB. Programmable resources in the UDB array are generally homogeneous so functions can be mapped to arbitrary boundaries in the array. Figure 7-12. Function Mapping Example in a Bank of UDBs 8-Bit Timer Quadrature Decoder UDB UDB HV A HV A HV B UDB UDB UDB HV A HV B UDB 8-Bit Timer Logic UDB UDB UDB UDB HV A HV B UDB UDB 12-Bit SPI UDB HV B 16-Bit PYRS 8-Bit SPI I2C Slave System Connections 16-Bit PWM HV B UDB UDB Figure 7-11. Digital System Interface Structure Sequencer 7.2.3.2 Clock Generation HV A HV B HV A UDB Logic HV A HV B HV A HV B UDB UDB UDB UDB UDB UDB UDB UDB UDB UDB UART UDB UDB 12-Bit PWM 7.4 DSI Routing Interface Description HV B UDB HV A UDB HV A HV B UDB HV B HV A The DSI routing interface is a continuation of the horizontal and vertical routing channels at the top and bottom of the UDB array core. It provides general purpose programmable routing between device peripherals, including UDBs, I/Os, analog peripherals, interrupts, DMA and fixed function peripherals. HV B Figure 7-13 illustrates the concept of the digital system interconnect, which connects the UDB array routing matrix with other device peripherals. Any digital core or fixed function peripheral that needs programmable routing is connected to this interface. UDB HV A System Connections Signals in this category include: Interrupt requests from all digital peripherals in the system. 7.3.1 UDB Array Programmable Resources DMA requests from all digital peripherals in the system. Figure 7-12 shows an example of how functions are mapped into a bank of 16 UDBs. The primary programmable resources of the UDB are two PLDs, one datapath and one status/control register. These resources are allocated independently, because they have independently selectable clocks, and therefore unused blocks are allocated to other unrelated functions. Digital peripheral data signals that need flexible routing to I/Os. Digital peripheral data signals that need connections to UDBs. Connections to the interrupt and DMA controllers. Connection to I/O pins. Connection to analog system digital signals. Document Number: 001-11729 Rev. *S Page 46 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 7-13. Digital System Interconnect Timer Counters CAN Interrupt Controller I2C DMA Controller IO Port Pins Global Clocks single synchronized (pipelined) and a data input signal has the option to be double synchronized. The synchronization clock is the system clock (see Figure 6-1). Normally all inputs from pins are synchronized as this is required if the CPU interacts with the signal or any signal derived from it. Asynchronous inputs have rare uses. An example of this is a feed through of combinational PLD logic from input pins to output pins. Figure 7-15. I/O Pin Synchronization Routing Digital System Routing I/F DO UDB ARRAY DI Digital System Routing I/F Figure 7-16. I/O Pin Output Connectivity 8 IO Data Output Connections from the UDB Array Digital System Interface Global Clocks IO Port Pins EMIF Del-Sig SC/CT Blocks DACs Comparators Interrupt and DMA routing is very flexible in the CY8C38 programmable architecture. In addition to the numerous fixed function peripherals that can generate interrupt requests, any data signal in the UDB array routing can also be used to generate a request. A single peripheral may generate multiple independent interrupt requests simplifying system and firmware design. Figure 7-14 shows the structure of the IDMUX (Interrupt/DMA Multiplexer). DO PIN 0 DO PIN1 DO PIN2 Fixed Function IRQs 0 1 IRQs UDB Array 2 Edge Detect Interrupt Controller DO PIN4 DO PIN5 DO PIN6 DO PIN7 Port i Figure 7-14. Interrupt and DMA Processing in the IDMUX Interrupt and DMA Processing in IDMUX DO PIN3 There are four more DSI connections to a given I/O port to implement dynamic output enable control of pins. This connectivity gives a range of options, from fully ganged 8-bits controlled by one signal, to up to four individually controlled pins. The output enable signal is useful for creating tri-state bidirectional pins and buses. Figure 7-17. I/O Pin Output Enable Connectivity 3 DRQs DMA termout (IRQs) 4 IO Control Signal Connections from UDB Array Digital System Interface 0 Fixed Function DRQs 1 Edge Detect DMA Controller 2 7.4.1 I/O Port Routing There are a total of 20 DSI routes to a typical 8-bit I/O port, 16 for data and four for drive strength control. When an I/O pin is connected to the routing, there are two primary connections available, an input and an output. In conjunction with drive strength control, this can implement a bidirectional I/O pin. A data output signal has the option to be Document Number: 001-11729 Rev. *S OE PIN 0 OE PIN1 OE PIN2 OE PIN3 OE PIN4 OE PIN5 OE PIN6 OE PIN7 Port i Page 47 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.5 CAN The CAN peripheral is a fully functional controller area network (CAN) supporting communication baud rates up to 1 Mbps. The CAN controller implements the CAN2.0A and CAN2.0B specifications as defined in the Bosch specification and conforms to the ISO-11898-1 standard. The CAN protocol was originally designed for automotive applications with a focus on a high level of fault detection. This ensures high communication reliability at a low cost. Because of its success in automotive applications, CAN is used as a standard communication protocol for motion oriented machine control networks (CANOpen) and factory automation applications (DeviceNet). The CAN controller features allow the efficient implementation of higher level protocols without affecting the performance of the microcontroller CPU. Full configuration support is provided in PSoC Creator. Figure 7-18. CAN Bus System Implementation CAN Node 1 CAN Node 2 CAN Node n PSoC CAN Drivers CAN Controller En Tx Rx CAN Transceiver CAN_H CAN_L CAN_H CAN_L CAN_H CAN_L CAN Bus 7.5.1 CAN Features CAN2.0A/B protocol implementation - ISO 11898 compliant Standard and extended frames with up to 8 bytes of data per frame Message filter capabilities Remote Transmission Request (RTR) support Programmable bit rate up to 1 Mbps Listen Only mode SW readable error counter and indicator Sleep mode: Wake the device from sleep with activity on the Rx pin Supports two or three wire interface to external transceiver (Tx, Rx, and Enable). The three-wire interface is compatible with the Philips PHY; the PHY is not included on-chip. The three wires can be routed to any I/O Enhanced interrupt controller CAN receive and transmit buffers status CAN controller error status including BusOff Document Number: 001-11729 Rev. *S Receive path 16 receive buffers each with its own message filter Enhanced hardware message filter implementation that covers the ID, IDE, and RTR DeviceNet addressing support Multiple receive buffers linkable to build a larger receive message array Automatic transmission request (RTR) response handler Lost received message notification Transmit path Eight transmit buffers Programmable transmit priority Round robin Fixed priority Message transmissions abort capability 7.5.2 Software Tools Support CAN Controller configuration integrated into PSoC Creator: CAN Configuration walkthrough with bit timing analyzer Receive filter setup Page 48 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 7-19. CAN Controller Block Diagram TxMessage0 TxReq TxAbort Tx Buffer Status TxReq Pending TxMessage1 TxReq TxAbort Bit Timing Priority Arbiter TxMessage6 TxReq TxAbort TxInterrupt Request (if enabled) TxMessage7 TxReq TxAbort RxInterrupt Request (if enabled) Tx CRC Generator Error Status Error Active Error Passive Bus Off Tx Error Counter Rx Error Counter RTR RxMessages 0-15 Rx Buffer Status RxMessage Available Tx CAN Framer RxMessage0 Acceptance Code 0 Acceptance Mask 0 RxMessage1 Acceptance Code 1 Acceptance Mask 1 RxMessage Handler RxMessage14 Acceptance Code 14 Acceptance Mask 14 RxMessage15 Acceptance Code 15 Acceptance Mask 15 ErrInterrupt Request (if enabled) Rx CAN Framer Rx CRC Check WakeUp Request Error Detection CRC Form ACK Bit Stuffing Bit Error Overload Arbitration 7.6 USB Internal 48-MHz main oscillator mode that auto locks to USB PSoC includes a dedicated Full-Speed (12 Mbps) USB 2.0 transceiver supporting all four USB transfer types: control, interrupt, bulk, and isochronous. PSoC Creator provides full configuration support. USB interfaces to hosts through two dedicated USBIO pins, which are detailed in the "I/O System and Routing" section on page 33. Interrupts on bus and each endpoint event, with device wakeup USB includes the following features: bus clock, requiring no external crystal for USB (USB equipped parts only) USB reset, suspend, and resume operations Bus-powered and self-powered modes Figure 7-20. USB Eight unidirectional data endpoints One bidirectional control endpoint 0 (EP0) Arbiter Dedicated 8-byte buffer for EP0 Three memory modes Manual memory management with no DMA access Manual memory management with manual DMA access Automatic memory management with automatic DMA access Internal 3.3-V regulator for transceiver Document Number: 001-11729 Rev. *S System Bus Shared 512-byte buffer for the eight data endpoints 512 X 8 SRAM D+ SIE (Serial Interface Engine) Interrupts External 22 Resistors USB I/O D- 48 MHz IMO Page 49 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.7 Timers, Counters, and PWMs 7.8 I2C The timer/counter/PWM peripheral is a 16-bit dedicated peripheral providing three of the most common embedded peripheral features. As almost all embedded systems use some combination of timers, counters, and PWMs. Four of them have been included on this PSoC device family. Additional and more advanced functionality timers, counters, and PWMs can also be instantiated in UDBs as required. PSoC Creator allows you to choose the timer, counter, and PWM features that they require. The tool set utilizes the most optimal resources available. The I2C peripheral provides a synchronous two wire interface designed to interface the PSoC device with a two wire I2C serial communication bus. The bus is compliant with Philips `The I2C Specification' version 2.1. Additional I2C interfaces can be instantiated using Universal Digital Blocks (UDBs) in PSoC Creator, as required. The timer/counter/PWM peripheral can select from multiple clock sources, with input and output signals connected through the DSI routing. DSI routing allows input and output connections to any device pin and any internal digital signal accessible through the DSI. Each of the four instances has a compare output, terminal count output (optional complementary compare output), and programmable interrupt request line. The Timer/Counter/PWMs are configurable as free running, one shot, or Enable input controlled. The peripheral has timer reset and capture inputs, and a kill input for control of the comparator outputs. The peripheral supports full 16-bit capture. Timer/Counter/PWM features include: 16-bit Timer/Counter/PWM (down count only) Selectable clock source PWM comparator (configurable for LT, LTE, EQ, GTE, GT) Period reload on start, reset, and terminal count Interrupt on terminal count, compare true, or capture Dynamic counter reads Timer capture mode Count while enable signal is asserted mode Free run mode One Shot mode (stop at end of period) Complementary PWM outputs with deadband PWM output kill IRQ TC / Compare! Compare Timer / Counter / PWM 16-bit I2C provides hardware address detect of a 7-bit address without CPU intervention. Additionally the device can wake from low-power modes on a 7-bit hardware address match. If wakeup functionality is required, I2C pin connections are limited to the two special sets of SIO pins. I2C features include: Slave and master, transmitter, and receiver operation Byte processing for low CPU overhead Interrupt or polling CPU interface Support for bus speeds up to 1 Mbps (3.4 Mbps in UDBs) 7 or 10-bit addressing (10-bit addressing requires firmware support) SMBus operation (through firmware support - SMBus supported in hardware in UDBs) 7-bit hardware address compare Wake from low-power modes on address match Data transfers follow the format shown in Figure 7-22. After the START condition (S), a slave address is sent. This address is 7 bits long followed by an eighth bit which is a data direction bit (R/W) - a 'zero' indicates a transmission (WRITE), a 'one' indicates a request for data (READ). A data transfer is always terminated by a STOP condition (P) generated by the master. However, if a master still wishes to communicate on the bus, it can generate a repeated START condition (Sr) and address another slave without first generating a STOP condition. Various combinations of read/write formats are then possible within such a transfer. Figure 7-21. Timer/Counter/PWM Clock Reset Enable Capture Kill To eliminate the need for excessive CPU intervention and overhead, I2C specific support is provided for status detection and generation of framing bits. I2C operates as a slave, a master, or multimaster (Slave and Master). In slave mode, the unit always listens for a start condition to begin sending or receiving data. Master mode supplies the ability to generate the Start and Stop conditions and initiate transactions. Multimaster mode provides clock synchronization and arbitration to allow multiple masters on the same bus. If Master mode is enabled and Slave mode is not enabled, the block does not generate interrupts on externally generated Start conditions. I2C interfaces through DSI routing and allows direct connections to any GPIO or SIO pins. Figure 7-22. I2C Complete Transfer Timing SDA 1-7 SCL START Condition ADDRESS 8 9 R/W ACK Document Number: 001-11729 Rev. *S 1-7 8 DATA 9 ACK 1-7 8 DATA 9 ACK STOP Condition Page 50 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 7.9 Digital Filter Block Some devices in the CY8C38 family of devices have a dedicated HW accelerator block used for digital filtering. The DFB has a dedicated multiplier and accumulator that calculates a 24-bit by 24-bit multiply accumulate in one system clock cycle. This enables the mapping of a direct form FIR filter that approaches a computation rate of one FIR tap for each clock cycle. The MCU can implement any of the functions performed by this block, but at a slower rate that consumes MCU bandwidth. The PSoC Creator interface provides a wizard to implement FIR and IIR digital filters with coefficients for LPF, BPF, HPF, Notch and arbitrary shape filters. 64 pairs of data and coefficients are stored. This enables a 64 tap FIR filter or up to 4 16 tap filters of either FIR or IIR formulation. Figure 7-23. DFB Application Diagram (pwr/gnd not shown) The DFB processes this data and passes the result to another on chip resource such as a DAC or main memory through DMA on the system bus. Data movement in or out of the DFB is typically controlled by the system DMA controller but can be moved directly by the MCU. 8. Analog Subsystem The analog programmable system creates application specific combinations of both standard and advanced analog signal processing blocks. These blocks are then interconnected to each other and also to any pin on the device, providing a high level of design flexibility and IP security. The features of the analog subsystem are outlined here to provide an overview of capabilities and architecture. Flexible, configurable analog routing architecture provided by analog globals, analog mux bus, and analog local buses. BUSCLK read_data Data Source (PHUB) write_data Digital Routing Digital Filter Block addr System Bus High resolution delta-sigma ADC. Up to four 8-bit DACs that provide either voltage or current output. Four comparators with optional connection to configurable LUT Data Dest (PHUB) DMA Request DMA CTRL outputs. Up to four configurable switched capacitor/continuous time (SC/CT) blocks for functions that include opamp, unity gain buffer, programmable gain amplifier, transimpedance amplifier, and mixer. Up to four opamps for internal use and connection to GPIO that can be used as high current output buffers. The typical use model is for data to be supplied to the DFB over the system bus from another on-chip system data source such as an ADC. The data typically passes through main memory or is directly transferred from another chip resource through DMA. Document Number: 001-11729 Rev. *S CapSense subsystem to enable capacitive touch sensing. Precision reference for generating an accurate analog voltage for internal analog blocks. Page 51 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 8-1. Analog Subsystem Block Diagram DAC DelSig ADC DAC DAC SC/CT Block SC/CT Block SC/CT Block Comparators CMP CMP CMP Op Amp SC/CT Block Op Amp Op Amp R O U T I N G Precision Reference DAC Op Amp GPIO Port A N A L O G CMP A N A L O G GPIO Port R O U T I N G CapSense Subsystem Config & Status Registers Analog Interface DSI Array Clock Distribution The PSoC Creator software program provides a user friendly interface to configure the analog connections between the GPIO and various analog resources and connections from one analog resource to another. PSoC Creator also provides component libraries that allow you to configure the various analog blocks to perform application specific functions (PGA, transimpedance amplifier, voltage DAC, current DAC, and so on). The tool also generates API interface libraries that allow you to write firmware that allows the communication between the analog peripheral and CPU/Memory. 8.1 Analog Routing The CY8C38 family of devices has a flexible analog routing architecture that provides the capability to connect GPIOs and different analog blocks, and also route signals between different analog blocks. One of the strong points of this flexible routing architecture is that it allows dynamic routing of input and output connections to the different analog blocks. For information on how to make pin selections for optimal analog routing, refer to the application note, AN58304 - PSoC(R) 3 and PSoC(R) 5 - Pin Selection for Analog Designs. PHUB CPU Decimator Each GPIO is connected to one analog global and one analog mux bus Eight analog local buses (abus) to route signals between the different analog blocks Multiplexers and switches for input and output selection of the analog blocks 8.1.2 Functional Description Analog globals (AGs) and analog mux buses (AMUXBUS) provide analog connectivity between GPIOs and the various analog blocks. There are 16 AGs in the CY8C38 family. The analog routing architecture is divided into four quadrants as shown in Figure 8-2. Each quadrant has four analog globals (AGL[0..3], AGL[4..7], AGR[0..3], AGR[4..7]). Each GPIO is connected to the corresponding AG through an analog switch. The analog mux bus is a shared routing resource that connects to every GPIO through an analog switch. There are two AMUXBUS routes in CY8C38, one in the left half (AMUXBUSL) and one in the right half (AMUXBUSR), as shown in Figure 8-2 on page 53. 8.1.1 Features Flexible, configurable analog routing architecture 16 analog globals (AG) and two analog mux buses (AMUXBUS) to connect GPIOs and the analog blocks Document Number: 001-11729 Rev. *S Page 52 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 8-2. CY8C38 Analog Interconnect * * * opamp1 swfol swfol GPIO P3[5] GPIO swinp P3[4] GPIO swinn P3[3] GPIO P3[2] GPIO P3[1] GPIO P3[0] GPXT * P15[1] GPXT * P15[0] swinn swfol swfol opamp3 swinn * in0 swout abuf_vref_int (1.024V) cmp0_vref (1.024V) bg_vda_swabusl0 refsel[1:0] sc0 Vin Vref out vssa sc0_bgref (1.024V) sc1_bgref (1.024V) refbufr i1 refbuf_vref1 (1.024V) refbuf_vref2 (1.2V) refsel[1:0] sc1 Vin Vref out SC/CT Vin Vref out sc2 out ref in Vssa sc2_bgref (1.024V) sc3_bgref (1.024V) Vin Vref out sc3 104 ABUSL0 ABUSL1 ABUSL2 ABUSL3 v0 DAC0 i0 DAC1 v1 i1 DAC3 v3 i3 VIDAC v2 DAC2 i2 vpwra vpwra/2 dsm0_vcm_vref1 (0.8V) dsm0_vcm_vref2 (0.7V) + DSM0 - dsm0_qtz_vref2 (1.2V) dsm0_qtz_vref1 (1.024V) Vdda Vdda/4 en_resvda vssa 36 USB IO USB IO * P15[6] GPIO P5[7] GPIO P5[6] GPIO P5[5] GPIO P5[4] SIO P12[7] SIO P12[6] GPIO * P1[7] GPIO * P1[6] DSM vcm refs qtz_ref vref_vss_ext 28 ExVrefL refmux[2:0] ExVrefR CY8C55 only 01 23456 7 0123 3210 76543210 GPIO P5[0] GPIO P5[1] GPIO P5[2] GPIO P5[3] GPIO P1[0] GPIO P1[1] GPIO P1[2] GPIO P1[3] GPIO P1[4] GPIO P1[5] XRES Vbat Vssd Ind Vssb Vboost * Document Number: 001-11729 Rev. *S * Large ( ~200 Ohms) * Switch Resistance Small ( ~870 Ohms ) Notes: * Denotes pins on all packages LCD signals are not shown. AGR[0] AMUXBUSR AGR[3] AGR[2] AGR[1] 13 * Vddio1 * GPIO P2[5] GPIO P2[6] GPIO P2[7] SIO P12[4] SIO P12[5] GPIO P6[4] GPIO P6[5] GPIO P6[6] GPIO P6[7] * * AGR[3] AGR[2] AGR[1] AGR[0] AMUXBUSR AGL[3] AGL[2] AGL[1] AGL[0] AMUXBUSL * AGL[1] AGL[2] AGL[3] LPF * * Connection VBE Vss ref * * Mux Group Switch Group TS ADC * : AMUXBUSR ANALOG ANALOG BUS GLOBALS * AMUXBUSL AGL[0] ANALOG ANALOG GLOBALS BUS * AMUXBUSL Vssd Vddd * P15[7] dac_vref (0.256V) vcmsel[1:0] en_resvpwra Vccd ABUSR0 ABUSR1 ABUSR2 ABUSR3 * * Vddio2 + - i3 cmp0_vref (1.024V) * * Vddd comp3 CAPSENSE out ref in refbufl refbuf_vref1 (1.024V) refbuf_vref2 (1.2V) GPIO P6[0] GPIO P6[1] GPIO P6[2] GPIO P6[3] GPIO P15[4] GPIO P15[5] GPIO P2[0] GPIO P2[1] GPIO P2[2] GPIO P2[3] * GPIO P2[4] * 90 ExVrefR AGR[4] AMUXBUSR Vdda Vdda/2 Vssd comp1 + - + - comp2 abuf_vref_int (1.024V) cmp1_vref bg_vda_res_en Vccd swout swin COMPARATOR cmp_muxvn[1:0] vref_cmp1 (0.256V) out1 AGR[7] AGR[6] AGR[5] GPIO P4[2] GPIO P4[3] GPIO P4[4] GPIO P4[5] GPIO P4[6] GPIO P4[7] in1 5 comp0 + - cmp1_vref refbufl_ cmp i2 * LPF out0 swin refbufr_ cmp i0 cmp1_vref * * * AGL[6] AGL[7] swinp 3210 76543210 * * * * AGL[4] AGL[5] * 44 01 2 3 4 56 7 0123 * * ExVrefL2 opamp2 * AGR[6] AGR[7] AGL[7] opamp0 * AGR[4] AGR[5] AGL[4] AGL[5] AGL[6] ExVrefL ExVrefL1 * * * * AMUXBUSR AMUXBUSL Vddio3 GPIO P3[6] GPIO P3[7] SIO P12[0] SIO P12[1] GPIO P15[2] GPIO P15[3] AMUXBUSL Vssd swinp swinp GPIO P0[4] GPIO P0[5] GPIO P0[6] GPIO P0[7] Vcca Vssa Vdda SIO P12[2] SIO P12[3] GPIO P4[0] GPIO P4[1] GPIO P0[0] GPIO P0[1] GPIO P0[2] GPIO P0[3] Vddio0 swinn Rev #51 2-April-2010 Page 53 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Analog local buses (abus) are routing resources located within the analog subsystem and are used to route signals between different analog blocks. There are eight abus routes in CY8C38, four in the left half (abusl [0:3]) and four in the right half (abusr [0:3]) as shown in Figure 8-2. Using the abus saves the analog globals and analog mux buses from being used for interconnecting the analog blocks. Multiplexers and switches exist on the various buses to direct signals into and out of the analog blocks. A multiplexer can have only one connection on at a time, whereas a switch can have multiple connections on simultaneously. In Figure 8-2, multiplexers are indicated by grayed ovals and switches are indicated by transparent ovals. 8.2 Delta-sigma ADC The CY8C38 device contains one delta-sigma ADC. This ADC offers differential input, high resolution and excellent linearity, making it a good ADC choice for both audio signal processing and measurement applications. The converter's nominal operation is 16 bits at 48 ksps. The ADC can be configured to output 20-bit resolution at data rates of up to 187 sps. At a fixed clock rate, resolution can be traded for faster data rates as shown in Table 8-1 and Figure 8-3. 8.2.1 Functional Description The ADC connects and configures three basic components, input buffer, delta-sigma modulator, and decimator. The basic block diagram is shown in Figure 8-4. The signal from the input muxes is delivered to the delta-sigma modulator either directly or through the input buffer. The delta-sigma modulator performs the actual analog to digital conversion. The modulator over-samples the input and generates a serial data stream output. This high speed data stream is not useful for most applications without some type of post processing, and so is passed to the decimator through the Analog Interface block. The decimator converts the high speed serial data stream into parallel ADC results. The modulator/decimator frequency response is [(sin x)/x]4; a typical frequency response is shown in Figure 8-5. Figure 8-4. Delta-sigma ADC Block Diagram Positive Input Mux (Analog Routing) Input Buffer Negative Input Mux Delta Sigma Modulator Decimator 12 to 20 Bit Result EOC SOC Table 8-1. Delta-sigma ADC Performance Figure 8-5. Delta-sigma ADC Frequency Response, Normalized to Output, Sample Rate = 48 kHz Bits Maximum Sample Rate (sps) SINAD (dB) 20 187 - 16 48 k 84 -10 12 192 k 66 -20 8 384 k 43 -30 Figure 8-3. Delta-sigma ADC Sample Rates, Range = 1.024 V 1000000 100000 frequency Response. dB 0 -40 -50 -60 -70 -80 -90 -100 Sample rate SPS) 10000 100 1,000 10,000 100,000 1,000,000 Input Frequency, Hz Input frequency, Hz 1000 Resolution and sample rate are controlled by the Decimator. Data is pipelined in the decimator; the output is a function of the last four samples. When the input multiplexer is switched, the output data is not valid until after the fourth sample after the switch. Continuous Multi-Sample 100 Multi-SampleTurbo 10 8.2.2 Operational Modes 1 6 8 10 12 14 16 Resolution, bits Document Number: 001-11729 Rev. *S 18 20 22 The ADC can be configured by the user to operate in one of four modes: Single Sample, Multi Sample, Continous, or Multi Sample (Turbo). All four modes are started by either a write to the start bit in a control register or an assertion of the Start of Conversion (SoC) signal. When the conversion is complete, a status bit is set and the output signal End of Conversion (EoC) asserts high and remains high until the value is read by either the DMA controller or the CPU. Page 54 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 8.2.2.1 Single Sample 8.2.3 Start of Conversion Input In Single Sample mode, the ADC performs one sample conversion on a trigger. In this mode, the ADC stays in standby state waiting for the SoC signal to be asserted. When SoC is signaled the ADC performs four successive conversions. The first three conversions prime the decimator. The ADC result is valid and available after the fourth conversion, at which time the EoC signal is generated. To detect the end of conversion, the system may poll a control register for status or configure the external EoC signal to generate an interrupt or invoke a DMA request. When the transfer is done the ADC reenters the standby state where it stays until another SoC event. The SoC signal is used to start an ADC conversion. A digital clock or UDB output can be used to drive this input. It can be used when the sampling period must be longer than the ADC conversion time or when the ADC must be synchronized to other hardware. This signal is optional and does not need to be connected if ADC is running in a continuous mode. 8.2.2.2 Continuous 8.3 Comparators Continuous sample mode is used to take multiple successive samples of a single input signal. Multiplexing multiple inputs should not be done with this mode. There is a latency of three conversion times before the first conversion result is available. This is the time required to prime the decimator. After the first result, successive conversions are available at the selected sample rate. 8.2.2.3 Multi Sample Multi sample mode is similar to continuous mode except that the ADC is reset between samples. This mode is useful when the input is switched between multiple signals. The decimator is re-primed between each sample so that previous samples do not affect the current conversion. Upon completion of a sample, the next sample is automatically initiated. The results can be transferred using either firmware polling, interrupt, or DMA. 8.2.4 End of Conversion Output The EoC signal goes high at the end of each ADC conversion. This signal may be used to trigger either an interrupt or DMA request. The CY8C38 family of devices contains four comparators in a device. Comparators have these features: Input offset factory trimmed to less than 5 mV Rail-to-rail common mode input range (VSSA to VDDA) Speed and power can be traded off by using one of three modes: fast, slow, or ultra low-power Comparator outputs can be routed to lookup tables to perform simple logic functions and then can also be routed to digital blocks The positive input of the comparators may be optionally passed through a low pass filter. Two filters are provided Comparator inputs can be connections to GPIO, DAC outputs and SC block outputs 8.2.2.4 Multi Sample (Turbo) 8.3.1 Input and Output Interface The multi sample (turbo) mode operates identical to the Multi-sample mode for resolutions of 8 to 16 bits. For resolutions of 17 to 20 bits, the performance is about four times faster than the multi sample mode, because the ADC is only reset once at the end of conversion. The positive and negative inputs to the comparators come from the analog global buses, the analog mux line, the analog local bus and precision reference through multiplexers. The output from each comparator could be routed to any of the two input LUTs. The output of that LUT is routed to the UDB DSI. More information on output formats is provided in the Technical Reference Manual. Document Number: 001-11729 Rev. *S Page 55 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 8-6. Analog Comparator From Analog Routing From Analog Routing ANAIF + comp0 _ + comp1 _ + _ comp3 + _ From Analog Routing From Analog Routing comp2 4 4 LUT0 4 4 4 LUT1 4 LUT2 4 4 LUT3 UDBs 8.3.2 LUT The CY8C38 family of devices contains four LUTs. The LUT is a two input, one output lookup table that is driven by any one or two of the comparators in the chip. The output of any LUT is routed to the digital system interface of the UDB array. From the digital system interface of the UDB array, these signals can be connected to UDBs, DMA controller, I/O, or the interrupt controller. The LUT control word written to a register sets the logic function on the output. The available LUT functions and the associated control word is shown in Table 8-2. Document Number: 001-11729 Rev. *S Table 8-2. LUT Function vs. Program Word and Inputs Control Word 0000b 0001b 0010b 0011b 0100b 0101b 0110b 0111b 1000b 1001b 1010b 1011b 1100b 1101b 1110b 1111b Output (A and B are LUT inputs) FALSE (`0') A AND B A AND (NOT B) A (NOT A) AND B B A XOR B A OR B A NOR B A XNOR B NOT B A OR (NOT B) NOT A (NOT A) OR B A NAND B TRUE (`1') Page 56 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 8.4 Opamps 8.5 Programmable SC/CT Blocks The CY8C38 family of devices contain up to four general purpose opamps in a device. The CY8C38 family of devices contains up to four switched capacitor/continuous time (SC/CT) blocks in a device. Each switched capacitor/continuous time block is built around a single rail-to-rail high bandwidth opamp. Figure 8-7. Opamp GPIO Analog Global Bus Opamp Analog Global Bus VREF Analog Internal Bus GPIO = GPIO Analog Switch The opamp is uncommitted and can be configured as a gain stage or voltage follower, or output buffer on external or internal signals. See Figure 8-8. In any configuration, the input and output signals can all be connected to the internal global signals and monitored with an ADC, or comparator. The configurations are implemented with switches between the signals and GPIO pins. Figure 8-8. Opamp Configurations Switched capacitor is a circuit design technique that uses capacitors plus switches instead of resistors to create analog functions. These circuits work by moving charge between capacitors by opening and closing different switches. Nonoverlapping in phase clock signals control the switches, so that not all switches are ON simultaneously. The PSoC Creator tool offers a user friendly interface, which allows you to easily program the SC/CT blocks. Switch control and clock phase control configuration is done by PSoC Creator so users only need to determine the application use parameters such as gain, amplifier polarity, VREF connection, and so on. The same opamps and block interfaces are also connectable to an array of resistors which allows the construction of a variety of continuous time functions. The opamp and resistor array is programmable to perform various analog functions including Naked operational amplifier - Continuous mode Unity-gain buffer - Continuous mode a) Voltage Follower PGA - Continuous mode Transimpedance amplifier (TIA) - Continuous mode Opamp Vout to Pin Vin Up/down mixer - Continuous mode Sample and hold mixer (NRZ S/H) - Switched cap mode First order analog to digital modulator - Switched cap mode 8.5.1 Naked Opamp b) External Uncommitted Opamp Opamp Vout to GPIO Vp to GPIO Vn to GPIO c) Internal Uncommitted Opamp Opamp Vout to Pin Vp GPIO Pin The opamp has three speed modes, slow, medium, and fast. The slow mode consumes the least amount of quiescent power and the fast mode consumes the most power. The inputs are able to swing rail-to-rail. The output swing is capable of rail-to-rail operation at low current output, within 50 mV of the rails. When driving high current loads (about 25 mA) the output voltage may only get within 500 mV of the rails. Document Number: 001-11729 Rev. *S 8.5.2 Unity Gain The Unity Gain buffer is a Naked Opamp with the output directly connected to the inverting input for a gain of 1.00. It has a -3 dB bandwidth greater than 6.0 MHz. 8.5.3 PGA Vn To Internal Signals The Naked Opamp presents both inputs and the output for connection to internal or external signals. The opamp has a unity gain bandwidth greater than 6.0 MHz and output drive current up to 650 A. This is sufficient for buffering internal signals (such as DAC outputs) and driving external loads greater than 7.5 kohms. The PGA amplifies an external or internal signal. The PGA can be configured to operate in inverting mode or noninverting mode. The PGA function may be configured for both positive and negative gains as high as 50 and 49 respectively. The gain is adjusted by changing the values of R1 and R2 as illustrated in Figure 8-9. The schematic in Figure 8-9 shows the configuration and possible resistor settings for the PGA. The gain is switched from inverting and non inverting by changing the shared select value of the both the input muxes. The bandwidth for each gain case is listed in Table 8-3. Page 57 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 8-10. Continuous Time TIA Schematic Table 8-3. Bandwidth Gain Bandwidth 1 6.0 MHz 24 340 kHz 48 220 kHz 50 215 kHz R fb I in Figure 8-9. PGA Resistor Settings Vin 0 Vref 1 R1 R2 20 k or 40 k 20 k to 980 k S Vref 0 Vin 1 V out V ref The TIA configuration is used for applications where an external sensor's output is current as a function of some type of stimulus such as temperature, light, magnetic flux etc. In a common application, the voltage DAC output can be connected to the VREF TIA input to allow calibration of the external sensor bias current by adjusting the voltage DAC output voltage. 8.6 LCD Direct Drive The PGA is used in applications where the input signal may not be large enough to achieve the desired resolution in the ADC, or dynamic range of another SC/CT block such as a mixer. The gain is adjustable at runtime, including changing the gain of the PGA prior to each ADC sample. 8.5.4 TIA The Transimpedance Amplifier (TIA) converts an internal or external current to an output voltage. The TIA uses an internal feedback resistor in a continuous time configuration to convert input current to output voltage. For an input current Iin, the output voltage is Iin x Rfb +VREF, where VREF is the value placed on the non inverting input. The feedback resistor Rfb is programmable between 20 K and 1 M through a configuration register. Table 8-4 shows the possible values of Rfb and associated configuration settings. Table 8-4. Feedback Resistor Settings Configuration Word Nominal Rfb (K) 000b 20 001b 30 010b 40 011b 60 100b 120 101b 250 110b 500 111b 1000 The PSoC LCD driver system is a highly configurable peripheral designed to allow PSoC to directly drive a broad range of LCD glass. All voltages are generated on chip, eliminating the need for external components. With a high multiplex ratio of up to 1/16, the CY8C38 family LCD driver system can drive a maximum of 736 segments. The PSoC LCD driver module was also designed with the conservative power budget of portable devices in mind, enabling different LCD drive modes and power down modes to conserve power. PSoC Creator provides an LCD segment drive component. The component wizard provides easy and flexible configuration of LCD resources. You can specify pins for segments and commons along with other options. The software configures the device to meet the required specifications. This is possible because of the programmability inherent to PSoC devices. Key features of the PSoC LCD segment system are: LCD panel direct driving Type A (standard) and Type B (low-power) waveform support Wide operating voltage range support (2 V to 5 V) for LCD panels Static, 1/2, 1/3, 1/4, 1/5 bias voltage levels Internal bias voltage generation through internal resistor ladder Up to 62 total common and segment outputs Up to 1/16 multiplex for a maximum of 16 backplane/common outputs Up to 62 front plane/segment outputs for direct drive Drives up to 736 total segments (16 backplane x 46 front plane) Up to 64 levels of software controlled contrast Ability to move display data from memory buffer to LCD driver through DMA (without CPU intervention) Adjustable LCD refresh rate from 10 Hz to 150 Hz Ability to invert LCD display for negative image Three LCD driver drive modes, allowing power optimization Document Number: 001-11729 Rev. *S Page 58 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 8.7 CapSense Figure 8-11. LCD System LCD DAC Global Clock UDB LCD Driver Block DMA PIN Display RAM The CapSense system provides a versatile and efficient means for measuring capacitance in applications such as touch sense buttons, sliders, proximity detection, etc. The CapSense system uses a configuration of system resources, including a few hardware functions primarily targeted for CapSense. Specific resource usage is detailed in the CapSense component in PSoC Creator. A capacitive sensing method using a Delta-sigma Modulator (CSD) is used. It provides capacitance sensing using a switched capacitor technique with a delta-sigma modulator to convert the sensing current to a digital code. 8.8 Temp Sensor PHUB 8.6.1 LCD Segment Pin Driver Each GPIO pin contains an LCD driver circuit. The LCD driver buffers the appropriate output of the LCD DAC to directly drive the glass of the LCD. A register setting determines whether the pin is a common or segment. The pin's LCD driver then selects one of the six bias voltages to drive the I/O pin, as appropriate for the display data. Die temperature is used to establish programming parameters for writing flash. Die temperature is measured using a dedicated sensor based on a forward biased transistor. The temperature sensor has its own auxiliary ADC. 8.9 DAC The CY8C38 parts contain up to four Digital to Analog Convertors (DACs). Each DAC is 8-bit and can be configured for either voltage or current output. The DACs support CapSense, power supply regulation, and waveform generation. Each DAC has the following features: 8.6.2 Display Data Flow Adjustable voltage or current output in 255 steps The LCD segment driver system reads display data and generates the proper output voltages to the LCD glass to produce the desired image. Display data resides in a memory buffer in the system SRAM. Each time you need to change the common and segment driver voltages, the next set of pixel data moves from the memory buffer into the Port Data Registers through the DMA. Programmable step size (range selection) 8.6.3 UDB and LCD Segment Control Monotonic in nature A UDB is configured to generate the global LCD control signals and clocking. This set of signals is routed to each LCD pin driver through a set of dedicated LCD global routing channels. In addition to generating the global LCD control signals, the UDB also produces a DMA request to initiate the transfer of the next frame of LCD data. Data and strobe inputs can be provided by the CPU or DMA, Eight bits of calibration to correct 25 percent of gain error Source and sink option for current output 8 Msps conversion rate for current output 1 Msps conversion rate for voltage output or routed directly from the DSI Dedicated low-resistance output pin for high-current mode 8.6.4 LCD DAC The LCD DAC generates the contrast control and bias voltage for the LCD system. The LCD DAC produces up to five LCD drive voltages plus ground, based on the selected bias ratio. The bias voltages are driven out to GPIO pins on a dedicated LCD bias bus, as required. Document Number: 001-11729 Rev. *S Page 59 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 8-12. DAC Block Diagram I Reference Source source Range 1x , 8x , 64x Vout Scaler R Iout 3R I sink Range 1x , 8x , 64x 8.9.1 Current DAC Figure 8-13. Mixer Configuration The current DAC (IDAC) can be configured for the ranges 0 to 32 A, 0 to 256 A, and 0 to 2.048 mA. The IDAC can be configured to source or sink current. C2 = 1.7 pF C1 = 850 fF 8.9.2 Voltage DAC For the voltage DAC (VDAC), the current DAC output is routed through resistors. The two ranges available for the VDAC are 0 to 1.024 V and 0 to 4.096 V. In voltage mode any load connected to the output of a DAC should be purely capacitive (the output of the VDAC is not buffered). 8.10 Up/Down Mixer In continuous time mode, the SC/CT block components are used to build an up or down mixer. Any mixing application contains an input signal frequency and a local oscillator frequency. The polarity of the clock, Fclk, switches the amplifier between inverting or noninverting gain. The output is the product of the input and the switching function from the local oscillator, with frequency components at the local oscillator plus and minus the signal frequency (Fclk + Fin and Fclk - Fin) and reduced-level frequency components at odd integer multiples of the local oscillator frequency. The local oscillator frequency is provided by the selected clock source for the mixer. Continuous time up and down mixing works for applications with input signals and local oscillator frequencies up to 1 MHz. Rmix 0 20 k or 40 k sc_clk Rmix 0 20 k or 40 k Vin Vout 0 Vref 1 sc_clk 8.11 Sample and Hold The main application for a sample and hold, is to hold a value stable while an ADC is performing a conversion. Some applications require multiple signals to be sampled simultaneously, such as for power calculations (V and I). Figure 8-14. Sample and Hold Topology (1 and 2 are opposite phases of a clock) 1 Vi C1 C2 1 n 1 2 V ref V out 2 2 1 2 1 1 V ref 2 Document Number: 001-11729 Rev. *S C3 C4 2 Vref Page 60 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 8.11.1 Down Mixer The SC/CT block can be used as a mixer to down convert an input signal. This circuit is a high bandwidth passive sample network that can sample input signals up to 14 MHz. This sampled value is then held using the opamp with a maximum clock rate of 4 MHz. The output frequency is at the difference between the input frequency and the highest integer multiple of the Local Oscillator that is less than the input. 8.11.2 First Order Modulator - SC Mode A first order modulator is constructed by placing the SC/CT block in an integrator mode and using a comparator to provide a 1-bit feedback to the input. Depending on this bit, a reference voltage is either subtracted or added to the input signal. The block output is the output of the comparator and not the integrator in the modulator case. The signal is downshifted and buffered and then processed by a decimator to make a delta-sigma converter or a counter to make an incremental converter. The accuracy of the sampled data from the first-order modulator is determined from several factors. The main application for this modulator is for a low-frequency ADC with high accuracy. Applications include strain gauges, thermocouples, precision voltage, and current measurement. 9. Programming, Debug Interfaces, Resources PSoC devices include extensive support for programming, testing, debugging, and tracing both hardware and firmware. Three interfaces are available: JTAG, SWD, and SWV. JTAG and SWD support all programming and debug features of the device. JTAG also supports standard JTAG scan chains for board level test and chaining multiple JTAG devices to a single JTAG connection. For more information on PSoC 3 Programming, refer to the application note AN62391 - In-System Programming for PSoC(R) 3. Complete Debug on Chip (DoC) functionality enables full device debugging in the final system using the standard production device. It does not require special interfaces, debugging pods, simulators, or emulators. Only the standard programming connections are required to fully support debug. Document Number: 001-11729 Rev. *S The PSoC Creator IDE software provides fully integrated programming and debug support for PSoC devices. The low cost MiniProg3 programmer and debugger is designed to provide full programming and debug support of PSoC devices in conjunction with the PSoC Creator IDE. PSoC JTAG, SWD, and SWV interfaces are fully compatible with industry standard third party tools. All DOC circuits are disabled by default and can only be enabled in firmware. If not enabled, the only way to reenable them is to erase the entire device, clear flash protection, and reprogram the device with new firmware that enables DOC. Disabling DOC features, robust flash protection, and hiding custom analog and digital functionality inside the PSoC device provide a level of security not possible with multichip application solutions. Additionally, all device interfaces can be permanently disabled (Device Security) for applications concerned about phishing attacks due to a maliciously reprogrammed device. Permanently disabling interfaces is not recommended in most applications because you cannot access the device later. Because all programming, debug, and test interfaces are disabled when device security is enabled, PSoCs with Device Security enabled may not be returned for failure analysis. Table 9-1. Debug Configurations Debug and Trace Configuration All debug and trace disabled GPIO Pins Used 0 JTAG 4 or 5 SWD 2 SWV 1 SWD + SWV 3 9.1 JTAG Interface The IEEE 1149.1 compliant JTAG interface exists on four or five pins (the nTRST pin is optional). The JTAG clock frequency can be up to 8 MHz, or 1/3 of the CPU clock frequency for 8 and 16-bit transfers, or 1/5 of the CPU clock frequency for 32-bit transfers, whichever is least. By default, the JTAG pins are enabled on new devices but the JTAG interface can be disabled, allowing these pins to be used as General Purpose I/O (GPIO) instead. The JTAG interface is used for programming the flash memory, debugging, I/O scan chains, and JTAG device chaining. Page 61 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 9-1. JTAG Interface Connections between PSoC 3 and Programmer VDD Host Programmer PSoC 3 VDD VDDD, VDDA, VDDIO0, VDDIO1, VDDIO2, VDDIO3 1, 2, 3, 4 TCK TMS TCK (P1[1] 5 TMS (P1[0]) 5 TDO TDI (P1[4]) TDI TDO (P1[3]) nTRST 6 nTRST (P1[5]) 6 XRES XRES or P1[2] 4, 7 GND VSSD, VSSA GND 1 The voltage levels of Host Programmer and the PSoC 3 voltage domains involved in Programming should be same. The Port 1 JTAG pins, XRES pin (XRES_N or P1[2]) are powered by VDDIO1. So, VDDIO1 of PSoC 3 should be at same voltage level as host VDD. Rest of PSoC 3 voltage domains ( VDDD, VDDA, VDDIO0, VDDIO2, VDDIO3) need not be at the same voltage level as host Programmer. 2 Vdda must be greater than or equal to all other power supplies (Vddd, Vddio's) in PSoC 3. 3 For Power cycle mode Programming, XRES pin is not required. But the Host programmer must have the capability to toggle power (Vddd, Vdda, All Vddio's) to PSoC 3. This may typically require external interface circuitry to toggle power which will depend on the programming setup. The power supplies can be brought up in any sequence, however, once stable, VDDA must be greater than or equal to all other supplies. 4 For JTAG Programming, Device reset can also be done without connecting to the XRES pin or Power cycle mode by using the TMS,TCK,TDI, TDO pins of PSoC 3, and writing to a specific register. But this requires that the DPS setting in NVL is not equal to "Debug Ports Disabled". 5 By default, PSoC 3 is configured for 4-wire JTAG mode unless user changes the DPS setting. So the TMS pin is unidirectional. But if the DPS setting is changed to non-JTAG mode, the TMS pin in JTAG is bi-directional as the SWD Protocol has to be used for acquiring the PSoC 3 device initially. After switching from SWD to JTAG mode, the TMS pin will be uni-directional. In such a case, unidirectional buffer should not be used on TMS line. 6 nTRST JTAG pin (P1[5]) cannot be used to reset the JTAG TAP controlller during first time programming of PSoC 3 as the default setting is 4-wire JTAG (nTRST disabled). Use the TMS, TCK pins to do a reset of JTAG TAP controller. 7 If XRES pin is used by host, P1[2] will be configured as XRES by default only for 48-pin devices (without dedicated XRES pin). For devices with dedicated XRES pin, P1[2] is GPIO pin by default. So use P1[2] as Reset pin only for 48-pin devices, but use dedicated XRES pin for rest of devices. Document Number: 001-11729 Rev. *S Page 62 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 9.2 Serial Wire Debug Interface The SWD interface is the preferred alternative to the JTAG interface. It requires only two pins instead of the four or five needed by JTAG. SWD provides all of the programming and debugging features of JTAG at the same speed. SWD does not provide access to scan chains or device chaining. The SWD clock frequency can be up to 1/3 of the CPU clock frequency. SWD uses two pins, either two of the JTAG pins (TMS and TCK) or the USBIO D+ and D- pins. The USBIO pins are useful for in system programming of USB solutions that would otherwise require a separate programming connector. One pin is used for the data clock and the other is used for data input and output. SWD can be enabled on only one of the pin pairs at a time. This only happens if, within 8 s (key window) after reset, that pin pair (JTAG or USB) receives a predetermined sequence of 1s and 0s. SWD is used for debugging or for programming the flash memory. The SWD interface can be enabled from the JTAG interface or disabled, allowing its pins to be used as GPIO. Unlike JTAG, the SWD interface can always be reacquired on any device during the key window. It can then be used to reenable the JTAG interface, if desired. When using SWD or JTAG pins as standard GPIO, make sure that the GPIO functionality and PCB circuits do not interfere with SWD or JTAG use. Figure 9-2. SWD Interface Connections between PSoC 3 and Programmer VDD Host Programmer VDDD, VDDA, VDDIO0, VDDIO1, VDDIO2, VDDIO3 1, 2, 3 VDD SWDCK SWDCK (P1[1] or P15[7]) SWDIO SWDIO (P1[0] or P15[6]) XRES or P1[2] XRES GND PSoC 3 GND 3, 4 VSSD, VSSA 1 The voltage levels of the Host Programmer and the PSoC 3 voltage domains involved in Programming should be the same. XRES pin (XRES_N or P1[2]) is powered by VDDIO1. The USB SWD pins are powered by VDDD. So for Programming using the USB SWD pins with XRES pin, the VDDD, VDDIO1 of PSoC 3 should be at the same voltage level as Host VDD. Rest of PSoC 3 voltage domains ( VDDA, VDDIO0, VDDIO2, VDDIO3) need not be at the same voltage level as host Programmer. The Port 1 SWD pins are powered by VDDIO1. So VDDIO1 of PSoC 3 should be at same voltage level as host VDD for Port 1 SWD programming. Rest of PSoC 3 voltage domains ( VDDD, VDDA, VDDIO0, VDDIO2, VDDIO3) need not be at the same voltage level as host Programmer. 2 Vdda must be greater than or equal to all other power supplies (Vddd, Vddio's) in PSoC 3. 3 For Power cycle mode Programming, XRES pin is not required. But the Host programmer must have the capability to toggle power (Vddd, Vdda, All Vddio's) to PSoC 3. This may typically require external interface circuitry to toggle power which will depend on the programming setup. The power supplies can be brought up in any sequence, however, once stable, VDDA must be greater than or equal to all other supplies. 4 P1[2] will be configured as XRES by default only for 48-pin devices (without dedicated XRES pin). For devices with dedicated XRES pin, P1[2] is GPIO pin by default. So use P1[2] as Reset pin only for 48pin devices, but use dedicated XRES pin for rest of devices. Document Number: 001-11729 Rev. *S Page 63 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 9.3 Debug Features Using the JTAG or SWD interface, the CY8C38 supports the following debug features: Halt and single-step the CPU View and change CPU and peripheral registers, and RAM addresses Eight program address breakpoints One memory access breakpoint--break on reading or writing any memory address and data value Break on a sequence of breakpoints (non recursive) Debugging at the full speed of the CPU Debug operations are possible while the device is reset, or in low-power modes Compatible with PSoC Creator and MiniProg3 programmer and debugger Standard JTAG programming and debugging interfaces make CY8C38 compatible with other popular third-party tools (for example, ARM / Keil) 9.4 Trace Features The CY8C38 supports the following trace features when using JTAG or SWD: Trace the 8051 program counter (PC), accumulator register (ACC), and one SFR / 8051 core RAM register Trace depth up to 1000 instructions if all registers are traced, or 2000 instructions if only the PC is traced (on devices that include trace memory) Program address trigger to start tracing Trace windowing, that is, only trace when the PC is within a given range Two modes for handling trace buffer full: continuous (overwriting the oldest trace data) or break when trace buffer is full 9.5 Single Wire Viewer Interface The SWV interface is closely associated with SWD but can also be used independently. SWV data is output on the JTAG interface's TDO pin. If using SWV, you must configure the device for SWD, not JTAG. SWV is not supported with the JTAG interface. SWV is ideal for application debug where it is helpful for the firmware to output data similar to 'printf' debugging on PCs. The SWV is ideal for data monitoring, because it requires only a single pin and can output data in standard UART format or Manchester encoded format. For example, it can be used to tune a PID control loop in which the output and graphing of the three error terms greatly simplifies coefficient tuning. The following features are supported in SWV: 32 virtual channels, each 32 bits long Simple, efficient packing and serializing protocol Supports standard UART format (N81) 9.6 Programming Features The JTAG and SWD interfaces provide full programming support. The entire device can be erased, programmed, and verified. You can increase flash protection levels to protect Document Number: 001-11729 Rev. *S firmware IP. Flash protection can only be reset after a full device erase. Individual flash blocks can be erased, programmed, and verified, if block security settings permit. 9.7 Device Security PSoC 3 offers an advanced security feature called device security, which permanently disables all test, programming, and debug ports, protecting your application from external access. The device security is activated by programming a 32-bit key (0x50536F43) to a Write Once Latch (WOL). The Write Once Latch is a type of nonvolatile latch (NVL). The cell itself is an NVL with additional logic wrapped around it. Each WOL device contains four bytes (32 bits) of data. The wrapper outputs a `1' if a super-majority (28 of 32) of its bits match a pre-determined pattern (0x50536F43); it outputs a `0' if this majority is not reached. When the output is 1, the Write Once NV latch locks the part out of Debug and Test modes; it also permanently gates off the ability to erase or alter the contents of the latch. Matching all bits is intentionally not required, so that single (or few) bit failures do not deassert the WOL output. The state of the NVL bits after wafer processing is truly random with no tendency toward 1 or 0. The WOL only locks the part after the correct 32-bit key (0x50536F43) is loaded into the NVL's volatile memory, programmed into the NVL's nonvolatile cells, and the part is reset. The output of the WOL is only sampled on reset and used to disable the access. This precaution prevents anyone from reading, erasing, or altering the contents of the internal memory. The user can write the key into the WOL to lock out external access only if no flash protection is set (see "Flash Security" on page 21). However, after setting the values in the WOL, a user still has access to the part until it is reset. Therefore, a user can write the key into the WOL, program the flash protection data, and then reset the part to lock it. If the device is protected with a WOL setting, Cypress cannot perform failure analysis and, therefore, cannot accept RMAs from customers. The WOL can be read out through the SWD port to electrically identify protected parts. The user can write the key in WOL to lock out external access only if no flash protection is set. For more information on how to take full advantage of the security features in PSoC see the PSoC 3 TRM. Disclaimer Note the following details of the flash code protection features on Cypress devices. Cypress products meet the specifications contained in their particular Cypress data sheets. Cypress believes that its family of products is one of the most secure families of its kind on the market today, regardless of how they are used. There may be methods, unknown to Cypress, that can breach the code protection features. Any of these methods, to our knowledge, would be dishonest and possibly illegal. Neither Cypress nor any other semiconductor manufacturer can guarantee the security of their code. Code protection does not mean that we are guaranteeing the product as "unbreakable." Cypress is willing to work with the customer who is concerned about the integrity of their code. Code protection is constantly evolving. We at Cypress are committed to continuously improving the code protection features of our products. Page 64 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 10. Development Support The CY8C38 family has a rich set of documentation, development tools, and online resources to assist you during your development process. Visit psoc.cypress.com/getting-started to find out more. 10.1 Documentation A suite of documentation, supports the CY8C38 family to ensure that you can find answers to your questions quickly. This section contains a list of some of the key documents. Software User Guide: A step-by-step guide for using PSoC Creator. The software user guide shows you how the PSoC Creator build process works in detail, how to use source control with PSoC Creator, and much more. Component data sheets: The flexibility of PSoC allows the creation of new peripherals (components) long after the device has gone into production. Component data sheets provide all of the information needed to select and use a particular component, including a functional description, API documentation, example code, and AC/DC specifications. Document Number: 001-11729 Rev. *S Application Notes: PSoC application notes discuss a particular application of PSoC in depth; examples include brushless DC motor control and on-chip filtering. Application notes often include example projects in addition to the application note document. Technical Reference Manual: The Technical Reference Manual (TRM) contains all the technical detail you need to use a PSoC device, including a complete description of all PSoC registers. 10.2 Online In addition to print documentation, the Cypress PSoC forums connect you with fellow PSoC users and experts in PSoC from around the world, 24 hours a day, 7 days a week. 10.3 Tools With industry standard cores, programming, and debugging interfaces, the CY8C38 family is part of a development tool ecosystem. Visit us at www.cypress.com/go/psoccreator for the latest information on the revolutionary, easy to use PSoC Creator IDE, supported third party compilers, programmers, debuggers, and development kits. Page 65 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11. Electrical Specifications Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. The unique flexibility of the PSoC UDBs and analog blocks enable many functions to be implemented in PSoC Creator components, see the component data sheets for full AC/DC specifications of individual functions. See the "Example Peripherals" section on page 40 for further explanation of PSoC Creator components. 11.1 Absolute Maximum Ratings Table 11-1. Absolute Maximum Ratings DC Specifications Parameter Description Conditions Min Typ Max Units Higher storage temperatures reduce NVL data retention time. Recommended storage temperature is +25 C 25 C. Extended duration storage temperatures above 85 C degrade reliability. -55 25 100 C Analog supply voltage relative to VSSA -0.5 - 6 V VDDD Digital supply voltage relative to VSSD -0.5 - 6 V VDDIO I/O supply voltage relative to VSSD -0.5 - 6 V VCCA Direct analog core voltage input -0.5 - 1.95 V VCCD Direct digital core voltage input -0.5 - 1.95 V VSSA Analog ground voltage VSSD - 0.5 - VSSD + 0.5 V VGPIO[17] DC input voltage on GPIO Includes signals sourced by VDDA and routed internal to the pin VSSD - 0.5 - VDDIO + 0.5 V VSIO DC input voltage on SIO Output disabled VSSD - 0.5 - 7 V Output enabled VSSD - 0.5 - 6 V TSTG Storage temperature VDDA VIND Voltage at boost converter input VBAT Boost converter supply Ivddio Current per VDDIO supply pin Vextref ADC external reference inputs Pins P0[3], P3[2] current[18] 0.5 - 5.5 V VSSD - 0.5 - 5.5 V - - 100 mA - - 2 V LU Latch up -140 - 140 mA ESDHBM Electrostatic discharge voltage Human body model 750 - - V ESDCDM Electrostatic discharge voltage Charge device model 500 - - V Note Usage above the absolute maximum conditions listed in Table 11-1 may cause permanent damage to the device. Exposure to maximum conditions for extended periods of time may affect device reliability. When used below maximum conditions but above normal operating conditions the device may not operate to specification. Notes 17. The VDDIO supply voltage must be greater than the maximum analog voltage on the associated GPIO pins. Maximum analog voltage on GPIO pin VDDIO VDDA. 18. Meets or exceeds JEDEC Spec EIA/JESD78 IC Latch-up Test. Document Number: 001-11729 Rev. *S Page 66 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.2 Device Level Specifications Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.2.1 Device Level Specifications Table 11-2. DC Specifications Min Typ Max Units VDDA Parameter Analog supply voltage and input to analog Analog core regulator enabled core regulator Description 1.8 - 5.5 V VDDA Analog supply voltage, analog regulator bypassed Analog core regulator disabled 1.71 1.8 1.89 V VDDD Digital supply voltage relative to VSSD Digital core regulator enabled 1.8 - VDDA[19] V VDDD Digital supply voltage, digital regulator bypassed Digital core regulator disabled 1.71 1.8 1.89 V VDDIO[20] I/O supply voltage relative to VSSIO 1.71 - VDDA[19] V VCCA Direct analog core voltage input (Analog Analog core regulator disabled regulator bypass) 1.71 1.8 1.89 V VCCD Direct digital core voltage input (Digital regulator bypass) 1.71 1.8 1.89 V IDD[21] Active Mode, VDD = 1.71 V-5.5 V T = -40 C - - - mA T = 25 C - 0.8 - mA T = 85 C - - - mA T = -40 C - - - mA T = 25 C - 1.2 - mA T = 85 C - - - mA T = -40 C - - - mA T = 25 C - 2.0 - mA T = 85 C - - - mA T = -40 C - - - mA T = 25 C - 3.5 - mA T = 85 C - - - mA T = -40 C - - - mA T = 25 C - 6.6 - mA Bus clock off. Execute from CPU instruction buffer. See "Flash Program Memory" on page 21. Conditions Digital core regulator disabled CPU at 3 MHz CPU at 6 MHz CPU at 12 MHz CPU at 24 MHz CPU at 48 MHz CPU at 62.6 MHz VDD = 3.3 V, T = 25 C, IMO and bus clock CPU at 3 MHz enabled, ILO = 1 kHz, CPU executing from CPU at 6 MHz flash and accessing SRAM, all other CPU at 12 MHz blocks off, all I/Os tied low. CPU at 24 MHz T = 85 C - - - mA T = -40 C - - - mA T = 25 C - 9.0 - mA T = 85 C - - - mA - 1.4 - mA - 2.2 - mA - 3.6 - mA - 6.4 - mA CPU at 48 MHz - 11.8 - mA CPU at 62.6 MHz - 15.8 - mA Notes 19. The power supplies can be brought up in any sequence however once stable VDDA must be greater than or equal to all other supplies. 20. The VDDIO supply voltage must be greater than the maximum analog voltage on the associated GPIO pins. Maximum analog voltage on GPIO pin VDDIO VDDA. 21. The current consumption of additional peripherals that are implemented only in programmed logic blocks can be found in their respective data sheets, available in PSoC Creator, the integrated design environment. To estimate total current, find CPU current at frequency of interest and add peripheral currents for your particular system from the device data sheet and component data sheets. Document Number: 001-11729 Rev. *S Page 67 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-2. DC Specifications (continued) Parameter Description Sleep Conditions Min Typ Max Units T = -40 C - - - A T = 25 C - - - A Mode[22] VDD = VDDIO = 4.5-5.5 V CPU = OFF RTC = ON (= ECO32K ON, in low-power mode) Sleep timer = ON (= ILO ON at 1 kHz)[23] VDD = VDDIO = 2.7-3.6 V WDT = OFF 2 I C Wake = OFF Comparator = OFF POR = ON VDD = VDDIO = 1.71-1.95 V Boost = OFF SIO pins in single ended input, unregulated output mode T = 85 C - - - A T = -40 C - - - A T = 25 C - 1 - A T = 85 C - - - A T = -40 C - - - A T = 25 C - - - A T = 85 C - - - A Comparator = ON CPU = OFF RTC = OFF Sleep timer = OFF WDT = OFF I2C Wake = OFF POR = ON Boost = OFF SIO pins in single ended input, unregulated output mode VDD = VDDIO = 2.7-3.6V T = 25 C - - - A I2C Wake = ON CPU = OFF RTC = OFF Sleep timer = OFF WDT = OFF Comparator = OFF POR = ON Boost = OFF SIO pins in single ended input, unregulated output mode VDD = VDDIO = 2.7-3.6V T = 25 C - - - A VDD = VDDIO = 4.5-5.5 V T = -40 C - - - nA T = 25 C - - - nA Hibernate Mode[22] Hibernate mode current All regulators and oscillators off. SRAM retention GPIO interrupts are active Boost = OFF SIO pins in single ended input, unregulated output mode VDD = VDDIO = 2.7-3.6 V T = 85 C - - - nA T = -40 C - - - nA T = 25 C - 200 - nA T = 85 C - - - nA - - - nA T = 25 C - - - nA T = 85 C - - - nA VDD = VDDIO = 1.71-1.95 V T = -40 C Notes 22. If VCCD and VCCA are externally regulated, the voltage difference between VCCD and VCCA must be less than 50 mV. 23. Sleep timer generates periodic interrupts to wake up the CPU. This specification applies only to those times that the CPU is off. Document Number: 001-11729 Rev. *S Page 68 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-1. Active Mode Current vs FCPU, VDD = 3.3 V, Temperature = 25 C Figure 11-2. Active Mode Current vs Temperature and FCPU, VDD = 3.3 V Figure 11-3. Active Mode Current vs VDD and Temperature, FCPU = 24 MHz Document Number: 001-11729 Rev. *S Page 69 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-3. AC Specifications[24] Parameter Description Conditions Min Typ Max Units FCPU CPU frequency 1.71 V VDDD 5.5 V DC - 67.01 MHz FBUSCLK Bus frequency 1.71 V VDDD 5.5 V DC - 67.01 MHz Svdd VDD ramp rate - - 1 V/ns TIO_INIT Time from VDDD/VDDA/VCCD/VCCA IPOR to I/O ports set to their reset states - - 10 s TSTARTUP Time from VDDD/VDDA/VCCD/VCCA VCCA/VDDA = regulated from PRES to CPU executing code at VDDA/VDDD, no PLL used, fast IMO reset vector boot mode (48 MHz typ.) - - 33 s - - 66 s VCCA/VCCD = regulated from VDDA/VDDD, no PLL used, slow IMO boot mode (12 MHz typ.) TSLEEP Wakeup from sleep mode - Application of non-LVD interrupt to beginning of execution of next CPU instruction - - 15 s THIBERNATE Wakeup from hibernate mode - Application of external interrupt to beginning of execution of next CPU instruction - - 100 s Figure 11-4. FCPU vs. VDD Vdd Voltage 5.5 V Valid Operating Region 3.3 V 1.71 V Valid Operating Region with SMP 0.5 V 0V DC 1 MHz 10 MHz 67 MHz CPU Frequency Note 24. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 70 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.3 Power Regulators Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.3.1 Digital Core Regulator Table 11-4. Digital Core Regulator DC Specifications Parameter Description VDDD Input voltage VCCD Output voltage Regulator output capacitor Conditions 10%, X5R ceramic or better. The two VCCD pins must be shorted together, with as short a trace as possible, see Power System on page 29 Figure 11-5. Regulators VCC vs VDD Min 1.8 - - Typ - 1.80 1 Max 5.5 - - Units V V F Figure 11-6. Digital Regulator PSRR vs Frequency and VDD 11.3.2 Analog Core Regulator Table 11-5. Analog Core Regulator DC Specifications Parameter Description VDDA Input voltage VCCA Output voltage Regulator output capacitor Conditions 10%, X5R ceramic or better Min 1.8 - - Typ - 1.80 1 Max 5.5 - - Units V V F Figure 11-7. Analog Regulator PSRR vs Frequency and VDD Document Number: 001-11729 Rev. *S Page 71 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.3.3 Inductive Boost Regulator. Table 11-6. Inductive Boost Regulator DC Specifications Unless otherwise specified, operating conditions are: VBAT = 2.4 V, VOUT = 2.7 V, IOUT = 40 mA, FSW = 400 kHz, LBOOST = 10 H, CBOOST = 22 F || 0.1 F Parameter VBAT IOUT Description Min Typ Max Units T=-35 C to +65 C 0.5 - 3.6 V Over entire temperature range 0.68 - 3.6 V VBAT = 1.6 - 3.6 V, VOUT = 3.6 - 5.0 V, external diode - - 50 mA VBAT = 1.6 - 3.6 V, VOUT = 1.6 - 3.6 V, internal diode - - 75 mA VBAT = 0.8 - 1.6 V, VOUT = 1.6 - 3.6 V, internal diode - - 30 mA VBAT = 0.8 - 1.6 V, VOUT = 3.6 - 5.0 V, external diode - - 20 mA VBAT = 0.5 - 0.8 V, VOUT = 1.6 - 3.6 V, internal diode - - 15 mA - - 700 mA Boost active mode - 200 - A Boost standby mode, 32 khz external crystal oscillator, IOUT < 1 iA - 12 - A 1.8 V 1.71 1.80 1.89 V 1.9 V 1.81 1.90 2.00 V 2.0 V 1.90 2.00 2.10 V 2.4 V 2.28 2.40 2.52 V 2.7 V 2.57 2.70 2.84 V 3.0 V 2.85 3.00 3.15 V 3.3 V 3.14 3.30 3.47 V 3.6 V 3.42 3.60 3.78 V 4.75 5.00 5.25 V - - 3.8 % Input voltage Includes startup Load current[25, 26] ILPK Inductor peak current IQ Quiescent current VOUT Conditions Boost voltage range[27, 28] 5.0 V RegLOAD Load regulation RegLINE Line regulation Efficiency External diode required - - 4.1 % LBOOST = 10 H 70 85 - % LBOOST = 22 H 82 90 - % Notes 25. For output voltages above 3.6 V, an external diode is required. 26. Maximum output current applies for output voltages 4x input voltage. 27. Based on device characterization (Not production tested). 28. At boost frequency of 2 MHz,VOUT is limited to 2 x VBAT. At 400 kHz, VOUT is limited to 4 x VBAT. Document Number: 001-11729 Rev. *S Page 72 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-7. Inductive Boost Regulator AC Specifications Unless otherwise specified, operating conditions are: VBAT = 2.4 V, VOUT = 2.7 V, IOUT = 40 mA, FSW = 400 kHz, LBOOST = 10 H, CBOOST = 22 F || 0.1 F. Parameter Description VRIPPLE Ripple voltage (peak-to-peak) FSW Switching frequency Conditions VOUT = 1.8 V, FSW = 400 kHz, IOUT = 10 mA Min Typ Max Units - - 100 mV - 0.1, 0.4, or 2 - MHz Min Typ Max Units Table 11-8. Recommended External Components for Boost Circuit Parameter Description Conditions LBOOST Boost inductor 4.7 10 47 H CBOOST Filter capacitor[29] 10 22 47 F IF External Schottky diode average forward current 1 - - A 20 - - V External Schottky diode is required for VOUT > 3.6 V VR Figure 11-8. Efficiency vs VOUT IOUT = 30 mA, VBAT ranges from 0.7 V to VOUT, LBOOST = 22 H Figure 11-9. Efficiency vs VBAT IOUT = 30 mA, VOUT = 3.3 V, LBOOST = 22 H Note 29. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 73 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-10. Efficiency vs IOUT VBAT = 2.4 V, VOUT = 3.3 V Figure 11-11. Efficiency vs IOUT VBAT ranges from 0.7 V to 3.3 V, LBOOST = 22 H Figure 11-12. Efficiency vs Switching Frequency VOUT = 3.3 V, VBAT = 2.4 V, IOUT = 40 mA Document Number: 001-11729 Rev. *S Page 74 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.4 Inputs and Outputs Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. Unless otherwise specified, all charts and graphs show typical values. 11.4.1 GPIO Table 11-9. GPIO DC Specifications Parameter Description VIH Input voltage high threshold Input voltage low threshold VIL Input voltage high threshold VIH VIH Input voltage high threshold VIL Input voltage low threshold VIL Input voltage low threshold VOH Output voltage high Conditions CMOS Input, PRT[x]CTL = 0 CMOS Input, PRT[x]CTL = 0 LVTTL Input, PRT[x]CTL = 1, VDDIO < 2.7 V LVTTL Input, PRT[x]CTL = 1, VDDIO 2.7V LVTTL Input, PRT[x]CTL = 1, VDDIO < 2.7 V LVTTL Input, PRT[x]CTL = 1, VDDIO 2.7V IOH = 4 mA at 3.3 VDDIO IOH = 1 mA at 1.8 VDDIO IOL = 8 mA at 3.3 VDDIO IOL = 4 mA at 1.8 VDDIO Min Typ Max Units 0.7 x VDDIO - - V - - 0.3 x VDDIO V 0.7 x VDDIO - - V 2.0 - - V - - 0.3 x VDDIO V - - 0.8 V - - 0.6 0.6 8.5 8.5 2 7 18 - 100 V V V V k k nA pF pF mV A - - VDDIO - 0.6 - VDDIO - 0.5 - VOL Output voltage low - - - - Rpullup Pull-up resistor 3.5 5.6 Rpulldown Pull-down resistor 3.5 5.6 Input leakage current (absolute value)[30] 25 C, VDDIO = 3.0 V - - IIL [30] Input capacitance GPIOs without opamp outputs - - CIN GPIOs with opamp outputs - - Input voltage hysteresis (Schmitt-Trigger)[30] - 40 VH - - Idiode Current through protection diode to VDDIO and VSSIO Rglobal Resistance pin to analog global bus 25 C, VDDIO = 3.0 V - 320 - 220 Rmux Resistance pin to analog mux bus 25 C, VDDIO = 3.0 V Figure 11-13. GPIO Output High Voltage and Current Figure 11-14. GPIO Output Low Voltage and Current Note 30. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 75 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-10. GPIO AC Specifications Parameter Description TriseF Rise time in Fast Strong Mode[31] TfallF TriseS TfallS Fgpioout Fgpioin Fall time in Fast Strong Mode[31] Rise time in Slow Strong Mode[31] Fall time in Slow Strong Mode[31] GPIO output operating frequency 2.7 V < VDDIO < 5.5 V, fast strong drive mode 1.71 V < VDDIO < 2.7 V, fast strong drive mode 3.3 V < VDDIO < 5.5 V, slow strong drive mode 1.71 V < VDDIO < 3.3 V, slow strong drive mode GPIO input operating frequency 1.71 V < VDDIO < 5.5 V Conditions 3.3 V VDDIO Cload = 25 pF Min - Typ - Max 12 Units ns 3.3 V VDDIO Cload = 25 pF 3.3 V VDDIO Cload = 25 pF 3.3 V VDDIO Cload = 25 pF - - - - - - 12 60 60 ns ns ns 90/10% VDDIO into 25 pF 90/10% VDDIO into 25 pF 90/10% VDDIO into 25 pF 90/10% VDDIO into 25 pF - - - - - - - - 33 20 7 3.5 MHz MHz MHz MHz 90/10% VDDIO - - 66 MHz Figure 11-15. GPIO Output Rise and Fall Times, Fast Strong Mode, VDDIO = 3.3 V, 25 pF Load Figure 11-16. GPIO Output Rise and Fall Times, Slow Strong Mode, VDDIO = 3.3 V, 25 pF Load Note 31. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 76 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.4.2 SIO Table 11-11. SIO DC Specifications Parameter Description Conditions Min Typ Max Units All allowed values of Vddio and Vddd, see Section 11.2.1 - - 5.5 V 0.5 - 0.52 x VDDIO V VDDIO > 3.7 1 - VDDIO - 1 V VDDIO < 3.7 1 - VDDIO - 0.5 V GPIO mode CMOS input 0.7 x VDDIO - - V Differential input mode[32] Hysteresis disabled SIO_ref + 0.2 - - V Vinmax Maximum input voltage Vinref Input voltage reference (Differential input mode) Output voltage reference (Regulated output mode) Voutref Input voltage high threshold VIH Input voltage low threshold VIL GPIO mode CMOS input - - 0.3 x VDDIO V Differential input mode[32] Hysteresis disabled - - SIO_ref - 0.2 V VDDIO - 0.4 - - V IOH = 1 mA SIO_ref - 0.65 - SIO_ref + 0.2 V IOH = 0.1 mA SIO_ref - 0.3 - SIO_ref + 0.2 V - - 0.8 V Output voltage high VOH Unregulated mode Regulated mode[32] Regulated mode[32] IOH = 4 mA, VDDIO = 3.3 V Output voltage low VDDIO = 3.30 V, IOL = 25 mA VOL VDDIO = 1.80 V, IOL = 4 mA Rpullup Rpulldown IIL - - 0.4 V Pull-up resistor 3.5 5.6 8.5 k Pull-down resistor 3.5 5.6 8.5 k - - 14 nA Input leakage current (Absolute value)[33] VIH < Vddsio 25 C, Vddsio = 3.0 V, VIH = 3.0 V VIH > Vddsio 25 C, Vddsio = 0 V, VIH = 3.0 V CIN Input Capacitance[33] VH Input voltage hysteresis (Schmitt-Trigger)[33] Idiode - - 10 A - - 7 pF Single ended mode (GPIO mode) - 40 - mV Differential mode - 35 - mV - - 100 A Current through protection diode to VSSIO Notes 32. See Figure 6-9 on page 35 and Figure 6-12 on page 38 for more information on SIO reference. 33. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 77 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-17. SIO Output HighVoltage and Current, Unregulated Mode Figure 11-18. SIO Output Low Voltage and Current, Unregulated Mode Figure 11-19. SIO Output High Voltage and Current, Regulated Mode Table 11-12. SIO AC Specifications Parameter TriseF TfallF TriseS TfallS Description Rise time in fast strong mode (90/10%)[34] Fall time in fast strong mode (90/10%)[34] Rise time in slow strong mode (90/10%)[34] Fall time in slow strong mode (90/10%)[34] Conditions Cload = 25 pF, VDDIO = 3.3 V Min - Typ - Max 12 Units ns Cload = 25 pF, VDDIO = 3.3 V - - 12 ns Cload = 25 pF, VDDIO = 3.0 V - - 75 ns Cload = 25 pF, VDDIO = 3.0 V - - 60 ns Note 34. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 78 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-12. SIO AC Specifications (continued) Parameter Fsioout Fsioin Description SIO output operating frequency 2.7 V < VDDIO < 5.5 V, Unregulated output (GPIO) mode, fast strong drive mode 1.71 V < VDDIO < 2.7 V, Unregulated output (GPIO) mode, fast strong drive mode 3.3 V < VDDIO < 5.5 V, Unregulated output (GPIO) mode, slow strong drive mode 1.71 V < VDDIO < 3.3 V, Unregulated output (GPIO) mode, slow strong drive mode 2.7 V < VDDIO < 5.5 V, Regulated output mode, fast strong drive mode 1.71 V < VDDIO < 2.7 V, Regulated output mode, fast strong drive mode 1.71 V < VDDIO < 5.5 V, Regulated output mode, slow strong drive mode SIO input operating frequency 1.71 V < VDDIO < 5.5 V Conditions Min Typ Max Units 90/10% VDDIO into 25 pF - - 33 MHz 90/10% VDDIO into 25 pF - - 16 MHz 90/10% VDDIO into 25 pF - - 5 MHz 90/10% VDDIO into 25 pF - - 4 MHz Output continuously switching into 25 pF - - 20 MHz Output continuously switching into 25 pF - - 10 MHz Output continuously switching into 25 pF - - 2.5 MHz 90/10% VDDIO - - 66 MHz Figure 11-20. SIO Output Rise and Fall Times, Fast Strong Mode, VDDIO = 3.3 V, 25 pF Load Document Number: 001-11729 Rev. *S Figure 11-21. SIO Output Rise and Fall Times, Slow Strong Mode, VDDIO = 3.3 V, 25 pF Load Page 79 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.4.3 USBIO For operation in GPIO mode, the standard range for VDDD applies, see Device Level Specifications on page 67. Table 11-13. USBIO DC Specifications Parameter Description Conditions Min Typ Max Units Rusbi USB D+ pull-up resistance With idle bus 0.900 - 1.575 k Rusba USB D+ pull-up resistance While receiving traffic 1.425 - 3.090 k Vohusb Static output high 15 k 5% to Vss, internal pull-up enabled 2.8 - 3.6 V Volusb Static output low 15 k 5% to Vss, internal pull-up enabled - - 0.3 V Vihgpio Input voltage high, GPIO mode VDDD 3 V 2 - - V Vilgpio Input voltage low, GPIO mode VDDD 3 V - - 0.8 V Vohgpio Output voltage high, GPIO mode IOH = 4 mA, VDDD 3 V 2.4 - - V Volgpio Output voltage low, GPIO mode IOL = 4 mA, VDDD 3 V - - 0.3 V Vdi Differential input sensitivity |(D+) - (D-)| - - 0.2 V Vcm Differential input common mode range - 0.8 - 2.5 V 0.8 - 2 V 3 - 7 k 21.78 (-1%) 22 22.22 (+1%) Vse Single ended receiver threshold - Rps2 PS/2 pull-up resistance In PS/2 mode, with PS/2 pull-up enabled External USB series resistor In series with each USB pin Rext Zo USB driver output impedance Including Rext 28 - 44 CIN USB transceiver input capacitance - - - 20 pF IIL Input leakage current (absolute value) 25 C, VDDD = 3.0 V - - 2 nA Figure 11-22. USBIO Output High Voltage and Current, GPIO Mode Document Number: 001-11729 Rev. *S Figure 11-23. USBIO Output Low Voltage and Current, GPIO Mode Page 80 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-14. USBIO AC Specifications Parameter Description Tdrate Full-speed data rate average bit rate Tjr1 Receiver data jitter tolerance to next transition Tjr2 Receiver data jitter tolerance to pair transition Tdj1 Driver differential jitter to next transition Tdj2 Driver differential jitter to pair transition Tfdeop Source jitter for differential transition to SE0 transition Tfeopt Source SE0 interval of EOP Tfeopr Receiver SE0 interval of EOP Tfst Width of SE0 interval during differential transition Fgpio_out GPIO mode output operating frequency Tr_gpio Rise time, GPIO mode, 10%/90% VDDD Tf_gpio Fall time, GPIO mode, 90%/10% VDDD Conditions 3 V VDDD 5.5 V VDDD = 1.71 V VDDD > 3 V, 25 pF load VDDD = 1.71 V, 25 pF load VDDD > 3 V, 25 pF load VDDD = 1.71 V, 25 pF load Min 12 - 0.25% -8 Typ 12 - Max 12 + 0.25% 8 Units MHz ns -5 - 5 ns -3.5 -4 -2 - - - 3.5 4 5 ns ns ns 160 82 - - - - 175 - 14 ns ns ns - - - - - - - - - - - - 20 6 12 40 12 40 MHz MHz ns ns ns ns Min - - 90% Typ - - - Max 20 20 111% Units ns ns 1.3 - 2 V Figure 11-24. USBIO Output Rise and Fall Times, GPIO Mode, VDDD = 3.3 V, 25 pF Load Table 11-15. USB Driver AC Specifications Parameter Description Tr Transition rise time Tf Transition fall time TR Rise/fall time matching Vcrs Output signal crossover voltage Document Number: 001-11729 Rev. *S Conditions VUSB_5, VUSB_3.3, see USB DC Specifications on page 104 Page 81 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.4.4 XRES Table 11-16. XRES DC Specifications Parameter VIH VIL Rpullup CIN VH Idiode Description Input voltage high threshold Input voltage low threshold Pull-up resistor Input capacitance[35] Input voltage hysteresis (Schmitt-Trigger)[35] Current through protection diode to VDDIO and VSSIO Conditions Min 0.7 x VDDIO - 3.5 - - Typ - - 5.6 3 100 Max - 0.3 x VDDIO 8.5 - - Units V V k pF mV - - 100 A Min 1 Typ - Max - Units s Table 11-17. XRES AC Specifications Parameter Description TRESET Reset pulse width Conditions 11.5 Analog Peripherals Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.5.1 Opamp Table 11-18. Opamp DC Specifications Parameter Description Conditions Min Typ Max Units Vi Input voltage range VSSA - VDDA V Vos Input offset voltage - - 2.5 mV Operating temperature -40 C to 70 C - - 2 mV TCVos Input offset voltage drift with temperature Power mode = high - - 30 V / C Ge1 Gain error, unity gain buffer mode Rload = 1 k - - 0.1 % Cin Input capacitance Routing from pin Vo Output voltage range 1 mA, source or sink, power mode = high Iout Output current, source or sink Idd Quiescent current CMRR Common mode rejection ratio PSRR Power supply rejection ratio - - 18 pF VSSA + 0.05 - VDDA - 0.05 V VSSA + 500 mV Vout VDDA -500 mV, VDDA > 2.7 V 25 - - mA VSSA + 500 mV Vout VDDA -500 mV, 1.7 V = VDDA 2.7 V 16 - - mA Power mode = min - 200 270 uA Power mode = low - 250 400 uA Power mode = med - 330 950 uA Power mode = high - 1000 2500 uA 80 - - dB Vdda 2.7 V 85 - - dB Vdda < 2.7 V 70 - - dB Note 35. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 82 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-25. Opamp Voffset Histogram, 3388 samples/847 parts, 25 C, Vdda = 5 V Figure 11-26. Opamp Voffset vs Temperature, Vdda = 5V Figure 11-27. Opamp Voffset vs Vcommon and Vdda, 25 C Figure 11-28. Opamp Output Voltage vs Load Current and Temperature, High Power Mode, 25 C, Vdda = 2.7 V Figure 11-29. Opamp Operating Current vs Vdda and Power Mode Document Number: 001-11729 Rev. *S Page 83 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet . Table 11-19. Opamp AC Specifications Parameter GBW SR en Description Conditions Min Typ Max Units Gain-bandwidth product Power mode = minimum, 200 pF load 1 - - MHz Power mode = low, 200 pF load 2 - - MHz Power mode = medium, 200 pF load 1 - - MHz Power mode = high, 200 pF load 3 - - MHz Power mode = low, 200 pF load 1.1 - - V/s Power mode = medium, 200 pF load 0.9 - - V/s Slew rate, 20% - 80% Input noise density Power mode = high, 200 pF load 3 - - V/s Power mode = high, Vdda = 5 V, at 100 kHz - 45 - nV/sqrtHz Figure 11-30. Opamp Noise vs Frequency, Power Mode = High, Vdda = 5V Figure 11-31. Opamp Step Response, Rising Figure 11-32. Opamp Step Response, Falling Document Number: 001-11729 Rev. *S Page 84 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.5.2 Delta-sigma ADC Unless otherwise specified, operating conditions are: Operation in continuous sample mode fclk = 3.072 MHz for resolution = 16 to 20 bits; fclk = 6.144 MHz for resolution = 8 to 15 bits Reference = 1.024 V internal reference bypassed on P3.2 or P0.3 Unless otherwise specified, all charts and graphs show typical values Table 11-20. 20-bit Delta-sigma ADC DC Specifications Parameter Min Typ Max Units Resolution Description 8 - 20 bits Number of channels, single ended - - No. of GPIO - Differential pair is formed using a pair of GPIOs. - - No. of GPIO/2 - Monotonic Yes - - - - Ge Gain error Buffered, buffer gain = 1, Range = 1.024 V, 16-bit mode, 25 C - - 0.2 % Gd Gain drift Buffered, buffer gain = 1, Range = 1.024 V, 16-bit mode - - 50 ppm/C Vos Input offset voltage Buffered, 16-bit mode, VDDA = 2.7 V, 25 C - - 0.1 mV TCVos Temperature coefficient, input offset voltage Buffer gain = 1, 16-bit, Range = 1.024 V - - 55 V/C Input voltage range, single ended[36] VSSA - VDDA V Input voltage range, differential unbuffered[36] VSSA - VDDA V Input voltage range, differential, buffered[36] VSSA - VDDA - 1 V Number of channels, differential Conditions PSRRb Power supply rejection ratio, buffered[36] Buffer gain = 1, 16-bit, Range = 1.024 V 90 - - dB CMRRb Common mode rejection ratio, buffered[36] Buffer gain = 1, 16 bit, Range = 1.024 V 85 - - dB INL20 Integral non linearity[36] Range = 1.024 V, unbuffered - - 32 LSB DNL20 Differential non linearity[36] Range = 1.024 V, unbuffered - - 1 LSB Range = 1.024 V, unbuffered - - 2 LSB Range = 1.024 V, unbuffered - - 1 LSB Range = 1.024 V, unbuffered - - 1 LSB Range = 1.024 V, unbuffered - - 1 LSB linearity[36] INL16 Integral non DNL16 Differential non linearity[36] linearity[36] INL12 Integral non DNL12 Differential non linearity[36] linearity[36] INL8 Integral non Range = 1.024 V, unbuffered - - 1 LSB DNL8 Differential non linearity[36] Range = 1.024 V, unbuffered - - 1 LSB Rin_Buff ADC input resistance Input buffer used 10 - - M Rin_ADC16 ADC input resistance Input buffer bypassed, 16-bit, Range = 1.024 V - 74[37] - k Rin_ADC12 ADC input resistance Input buffer bypassed, 12 bit, Range = 1.024 V - 148[37] - k Notes 36. Based on device characterization (not production tested). 37. By using switched capacitors at the ADC input an effective input resistance is created. Holding the gain and number of bits constant, the resistance is proportional to the inverse of the clock frequency. This value is calculated, not measured. For more information see the Technical Reference Manual. Document Number: 001-11729 Rev. *S Page 85 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-20. 20-bit Delta-sigma ADC DC Specifications (continued) Parameter Vextref Description Conditions ADC external reference input voltage, see also internal reference in Voltage Pins P0[3], P3[2] Reference on page 89 Min Typ Max Units 0.9 - 1.3 V Current Consumption IDD_20 Current consumption, 20 bit[38] 187 sps, unbuffered - - 1.25 mA IDD_16 Current consumption, 16 bit[38] 48 ksps, unbuffered - - 1.2 mA IDD_12 Current consumption, 12 bit[38] IBUFF Buffer current consumption[38] Table 11-21. Delta-sigma ADC AC Specifications Parameter Description Startup time THD Total harmonic distortion[38] 20-Bit Resolution Mode SR20 Sample rate[38] BW20 Input bandwidth at max sample rate[38] 16-Bit Resolution Mode SR16 Sample rate[38] BW16 Input bandwidth at max sample rate[38] SINAD16int Signal to noise ratio, 16-bit, internal reference[38] SINAD16ext Signal to noise ratio, 16-bit, external reference[38] 12-Bit Resolution Mode SR12 Sample rate, continuous, high power[38] BW12 Input bandwidth at max sample rate[38] SINAD12int Signal to noise ratio, 12-bit, internal reference[38] 8-Bit Resolution Mode SR8 Sample rate, continuous, high power[38] BW8 Input bandwidth at max sample rate[38] SINAD8int Signal to noise ratio, 8-bit, internal reference[38] 192 ksps, unbuffered Conditions - - 1.4 mA - - 2.5 mA Min - - Typ - - Max 4 0.0032 Units Samples % Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered 7.8 - - 40 187 - sps Hz Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered Range = 1.024V, unbuffered 2 - 81 - 11 - 48 - - ksps kHz dB Range = 1.024 V, unbuffered 84 - - dB Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered 4 - 66 - 44 - 192 - - ksps kHz dB Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered Range = 1.024 V, unbuffered 8 - 43 - 88 - 384 - - ksps kHz dB Buffer gain = 1, 16 bit, Range = 1.024 V Note 38. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 86 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-22. Delta-sigma ADC Sample Rates, Range = 1.024 V Continuous Multi-Sample Multi-Sample Turbo Resolution, Bits Min Max Min Max Min Max 8 8000 384000 1911 91701 1829 87771 9 6400 307200 1543 74024 1489 71441 10 5566 267130 1348 64673 1307 62693 11 4741 227555 1154 55351 1123 53894 12 4000 192000 978 46900 956 45850 13 3283 157538 806 38641 791 37925 14 2783 133565 685 32855 674 32336 15 2371 113777 585 28054 577 27675 16 2000 48000 495 11861 489 11725 17 500 12000 124 2965 282 6766 18 125 3000 31 741 105 2513 19 16 375 4 93 15 357 20 8 187.5 2 46 8 183 Figure 11-33. Delta-sigma ADC IDD vs sps, Range = 1.024 V, Figure 11-34. Delta-sigma ADC Noise Histogram, 1000 Continuous Sample Mode, Input Buffer Bypassed Samples, 20-Bit, 187 sps, Ext Ref, VIN = VREF/2, Range = 1.024 V Figure 11-35. Delta-sigma ADC Noise Histogram, 1000 Samples, 16-bit, 48 ksps, Ext Ref, VIN = VREF/2, Range = 1.024 V Document Number: 001-11729 Rev. *S Figure 11-36. Delta-sigma ADC Noise Histogram, 1000 Samples, 16-bit, 48 ksps, Int Ref, VIN = VREF/2, Range = 1.024 V Page 87 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-23. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 16-bit, Internal Reference, Single Ended Sample rate, Input Voltage Range sps 0 to VREF 0 to VREF x 2 VSSA to VDDA 0 to VREF x 6 2000 1.21 1.02 1.14 0.99 3000 1.28 1.15 1.25 1.22 6000 1.36 1.22 1.38 1.22 12000 1.44 1.33 1.43 1.40 24000 1.67 1.50 1.43 1.53 48000 1.91 1.60 1.85 1.67 Table 11-24. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 16-bit, Internal Reference, Differential Sample rate, Input Voltage Range sps VREF VREF / 2 VREF / 4 VREF / 8 VREF / 16 2000 0.56 0.65 0.74 1.02 1.77 4000 0.58 0.72 0.81 1.10 1.98 8000 0.53 0.72 0.82 1.12 2.18 15625 0.58 0.72 0.85 1.13 2.20 32000 0.60 0.76 43750 0.58 0.75 48000 0.59 INVALID OPERATING REGION Table 11-25. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 20-bit, External Reference, Single Ended Sample rate, Input Voltage Range sps 0 to VREF 0 to VREF x 2 VSSA to VDDA 0 to VREF x 6 8 1.28 1.24 6.02 0.97 23 1.33 1.28 6.09 0.98 45 1.77 1.26 6.28 0.96 90 1.65 0.91 6.84 0.95 187 1.87 1.06 7.97 1.01 Table 11-26. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 20-bit, External Reference, Differential Sample rate, sps 8 Input Voltage Range VREF VREF / 2 VREF / 4 VREF / 8 VREF / 16 0.70 0.84 1.02 1.40 2.65 11.3 0.69 0.86 0.96 1.40 2.69 22.5 0.73 0.82 1.25 1.77 2.67 45 0.76 0.94 1.02 1.76 2.75 61 0.75 1.01 1.13 1.65 2.98 170 0.75 0.98 187 0.73 Document Number: 001-11729 Rev. *S INVALID OPERATING REGION Page 88 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-38. Delta-sigma ADC INL vs Output Code, 16-bit, 48 ksps, 25 C VDDA = 3.3 V Figure 11-37. Delta-sigma ADC DNL vs Output Code, 16-bit, 48 ksps, 25 C VDDA = 3.3 V 11.5.3 Voltage Reference Table 11-27. Voltage Reference Specifications See also ADC external reference specifications in Section 11.5.2. Parameter VREF Description Min Typ Max Units 1.023 (-0.1%) 1.024 1.025 (+0.1%) V Temperature drift[39] - - 20 ppm/C Long term drift - 100 - ppm/Khr Thermal cycling drift (stability)[39] - 100 - ppm Precision reference voltage Conditions Initial trimming 11.5.4 Analog Globals Table 11-28. Analog Globals Specifications Parameter Rppag Rppmuxbus Description Resistance pin-to-pin through analog global[40] Resistance pin-to-pin through analog mux bus[40] Conditions VDDA = 3.0 V VDDA = 3.0 V Min - Typ 939 Max 1461 Units - 721 1135 Min Typ Max Units 10 mV 9 mV 4 mV 11.5.5 Comparator Table 11-29. Comparator DC Specifications Parameter Description Input offset voltage in fast mode Factory trim, Vdda > 2.7 V, Vin 0.5 V - Input offset voltage in slow mode Factory trim, Vin 0.5 V - VOS VOS Conditions Input offset voltage in fast mode[41] Custom trim Input offset voltage in slow mode[41] VOS Input offset voltage in ultra low-power mode VHYST Hysteresis Custom trim Hysteresis enable mode - - - - 4 mV - 12 - mV - 10 32 mV Notes 39. Based on device characterization (Not production tested). 40. The resistance of the analog global and analog mux bus is high if VDDA 2.7 V, and the chip is in either sleep or hibernate mode. Use of analog global and analog mux bus under these conditions is not recommended. 41. The recommended procedure for using a custom trim value for the on-chip comparators can be found in the TRM. Document Number: 001-11729 Rev. *S Page 89 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-29. Comparator DC Specifications (continued) Parameter VICM Description Input common mode voltage Conditions Min Typ Max Units High current / fast mode VSSA - VDDA - 0.1 V Low current / slow mode VSSA - VDDA V Ultra low power mode VSSA - VDDA - 0.9 V CMRR Common mode rejection ratio - 50 - dB ICMP High current mode/fast mode[42] - - 400 A Low current mode/slow mode[42] - - 100 A - 6 - A Min Typ Max Units Ultra low-power mode[42] Table 11-30. Comparator AC Specifications Parameter TRESP Description Conditions Response time, high current mode[42] 50 mV overdrive, measured pin-to-pin - 75 110 ns Response time, low current mode[42] 50 mV overdrive, measured pin-to-pin - 155 200 ns Response time, ultra low-power mode[42] 50 mV overdrive, measured pin-to-pin - 55 - s Min Typ Max Units - - 8 bits Range = 2.048 mA, code = 255, VDDA 2.7 V, Rload = 600 - 2.048 - mA Range = 2.048 mA, High mode, code = 255, VDDA 2.7 V, Rload = 300 - 2.048 - mA Range = 255 A, code = 255, Rload = 600 - 255 - A Range = 31.875 A, code = 255, Rload = 600 - 31.875 - A - - Yes 11.5.6 Current Digital-to-analog Converter (IDAC) See the IDAC component data sheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, all charts and graphs show typical values. Table 11-31. IDAC DC Specifications Parameter Description Conditions Resolution IOUT Output current at code = 255 Monotonicity Ezs Zero scale error Eg Gain error TC_Eg Temperature coefficient of gain error - 0 1 LSB Range = 2.048 mA, 25 C - - 2.5 % Range = 255 A, 25 C - - 2.5 % Range = 31.875 A, 25 C - - 3.5 % Range = 2.048 mA - - 0.04 % / C Range = 255 A - - 0.04 % / C Range = 31.875 A - - 0.05 % / C Note 42. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 90 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-31. IDAC DC Specifications (continued) Parameter INL DNL Description Integral nonlinearity Differential nonlinearity Conditions Min Typ Max Units Sink mode, range = 255 A, Codes 8 - 255, Rload = 2.4 k, Cload = 15 pF - 0.9 1 LSB Source mode, range = 255 A, Codes 8 - 255, Rload = 2.4 k, Cload = 15 pF - 1.2 1.5 LSB Sink mode, range = 255 A, Rload = 2.4 k, Cload = 15 pF - 0.3 1 LSB Source mode, range = 255 A, Rload = 2.4 k, Cload = 15 pF - 0.3 1 LSB Vcompliance Dropout voltage, source or sink mode Voltage headroom at max current, Rload to Vdda or Rload to Vssa, Vdiff from Vdda 1 - - V IDD Operating current, code = 0 Slow mode, source mode, range = 31.875 A - 44 100 A Slow mode, source mode, range = 255 A, - 33 100 A Slow mode, source mode, range = 2.04 mA - 33 100 A Slow mode, sink mode, range = 31.875 A - 36 100 A Slow mode, sink mode, range = 255 A - 33 100 A Slow mode, sink mode, range = 2.04 mA - 33 100 A Fast mode, source mode, range = 31.875 A - 310 500 A Fast mode, source mode, range = 255 A - 305 500 A Fast mode, source mode, range = 2.04 mA - 305 500 A Fast mode, sink mode, range = 31.875 A - 310 500 A Fast mode, sink mode, range = 255 A - 300 500 A Fast mode, sink mode, range = 2.04 mA - 300 500 A Document Number: 001-11729 Rev. *S Page 91 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-39. IDAC INL vs Input Code, Range = 255 A, Source Mode Figure 11-40. IDAC INL vs Input Code, Range = 255 A, Sink Mode Figure 11-41. IDAC DNL vs Input Code, Range = 255 A, Source Mode Figure 11-42. IDAC DNL vs Input Code, Range = 255 A, Sink Mode Figure 11-43. IDAC INL vs Temperature, Range = 255 A, Fast Mode Figure 11-44. IDAC DNL vs Temperature, Range = 255 A, Fast Mode Document Number: 001-11729 Rev. *S Page 92 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-45. IDAC Full Scale Error vs Temperature, Range = 255 A, Source Mode Figure 11-46. IDAC Full Scale Error vs Temperature, Range = 255 A, Sink Mode Figure 11-47. IDAC Operating Current vs Temperature, Range = 255 A, Code = 0, Source Mode Figure 11-48. IDAC Operating Current vs Temperature, Range = 255 A, Code = 0, Sink Mode Document Number: 001-11729 Rev. *S Page 93 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-32. IDAC AC Specifications Parameter Description FDAC Update rate TSETTLE Settling time to 0.5 LSB Conditions Min - Range = 31.875 A or 255 A, full scale transition, fast mode, 600 15-pF load - Figure 11-49. IDAC Step Response, Codes 0x40 - 0xC0, 255 A Mode, Source Mode, Fast Mode, Vdda = 5 V Typ Max Units - 8 Msps - 125 ns Figure 11-50. IDAC Glitch Response, Codes 0x7F - 0x80, 255 A Mode, Source Mode, Fast Mode, Vdda = 5 V Figure 11-51. IDAC PSRR vs Frequency Document Number: 001-11729 Rev. *S Page 94 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.5.7 Voltage Digital to Analog Converter (VDAC) See the VDAC component data sheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, all charts and graphs show typical values. Table 11-33. VDAC DC Specifications Parameter Description Conditions Resolution 8 - bits 2.5 LSB 1 V scale - 0.3 1 LSB 1 V scale - 4 - k 4 V scale - 16 - k 1 V scale - 1 - V - 4 - V - - Yes - Differential nonlinearity Rout Output resistance VOUT Output voltage range, code = 255 1 V scale 4 V scale, Vdda = 5 V Monotonicity Zero scale error TC_Eg IDD Operating current Units 2.1 DNL1 Gain error Max - Integral nonlinearity Eg Typ - INL1 VOS Min - 0 0.9 LSB 1 V scale, - - 2.5 % 4 V scale - - 2.5 % Temperature coefficient, gain error 1 V scale, - - 0.03 %FSR / C Figure 11-52. VDAC INL vs Input Code, 1 V Mode Document Number: 001-11729 Rev. *S 4 V scale - - 0.03 %FSR / C Slow mode - - 100 A Fast mode - - 500 A Figure 11-53. VDAC DNL vs Input Code, 1 V Mode Page 95 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-54. VDAC INL vs Temperature, 1 V Mode Figure 11-55. VDAC DNL vs Temperature, 1 V Mode Figure 11-56. VDAC Full Scale Error vs Temperature, 1 V Mode Figure 11-57. VDAC Full Scale Error vs Temperature, 4 V Mode Figure 11-58. VDAC Operating Current vs Temperature, 1V Mode, Slow Mode Figure 11-59. VDAC Operating Current vs Temperature, 1 V Mode, Fast Mode Document Number: 001-11729 Rev. *S Page 96 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-34. VDAC AC Specifications Parameter FDAC Description Update rate Conditions Min Typ Max Units 1 V scale - - 1000 ksps 4 V scale - - 250 ksps - 0.45 1 s TsettleP Settling time to 0.1%, step 25% to 1 V scale, Cload = 15 pF 75% 4 V scale, Cload = 15 pF - 0.8 3.2 s TsettleN Settling time to 0.1%, step 75% to 1 V scale, Cload = 15 pF 25% - 0.45 1 s 4 V scale, Cload = 15 pF - 0.7 3 s Figure 11-60. VDAC Step Response, Codes 0x40 - 0xC0, 1 V Mode, Fast Mode, Vdda = 5 V Figure 11-61. VDAC Glitch Response, Codes 0x7F - 0x80, 1 V Mode, Fast Mode, Vdda = 5 V Figure 11-62. VDAC PSRR vs Frequency Document Number: 001-11729 Rev. *S Page 97 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.5.8 Mixer The mixer is created using a SC/CT analog block; see the Mixer component data sheet in PSoC Creator for full electrical specifications and APIs. Table 11-35. Mixer DC Specifications Parameter VOS G Description Conditions Min Typ Max Units - - 10 mV Quiescent current - 0.9 2 mA Gain - 0 - dB Min Typ Max Units Input offset voltage Table 11-36. Mixer AC Specifications Parameter Description Conditions fLO Local oscillator frequency Down mixer mode - - 4 MHz fin Input signal frequency Down mixer mode - - 14 MHz fLO Local oscillator frequency Up mixer mode - - 1 MHz fin Input signal frequency Up mixer mode - - 1 MHz SR Slew rate 3 - - V/s 11.5.9 Transimpedance Amplifier The TIA is created using a SC/CT analog block; see the TIA component data sheet in PSoC Creator for full electrical specifications and APIs. Table 11-37. Transimpedance Amplifier (TIA) DC Specifications Parameter Description VIOFF Input offset voltage Rconv Conversion resistance[43] Conditions Min Typ Max Units - - 10 mV R = 20K; 40 pF load -25 - +35 % R = 30K; 40 pF load -25 - +35 % R = 40K; 40 pF load -25 - +35 % R = 80K; 40 pF load -25 - +35 % R = 120K; 40 pF load -25 - +35 % R = 250K; 40 pF load -25 - +35 % R= 500K; 40 pF load -25 - +35 % R = 1M; 40 pF load -25 - +35 % - 1.1 2 mA Quiescent current Table 11-38. Transimpedance Amplifier (TIA) AC Specifications Parameter BW Description Input bandwidth (-3 dB) Min Typ Max Units R = 20K; -40 pF load Conditions 1500 - - kHz R = 120K; -40 pF load 240 - - kHz R = 1M; -40 pF load 25 - - kHz Note 43. Conversion resistance values are not calibrated. Calibrated values and details about calibration are provided in PSoC Creator component data sheets. External precision resistors can also be used. Document Number: 001-11729 Rev. *S Page 98 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.5.10 Programmable Gain Amplifier The PGA is created using a SC/CT analog block; see the PGA component data sheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, operating conditions are: Operating temperature = 25 C for typical values Unless otherwise specified, all charts and graphs show typical values Table 11-39. PGA DC Specifications Parameter Description Conditions Min Typ Max Units Vssa - Vdda V Vin Input voltage range Power mode = minimum Vos Input offset voltage Power mode = high, gain = 1 - - 10 mV TCVos Input offset voltage drift with temperature Power mode = high, gain = 1 - - 30 V/C Ge1 Gain error, gain = 1 - - 0.15 % Ge16 Gain error, gain = 16 - - 2.5 % Ge50 Gain error, gain = 50 - - 5 % Vonl DC output nonlinearity - - 0.01 % of FSR Cin Input capacitance - - 7 pF Voh Output voltage swing Power mode = high, gain = 1, Rload = 100 k to VDDA / 2 VDDA - 0.15 - - V Vol Output voltage swing Power mode = high, gain = 1, Rload = 100 k to VDDA / 2 - - VSSA + 0.15 V Vsrc Output voltage under load Iload = 250 A, Vdda 2.7V, power mode = high - - 300 mV Idd Operating current Power mode = high - 1.5 1.65 mA PSRR Power supply rejection ratio 48 - - dB Gain = 1 Figure 11-63. PGA Voffset Histogram, 4096 samples/ 1024 parts Document Number: 001-11729 Rev. *S Page 99 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-40. PGA AC Specifications Parameter Description Conditions Min Typ Max Units 6.7 8 - MHz Power mode = high, gain = 1, 20% to 80% 3 - - V/s Power mode = high, Vdda = 5 V, at 100 kHz - 43 - nV/sqrtHz BW1 -3 dB bandwidth Power mode = high, gain = 1, input = 100 mV peak-to-peak SR1 Slew rate en Input noise density Figure 11-64. Bandwidth vs. Temperature, at Different Gain Settings, Power Mode = High Figure 11-65. Noise vs. Frequency, Vdda = 5 V, Power Mode = High 11.5.11 Temperature Sensor Table 11-41. Temperature Sensor Specifications Parameter Description Temp sensor accuracy Conditions Range: -40 C to +85 C Min Typ Max Units - 5 - C 11.5.12 LCD Direct Drive Table 11-42. LCD Direct Drive DC Specifications Conditions Min Typ Max Units ICC Parameter LCD system operating current Description Device sleep mode with wakeup at 400-Hz rate to refresh LCDs, bus clock = 3 Mhz, Vddio = Vdda = 3 V, 4 commons, 16 segments, 1/4 duty cycle, 50 Hz frame rate, no glass connected - 38 - A ICC_SEG Current per segment driver Strong drive mode - 260 - A VBIAS LCD bias range (VBIAS refers to the VDDA 3 V and VDDA VBIAS main output voltage(V0) of LCD DAC) 2 - 5 V VDDA 3 V and VDDA VBIAS - 9.1 x VDDA - mV Drivers may be combined - 500 5000 pF LCD bias step size LCD capacitance per segment/common driver Document Number: 001-11729 Rev. *S Page 100 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-42. LCD Direct Drive DC Specifications (continued) Parameter Description Conditions Long term segment offset IOUT Output drive current per segment driver) Vddio = 5.5V, strong drive mode Min Typ Max Units - - 20 mV 355 - 710 A Min Typ Max Units 10 50 150 Hz Table 11-43. LCD Direct Drive AC Specifications Parameter fLCD Description Conditions LCD frame rate 11.6 Digital Peripherals Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.6.1 Timer The following specifications apply to the Timer/Counter/PWM peripheral in timer mode. Timers can also be implemented in UDBs; for more information, see the Timer component data sheet in PSoC Creator. Table 11-44. Timer DC Specifications Parameter Description Block current consumption Conditions 16-bit timer, at listed input clock frequency 3 MHz 12 MHz 48 MHz 67 MHz Min - Typ - Max - Units A - - - - 15 60 260 350 - - - - A A A A Min DC 15 30 15 15 30 15 30 Typ - - - - - - - - Max 67.01 - - - - - - - Units MHz ns ns ns ns ns ns ns Table 11-45. Timer AC Specifications Parameter Description Operating frequency Capture pulse width (Internal) Capture pulse width (external) Timer resolution Enable pulse width Enable pulse width (external) Reset pulse width Reset pulse width (external) Document Number: 001-11729 Rev. *S Conditions Page 101 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.6.2 Counter The following specifications apply to the Timer/Counter/PWM peripheral, in counter mode. Counters can also be implemented in UDBs; for more information, see the Counter component data sheet in PSoC Creator. Table 11-46. Counter DC Specifications Parameter Description Block current consumption Conditions 16-bit counter, at listed input clock frequency 3 MHz 12 MHz 48 MHz 67 MHz Min - Typ - Max - Units A - - - - 15 60 260 350 - - - - A A A A Min DC 15 15 15 30 15 30 15 30 Typ - - - - Max 67.01 - - - - - - - - - - - Units MHz ns ns ns ns ns ns ns ns Table 11-47. Counter AC Specifications Parameter Description Operating frequency Capture pulse Resolution Pulse width Pulse width (external) Enable pulse width Enable pulse width (external) Reset pulse width Reset pulse width (external) Conditions 11.6.3 Pulse Width Modulation The following specifications apply to the Timer/Counter/PWM peripheral, in PWM mode. PWM components can also be implemented in UDBs; for more information, see the PWM component data sheet in PSoC Creator. Table 11-48. PWM DC Specifications Parameter Description Block current consumption Conditions 16-bit PWM, at listed input clock frequency Min Typ Max Units - - - A 3 MHz - 15 - A 12 MHz - 60 - A 48 MHz - 260 - A 67 MHz - 350 - A Min Typ Max Units DC - 67.01 MHz Pulse width 15 - - ns Pulse width (external) 30 - - ns Kill pulse width 15 - - ns Kill pulse width (external) 30 - - ns Table 11-49. Pulse Width Modulation (PWM) AC Specifications Parameter Description Operating frequency Conditions Enable pulse width 15 - - ns Enable pulse width (external) 30 - - ns Reset pulse width 15 - - ns Reset pulse width (external) 30 - - ns Document Number: 001-11729 Rev. *S Page 102 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.6.4 I2C Table 11-50. Fixed I2C DC Specifications Parameter Description Block current consumption - - Conditions Enabled, configured for 100 kbps Enabled, configured for 400 kbps Wake from sleep mode Min - - - Typ - - - Max 250 260 30 Units A A A Min Typ Max Units - - 1 Mbps Conditions Min - Typ - Max 200 Units A Conditions Minimum 8 MHz clock Min - Typ - Max 1 Units Mbit Table 11-51. Fixed I2C AC Specifications Parameter Description Conditions Bit rate 11.6.5 Controller Area Network[44] Table 11-52. CAN DC Specifications Parameter IDD Description Block current consumption Table 11-53. CAN AC Specifications Parameter Description Bit rate 11.6.6 Digital Filter Block Table 11-54. DFB DC Specifications Parameter Description DFB operating current Conditions Min Typ Max Units 100 kHz (1.3 ksps) - 0.03 0.05 mA 500 kHz (6.7 ksps) - 0.16 0.27 mA 1 MHz (13.4 ksps) - 0.33 0.53 mA 10 MHz (134 ksps) - 3.3 5.3 mA 48 MHz (644 ksps) - 15.7 25.5 mA 67 MHz (900 ksps) - 21.8 35.6 mA Min Typ Max Units DC - 67.01 MHz 64-tap FIR at FDFB Table 11-55. DFB AC Specifications Parameter FDFB Description DFB operating frequency Conditions Note 44. Refer to ISO 11898 specification for details. Document Number: 001-11729 Rev. *S Page 103 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.6.7 USB Table 11-56. USB DC Specifications Parameter Description Min Typ Max Units USB configured, USB regulator enabled 4.35 - 5.25 V VUSB_3.3 USB configured, USB regulator bypassed 3.15 - 3.6 V VUSB_3 USB configured, USB regulator bypassed[45] 2.85 - 3.6 V - 10 - mA - 8 - mA VDDD = 5 V, connected to USB host, PICU configured to wake on USB resume signal - 0.5 - mA VDDD = 5 V, disconnected from USB host - 0.3 - mA VDDD = 3.3 V, connected to USB host, PICU configured to wake on USB resume signal - 0.5 - mA VDDD = 3.3 V, disconnected from USB host - 0.3 - mA VUSB_5 Device supply for USB operation IUSB_Configured Conditions Device supply current in device VDDD = 5 V, FCPU = 1.5 MHz active mode, bus clock and IMO = V DDD = 3.3 V, FCPU = 1.5 MHz 24 MHz IUSB_Suspended Device supply current in device sleep mode 11.6.8 Universal Digital Blocks (UDBs) PSoC Creator provides a library of prebuilt and tested standard digital peripherals (UART, SPI, LIN, PRS, CRC, timer, counter, PWM, AND, OR, and so on) that are mapped to the UDB array. See the component data sheets in PSoC Creator for full AC/DC specifications, APIs, and example code. Table 11-57. UDB AC Specifications Parameter Description Conditions Min Typ Max Units FMAX_TIMER Maximum frequency of 16-bit timer in a UDB pair - - 67.01 MHz FMAX_ADDER Maximum frequency of 16-bit adder in a UDB pair - - 67.01 MHz - - 67.01 MHz - - 67.01 MHz Datapath Performance FMAX_CRC Maximum frequency of 16-bit CRC/PRS in a UDB pair PLD Performance FMAX_PLD Maximum frequency of a two-pass PLD function in a UDB pair Clock to Output Performance tCLK_OUT Propagation delay for clock in to data 25 C, Vddd 2.7 V out, see Figure 11-66. - 20 25 ns tCLK_OUT Propagation delay for clock in to data Worst-case placement, routing, out, see Figure 11-66. and pin selection - - 55 ns Note 45. Rise/fall time matching (TR) not guaranteed, see USB Driver AC Specifications on page 81. Document Number: 001-11729 Rev. *S Page 104 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-66. Clock to Output Performance 11.7 Memory Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.7.1 Flash Table 11-58. Flash DC Specifications Parameter Description Erase and program voltage Conditions VDDD pin Min Typ Max Units 1.71 - 5.5 V Min Typ Max Units Table 11-59. Flash AC Specifications Parameter Description Conditions TWRITE Row write time (erase + program) - 15 20 ms TERASE Row erase time - 10 13 ms Row program time - 5 7 ms Bulk erase time (16 KB to 64 KB) - - 35 ms TBULK Sector erase time (8 KB to 16 KB) - - 15 ms Total device program time, including JTAG or SWD, and other overhead - - 5 seconds Flash data retention time, retention Average ambient temp. period measured from last erase TA 55 C, 100 K erase/program cycle cycles 20 - - years 10 - - Average ambient temp. TA 85 C, 10 K erase/program cycles Document Number: 001-11729 Rev. *S Page 105 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.7.2 EEPROM Table 11-60. EEPROM DC Specifications Parameter Description Conditions Erase and program voltage Min Typ Max Units 1.71 - 5.5 V Table 11-61. EEPROM AC Specifications Parameter TWRITE Min Typ Max Units Single row erase/write cycle time Description Conditions - 2 20 ms EEPROM data retention time, Average ambient temp, TA 25 C, retention period measured from last 1M erase/program cycles erase cycle Average ambient temp, TA 55 C, 100 K erase/program cycles 20 - - years 20 - - Average ambient temp. TA 85 C, 10 K erase/program cycles 10 - - Conditions Min Typ Max Units 1.71 - 5.5 V Min Typ Max Units 11.7.3 Nonvolatile Latches (NVL)) Table 11-62. NVL DC Specifications Parameter Description Erase and program voltage VDDD pin Table 11-63. NVL AC Specifications Parameter Description NVL endurance NVL data retention time Conditions Programmed at 25 C 1K - - program/erase cycles Programmed at 0 C to 70 C 100 - - program/erase cycles Programmed at 25 C 20 - - years Programmed at 0 C to 70 C 20 - - years Min Typ Max Units 1.2 - - V Min Typ Max Units DC - 67.01 MHz 11.7.4 SRAM Table 11-64. SRAM DC Specifications Parameter VSRAM Description Conditions SRAM retention voltage Table 11-65. SRAM AC Specifications Parameter FSRAM Description SRAM operating frequency Document Number: 001-11729 Rev. *S Conditions Page 106 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.7.5 External Memory Interface Figure 11-67. Asynchronous Read Cycle Timing Tcel EM_ CEn Taddrv EM_ Addr Taddrh Address Toel EM_ OEn EM_ WEn Tdoesu Tdoeh EM_ Data Document Number: 001-11729 Rev. *S Data Page 107 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-66. Asynchronous Read Cycle Specifications Parameter Description period[46] T EMIF clock Tcel EM_CEn low time Conditions Min Vdda 3.3 V Typ Max Units 30.3 - - nS 2T - 5 - 2T+ 5 nS Taddrv EM_CEn low to EM_Addr valid - - 5 nS Taddrh Address hold time after EM_Wen high T - - nS Toel EM_OEn low time 2T - 5 - 2T + 5 nS Tdoesu Data to EM_OEn high setup time T + 15 - - nS Tdoeh Data hold time after EM_OEn high 3 - - nS Min Typ Max Units 30.3 - - nS T-5 - T+5 nS Figure 11-68. Asynchronous Write Cycle Timing Taddrv Taddrh EM_ Addr Address Tcel EM_ CEn Twel EM_ WEn EM_ OEn Tdweh Tdcev EM_ Data Data Table 11-67. Asynchronous Write Cycle Specifications Parameter Description period[46] Conditions Vdda 3.3 V T EMIF clock Tcel EM_CEn low time Taddrv EM_CEn low to EM_Addr valid - - 5 nS Taddrh Address hold time after EM_WEn high T - - nS Twel EM_WEn low time T-5 - T+5 nS Tdcev EM_CEn low to data valid - - 7 nS Tdweh Data hold time after EM_WEn high T - - nS Note 46. Limited by GPIO output frequency, see Table 11-10 on page 76. Document Number: 001-11729 Rev. *S Page 108 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-69. Synchronous Read Cycle Timing Tcp/2 EM_ Clock Tceld Tcehd EM_ CEn Taddriv Taddrv EM_ Addr Address Toeld Toehd EM_ OEn Tds Data EM_ Data Tadscld Tadschd EM_ ADSCn Table 11-68. Synchronous Read Cycle Specifications Parameter Description period[47] Conditions Vdda 3.3 V Min Typ Max Units 30.3 - - nS T EMIF clock Tcp/2 EM_Clock pulse high T/2 - - nS Tceld EM_CEn low to EM_Clock high 5 - - nS Tcehd EM_Clock high to EM_CEn high T/2 - 5 - - nS Taddrv EM_Addr valid to EM_Clock high 5 - - nS Taddriv EM_Clock high to EM_Addr invalid T/2 - 5 - - nS Toeld EM_OEn low to EM_Clock high 5 - - nS Toehd EM_Clock high to EM_OEn high T - - nS Tds Data valid before EM_OEn high T + 15 - - nS Tadscld EM_ADSCn low to EM_Clock high 5 - - nS Tadschd EM_Clock high to EM_ADSCn high T/2 - 5 - - nS Note 47. Limited by GPIO output frequency, see Table 11-10 on page 76. Document Number: 001-11729 Rev. *S Page 109 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 11-70. Synchronous Write Cycle Timing Tcp/2 EM_ Clock Tceld Tcehd EM_ CEn Taddriv Taddrv EM_ Addr Address Tweld Twehd EM_ WEn Tds Tdh Data EM_ Data Tadscld Tadschd EM_ ADSCn Table 11-69. Synchronous Write Cycle Specifications Parameter Description Min Typ Max Units 30.3 - - nS T/2 - - nS EM_CEn low to EM_Clock high 5 - - nS Tcehd EM_Clock high to EM_CEn high T/2 - 5 - - nS Taddrv EM_Addr valid to EM_Clock high 5 - - nS Taddriv EM_Clock high to EM_Addr invalid T/2 - 5 - - nS Tweld EM_WEn low to EM_Clock high 5 - - nS Twehd EM_Clock high to EM_WEn high T/2 - 5 - - nS Tds Data valid before EM_Clock high 5 - - nS Tdh Data invalid after EM_Clock high T - - nS Tadscld EM_ADSCn low to EM_Clock high 5 - - nS Tadschd EM_Clock high to EM_ADSCn high T/2 - 5 - - nS T EMIF clock Period[48] Tcp/2 EM_Clock pulse high Tceld Conditions Vdda 3.3 V Note 48. Limited by GPIO output frequency, see Table 11-10 on page 76. Document Number: 001-11729 Rev. *S Page 110 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.8 PSoC System Resources Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. 11.8.1 POR with Brown Out For brown out detect in regulated mode, VDDD and VDDA must be 2.0 V. Brown out detect is not available in externally regulated mode. Table 11-70. Precise Power On Reset (PRES) with Brown Out DC Specifications Parameter Description Conditions Min Typ Max Units 1.64 - 1.68 V 1.62 - 1.66 V Min Typ Max Units - - 0.5 s - 5 - V/sec Min Typ Max Units 1.68 1.89 2.14 2.38 2.62 2.87 3.11 3.35 3.59 3.84 4.08 4.32 4.56 4.83 5.05 5.30 5.57 1.73 1.95 2.20 2.45 2.71 2.95 3.21 3.46 3.70 3.95 4.20 4.45 4.70 4.98 5.21 5.47 5.75 1.77 2.01 2.27 2.53 2.79 3.04 3.31 3.56 3.81 4.07 4.33 4.59 4.84 5.13 5.37 5.63 5.92 V V V V V V V V V V V V V V V V V Min Typ Max Units - - 1 s Precise POR (PPOR) PRESR Rising trip voltage PRESF Falling trip voltage Factory trim Table 11-71. Power On Reset (POR) with Brown Out AC Specifications Parameter Description Conditions PRES_TR Response time VDDD/VDDA droop rate Sleep mode 11.8.2 Voltage Monitors Table 11-72. Voltage Monitors DC Specifications Parameter Description LVI Trip voltage LVI_A/D_SEL[3:0] = 0000b LVI_A/D_SEL[3:0] = 0001b LVI_A/D_SEL[3:0] = 0010b LVI_A/D_SEL[3:0] = 0011b LVI_A/D_SEL[3:0] = 0100b LVI_A/D_SEL[3:0] = 0101b LVI_A/D_SEL[3:0] = 0110b LVI_A/D_SEL[3:0] = 0111b LVI_A/D_SEL[3:0] = 1000b LVI_A/D_SEL[3:0] = 1001b LVI_A/D_SEL[3:0] = 1010b LVI_A/D_SEL[3:0] = 1011b LVI_A/D_SEL[3:0] = 1100b LVI_A/D_SEL[3:0] = 1101b LVI_A/D_SEL[3:0] = 1110b LVI_A/D_SEL[3:0] = 1111b HVI Trip voltage Conditions Table 11-73. Voltage Monitors AC Specifications Parameter Description Response time Document Number: 001-11729 Rev. *S Conditions Page 111 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.8.3 Interrupt Controller Table 11-74. Interrupt Controller AC Specifications Parameter Description Conditions Delay from interrupt signal input to ISR Includes worse case completion of code execution from ISR code longest instruction DIV with 6 cycles Min Typ Max Units - - 25 Tcy CPU 11.8.4 JTAG Interface Figure 11-71. JTAG Interface Timing (1/f_TCK) TCK T_TDI_setup T_TDI_hold TDI T_TDO_valid T_TDO_hold TDO T_TMS_setup T_TMS_hold TMS Table 11-75. JTAG Interface AC Specifications[49] Parameter f_TCK Description TCK frequency Conditions 3.3 V VDDD 5 V 1.71 V VDDD < 3.3 V T_TDI_setup TDI setup before TCK high Min Typ Max Units - - 14[50] MHz MHz ns - - 7[50] (T/10) - 5 - - T/4 - - T/4 - - T_TMS_setup TMS setup before TCK high T_TDI_hold TDI, TMS hold after TCK high T = 1/f_TCK max T_TDO_valid TCK low to TDO valid T = 1/f_TCK max - - 2T/5 T_TDO_hold TDO hold after TCK high T = 1/f_TCK max T/4 - - Notes 49. Based on device characterization (Not production tested). 50. f_TCK must also be no more than 1/3 CPU clock frequency. Document Number: 001-11729 Rev. *S Page 112 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.8.5 SWD Interface Figure 11-72. SWD Interface Timing (1/f_SWDCK) SWDCK T_SWDI_setup T_SWDI_hold SWDIO (PSoC 3 reading on SWDIO) T_SWDO_valid T_SWDO_hold SWDIO (PSoC 3 writing to SWDIO) Table 11-76. SWD Interface AC Specifications[51] Parameter Description Conditions Min Typ Max Units MHz 3.3 V VDDD 5 V - - 14[52] 1.71 V VDDD < 3.3 V - - 7[52] MHz - - 5.5[52] MHz T_SWDI_setup SWDIO input setup before SWDCK high T = 1/f_SWDCK max T/4 - - T_SWDI_hold T/4 - - f_SWDCK SWDCLK frequency 1.71 V VDDD < 3.3 V, SWD over USBIO pins SWDIO input hold after SWDCK high T = 1/f_SWDCK max T_SWDO_valid SWDCK high to SWDIO output T = 1/f_SWDCK max - - 2T/5 T_SWDO_hold SWDIO output hold after SWDCK low T = 1/f_SWDCK max T/4 - - Min Typ Max Units - - 33 Mbit 11.8.6 SWV Interface Table 11-77. SWV Interface AC Specifications[51] Parameter Description SWV mode SWV bit rate Conditions Notes 51. Based on device characterization (Not production tested). 52. f_SWDCK must also be no more than 1/3 CPU clock frequency. Document Number: 001-11729 Rev. *S Page 113 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.9 Clocking Specifications are valid for -40 C TA 85 C and TJ 100 C, except where noted. Specifications are valid for 1.71 V to 5.5 V, except where noted. Unless otherwise specified, all charts and graphs show typical values. 11.9.1 32 kHz External Crystal Table 11-78. 32 kHz External Crystal DC Specifications[53] Parameter ICC Description Operating current Conditions Low-power mode Min Typ Max Units - 0.25 1.0 A CL External crystal capacitance - 6 - pF DL Drive level - - 1 W Min Typ Max Units Table 11-79. 32 kHz External Crystal AC Specifications Parameter Description F Frequency TON Startup time Conditions - 32.768 - kHz - 1 - s Min Typ Max Units 62.6 MHz - - 600 A 48 MHz - - 500 A - - 500 A - - 300 A High power mode 11.9.2 Internal Main Oscillator Table 11-80. IMO DC Specifications Parameter Description Conditions Supply current 24 MHz - USB mode 24 MHz - non USB mode With oscillator locking to USB bus 12 MHz - - 200 A 6 MHz - - 180 A 3 MHz - - 150 A Figure 11-73. IMO Current vs. Frequency Note 53. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 114 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 11-81. IMO AC Specifications Parameter Description Conditions Min Typ Max Units 62.6 MHz -7 - 7 % 48 MHz -5 - 5 % 24 MHz - Non USB mode -4 - 4 % IMO frequency stability (with factory trim) FIMO 24 MHz - USB mode -0.25 - 0.25 % 12 MHz -3 - 3 % 6 MHz -2 - 2 % 3 MHz -1 - 1 % - - 12 s F = 24 MHz - 0.9 - ns F = 3 MHz - 1.6 - ns F = 24 MHz - 0.9 - ns F = 3 MHz - 12 - ns Startup time[54] With oscillator locking to USB bus From enable (during normal system operation) or wakeup from low-power state Jitter (peak to peak)[54] Jp-p Jitter (long Jperiod term)[54] Figure 11-74. IMO Frequency Variation vs. Temperature Figure 11-75. IMO Frequency Variation vs. VCC Note 54. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 115 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.9.3 Internal Low-Speed Oscillator Table 11-82. ILO DC Specifications Parameter Description Operating current Conditions Min Typ Max Units FOUT = 1 kHz - 0.3 1.7 A FOUT = 33 kHz - 1.0 2.6 A FOUT = 100 kHz - 1.0 2.6 A Power down mode - 2.0 15 nA Min Typ Max Units - - 2 ms 100 kHz 45 100 200 kHz 1 kHz 0.5 1 2 kHz 100 kHz 30 100 300 kHz 1 kHz 0.3 1 3.5 kHz ICC Leakage current Table 11-83. ILO AC Specifications Parameter Description Startup time, all frequencies Conditions Turbo mode ILO frequencies (trimmed) FILO ILO frequencies (untrimmed) Figure 11-76. ILO Frequency Variation vs. Temperature Figure 11-77. ILO Frequency Variation vs. VDD Note 55. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 116 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 11.9.4 External Crystal Oscillator Table 11-84. ECO AC Specifications Parameter F Description Conditions Crystal frequency range Min Typ Max Units 4 - 25 MHz Min Typ Max Units 11.9.5 External Clock Reference Table 11-85. External Clock Reference AC Specifications[58] Parameter Description Conditions External frequency range 0 - 33 MHz Input duty cycle range Measured at VDDIO/2 30 50 70 % Input edge rate VIL to VIH 0.1 - - V/ns Typ Max Units 11.9.6 Phase-Locked Loop Table 11-86. PLL DC Specifications Parameter IDD Description PLL operating current Conditions Min In = 3 MHz, Out = 67 MHz - 400 - A In = 3 MHz, Out = 24 MHz - 200 - A Min Typ Max Units Table 11-87. PLL AC Specifications Parameter Fpllin Description PLL input 1 - 48 MHz 1 - 3 MHz PLL output frequency[56] 24 - 67 MHz Lock time at startup - - 250 s (rms)[58] - - 250 ps PLL intermediate frequency[57] Fpllout Conditions frequency[56] Jperiod-rms Jitter Output of prescaler Notes 56. This specification is guaranteed by testing the PLL across the specified range using the IMO as the source for the PLL. 57. PLL input divider, Q, must be set so that the input frequency is divided down to the intermediate frequency range. Value for Q ranges from 1 to 16. 58. Based on device characterization (Not production tested). Document Number: 001-11729 Rev. *S Page 117 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 12. Ordering Information In addition to the features listed in Table 12-1, every CY8C38 device includes: a precision on-chip voltage reference, precision oscillators, flash, ECC, DMA, a fixed function I2C, 4 KB trace RAM, JTAG/SWD programming and debug, external memory interface, and more. In addition to these features, the flexible UDBs and analog subsection support a wide range of peripherals. To assist you in selecting the ideal part, PSoC Creator makes a part recommendation after you choose the components required by your application. All CY8C38 derivatives incorporate device and flash security in user-selectable security levels; see the TRM for details. Table 12-1. CY8C38 Family with Single Cycle 8051 I/O[61] SRAM (KB) EEPROM (KB) LCD Segment Drive ADC DAC Comparator SC/CT Analog Blocks[59] Opamps DFB CapSense UDBs[60] 16-bit Timer/PWM FS USB CAN 2.0b Total I/O GPIO SIO USBIO Digital Flash (KB) Analog CPU Speed (MHz) MCU Core CY8C3865PVI-060 67 32 4 1 20-bit Del-Sig 4 4 4 2 20 4 - - 29 25 4 0 48-pin SSOP CY8C3865AXI-019 67 32 4 1 20-bit Del-Sig 4 4 4 4 20 4 - 72 62 8 2 100-pin TQFP 0x1E013069 CY8C3865LTI-014 67 32 4 1 20-bit Del-Sig 4 4 4 4 20 4 - 48 38 8 2 68-pin QFN 0x1E00E069 CY8C3865LTI-062 67 32 4 1 20-bit Del-Sig 4 4 4 2 20 4 - 31 25 4 2 48-pin QFN 0x1E03E069 CY8C3865PVI-063 67 32 4 1 20-bit Del-Sig 4 4 4 2 20 4 - 31 25 4 2 48-pin SSOP 0x1E03F069 CY8C3866LTI-067 67 64 8 2 20-bit Del-Sig 4 4 4 2 24 4 - 31 25 4 2 48-pin QFN 0x1E043069 CY8C3866PVI-021 67 64 8 2 20-bit Del-Sig 4 4 4 2 24 4 - 31 25 4 2 48-pin SSOP 0x1E015069 Part Number Package JTAG ID[62] 0x1E03C069 32 KB Flash 64 KB Flash CY8C3866AXI-039 67 64 8 2 20-bit Del-Sig 4 4 4 4 24 4 - 72 62 8 2 100-pin TQFP 0x1E027069 CY8C3866LTI-030 67 64 8 2 20-bit Del-Sig 4 4 4 4 24 4 - 48 38 8 2 68-pin QFN 0x1E01E069 0x1E044069 CY8C3866LTI-068 67 64 8 2 20-bit Del-Sig 4 4 4 2 24 4 31 25 4 2 48-pin QFN CY8C3866AXI-040 67 64 8 2 20-bit Del-Sig 4 4 4 4 24 4 72 62 8 2 100-pin TQFP 0x1E028069 CY8C3866PVI-070 67 64 8 2 20-bit Del-Sig 4 4 4 2 24 4 - 29 25 4 0 48-pin SSOP 0x1E046069 CY8C3866AXI-035 67 64 8 2 20-bit Del-Sig 4 4 4 4 24 4 - 70 62 8 0 100-pin TQFP 0x1E023069 Notes 59. Analog blocks support a variety of functionality including TIA, PGA, and mixers. See the Example Peripherals on page 40 for more information on how analog blocks can be used. 60. UDBs support a variety of functionality including SPI, LIN, UART, timer, counter, PWM, PRS, and others. Individual functions may use a fraction of a UDB or multiple UDBs. Multiple functions can share a single UDB. See the Example Peripherals on page 40 for more information on how UDBs can be used. 61. The I/O Count includes all types of digital I/O: GPIO, SIO, and the two USB I/O. See the I/O System and Routing on page 33 for details on the functionality of each of these types of I/O. 62. The JTAG ID has three major fields. The most significant nibble (left digit) is the version, followed by a 2 byte part number and a 3 nibble manufacturer ID. Document Number: 001-11729 Rev. *S Page 118 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 12.1 Part Numbering Conventions PSoC 3 devices follow the part numbering convention described here. All fields are single character alphanumeric (0, 1, 2, ..., 9, A, B, ..., Z) unless stated otherwise. CY8Cabcdefg-xxx a: Architecture ef: Package code 3: PSoC 3 5: PSoC 5 Two character alphanumeric AX: TQFP LT: QFN PV: SSOP b: Family group within architecture 4: CY8C34 family 6: CY8C36 family 8: CY8C38 family g: Temperature range C: commercial I: industrial A: automotive c: Speed grade 4: 48 MHz 6: 67 MHz xxx: Peripheral set d: Flash capacity 4: 16 KB 5: 32 KB 6: 64 KB Three character numeric No meaning is associated with these three characters. Examples CY8C 3 8 6 6 P V I - x x x Cypress Prefix 3: PSoC 3 8: CY8C38 Family Architecture Family Group within Architecture 6: 67 MHz Speed Grade 6: 64 KB Flash Capacity PV: SSOP Package Code I: Industrial Temperature Range Peripheral Set All devices in the PSoC 3 CY8C38 family comply to RoHS-6 specifications, demonstrating the commitment by Cypress to lead-free products. Lead (Pb) is an alloying element in solders that has resulted in environmental concerns due to potential toxicity. Cypress uses nickel-palladium-gold (NiPdAu) technology for the majority of leadframe-based packages. A high-level review of the Cypress Pb-free position is available on our website. Specific package information is also available. Package Material Declaration data sheets (PMDDs) identify all substances contained within Cypress packages. PMDDs also confirm the absence of many banned substances. The information in the PMDDs will help Cypress customers plan for recycling or other "end of life" requirements. Document Number: 001-11729 Rev. *S Page 119 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 13. Packaging Table 13-1. Package Characteristics Parameter Description Conditions Min Typ Max Units TA Operating ambient temperature -40 25.00 85 C TJ Operating junction temperature -40 - 100 C TJA Package JA (48-pin SSOP) - 49 - C/Watt TJA Package JA (48-pin QFN) - 14 - C/Watt TJA Package JA (68-pin QFN) - 15 - C/Watt TJA Package JA (100-pin TQFP) - 34 - C/Watt TJC Package JC (48-pin SSOP) - 24 - C/Watt TJC Package JC (48-pin QFN) - 15 - C/Watt TJC Package JC (68-pin QFN) - 13 - C/Watt TJC Package JC (100-pin TQFP) - 10 - C/Watt Table 13-2. Solder Reflow Peak Temperature Package Maximum Peak Temperature Maximum Time at Peak Temperature 48-pin SSOP 260 C 30 seconds 48-pin QFN 260 C 30 seconds 68-pin QFN 260 C 30 seconds 100-pin TQFP 260 C 30 seconds Table 13-3. Package Moisture Sensitivity Level (MSL), IPC/JEDEC J-STD-2 Package MSL 48-pin SSOP MSL 3 48-pin QFN MSL 3 68-pin QFN MSL 3 100-pin TQFP MSL 3 Document Number: 001-11729 Rev. *S Page 120 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 13-1. 48-pin (300 mil) SSOP Package Outline .020 24 1 0.395 0.420 0.292 0.299 25 DIMENSIONS IN INCHES MIN. MAX. 48 0.620 0.630 0.088 0.092 SEATING PLANE 0.095 0.110 0.005 0.010 .010 GAUGE PLANE 0.004 0.025 BSC 0-8 0.008 0.016 0.008 0.0135 0.024 0.040 51-85061-*D Figure 13-2. 48-pin QFN Package Outline SIDE VIEW TOP VIEW BOTTOM VIEW 1.00 MAX. 7.000.10 5.60.10 0.05 MAX. 48 37 36 1 PIN 1 ID 0.230.05 0.20 REF. 37 48 36 1 PIN 1 DOT LASER MARK SOLDERABLE EXPOSED PAD 7.000.10 5.60.10 12 25 13 0.400.10 NOTES: 1. HATCH AREA IS SOLDERABLE EXPOSED METAL. 2. REFERENCE JEDEC#: MO-220 3. PACKAGE WEIGHT: 0.13g 4. ALL DIMENSIONS ARE IN MM [MIN/MAX] 5. PACKAGE CODE PART # DESCRIPTION LT48D LEAD FREE Document Number: 001-11729 Rev. *S 12 25 24 24 5.55 REF 13 0.500.10 0.08 C 5.55 REF 001- 45616 *B Page 121 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Figure 13-3. 68-pin QFN 8x8 with 0.4 mm Pitch Package Outline (Sawn Version) TOP VIEW BOTTOM VIEW SIDE VIEW 0.9000.100 5.70.10 8.0000.100 0.200 REF 5 1 PIN 1 DOT 8.0000.100 LASER MARK 1 7 0.200.05 3 0.4000.1005 0.05 MAX C 0.08 NOTES: 1. SEATING PLANE 3 4 1 8 1 SOLDERABLE EXPOSED PAD 5.70.10 3 5 6 8 5 2 6.40 REF 5 1 1 PIN1 ID R 0.20 0.400 PITCH 5 2 6 8 3 4 1 8 1 7 6.40 REF HATCH AREA IS SOLDERABLE EXPOSED METAL. 2. REFERENCE JEDEC#: MO-220 001-09618 *C 3. PACKAGE WEIGHT: 0.17g 4. ALL DIMENSIONS ARE IN MILLIMETERS Figure 13-4. 100-pin TQFP (14 x 14 x 1.4 mm) Package Outline 51-85048 *E Document Number: 001-11729 Rev. *S Page 122 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 14. Acronyms Table 14-1. Acronyms Used in this Document (continued) Table 14-1. Acronyms Used in this Document Acronym Description Acronym Description FIR finite impulse response, see also IIR FPB flash patch and breakpoint FS full-speed GPIO general-purpose input/output, applies to a PSoC pin HVI high-voltage interrupt, see also LVI, LVD abus analog local bus ADC analog-to-digital converter AG analog global AHB AMBA (advanced microcontroller bus architecture) high-performance bus, an ARM data transfer bus IC integrated circuit ALU arithmetic logic unit IDAC current DAC, see also DAC, VDAC AMUXBUS analog multiplexer bus IDE integrated development environment application programming interface I2C, API APSR application program status register ARM(R) advanced RISC machine, a CPU architecture ATM automatic thump mode BW bandwidth CAN Controller Area Network, a communications protocol CMRR or IIC Inter-Integrated Circuit, a communications protocol IIR infinite impulse response, see also FIR ILO internal low-speed oscillator, see also IMO IMO internal main oscillator, see also ILO INL integral nonlinearity, see also DNL I/O input/output, see also GPIO, DIO, SIO, USBIO common-mode rejection ratio IPOR initial power-on reset CPU central processing unit IPSR interrupt program status register CRC cyclic redundancy check, an error-checking protocol IRQ interrupt request DAC digital-to-analog converter, see also IDAC, VDAC ITM instrumentation trace macrocell DFB digital filter block LCD liquid crystal display DIO digital input/output, GPIO with only digital capabilities, no analog. See GPIO. LIN Local Interconnect Network, a communications protocol. DMA direct memory access, see also TD LR link register DNL differential nonlinearity, see also INL LUT lookup table DNU do not use LVD low-voltage detect, see also LVI DR port write data registers LVI low-voltage interrupt, see also HVI DSI digital system interconnect LVTTL low-voltage transistor-transistor logic DWT data watchpoint and trace MAC multiply-accumulate ECC error correcting code MCU microcontroller unit ECO external crystal oscillator MISO master-in slave-out EEPROM electrically erasable programmable read-only memory NC no connect NMI nonmaskable interrupt NRZ non-return-to-zero NVIC nested vectored interrupt controller NVL nonvolatile latch, see also WOL EMI electromagnetic interference EMIF external memory interface EOC end of conversion EOF end of frame EPSR execution program status register ESD electrostatic discharge ETM embedded trace macrocell Document Number: 001-11729 Rev. *S opamp operational amplifier PAL programmable array logic, see also PLD PC program counter PCB printed circuit board PGA programmable gain amplifier Page 123 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 14-1. Acronyms Used in this Document (continued) Acronym Description Table 14-1. Acronyms Used in this Document (continued) Acronym Description PHUB peripheral hub TIA transimpedance amplifier PHY physical layer TRM technical reference manual PICU port interrupt control unit TTL transistor-transistor logic PLA programmable logic array TX transmit PLD programmable logic device, see also PAL UART PLL phase-locked loop Universal Asynchronous Transmitter Receiver, a communications protocol PMDD package material declaration data sheet UDB universal digital block POR power-on reset USB Universal Serial Bus PRES precise power-on reset USBIO PRS pseudo random sequence USB input/output, PSoC pins used to connect to a USB port PS port read data register VDAC voltage DAC, see also DAC, IDAC PSoC(R) Programmable System-on-ChipTM WDT watchdog timer PSRR power supply rejection ratio WOL write once latch, see also NVL PWM pulse-width modulator WRES watchdog timer reset RAM random-access memory XRES external reset I/O pin RISC reduced-instruction-set computing XTAL crystal RMS root-mean-square 15. Reference Documents RTC real-time clock PSoC(R) 3, PSoC(R) 5 Architecture TRM RTL register transfer language PSoC(R) 3 Registers TRM RTR remote transmission request RX receive SAR successive approximation register 16.1 Units of Measure SC/CT switched capacitor/continuous time Table 16-1. Units of Measure SCL I2C serial clock SDA I2C serial data C degrees Celsius S/H sample and hold dB decibels SINAD signal to noise and distortion ratio fF femtofarads SIO special input/output, GPIO with advanced features. See GPIO. Hz hertz KB 1024 bytes kbps kilobits per second Khr kilohours kHz kilohertz k kilohms ksps kilosamples per second LSB least significant bit SOC start of conversion SOF start of frame SPI Serial Peripheral Interface, a communications protocol SR slew rate SRAM static random access memory SRES software reset SWD serial wire debug, a test protocol SWV single-wire viewer TD transaction descriptor, see also DMA THD total harmonic distortion Document Number: 001-11729 Rev. *S 16. Document Conventions Symbol Unit of Measure Mbps megabits per second MHz megahertz M megaohms Msps megasamples per second A microamperes Page 124 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Table 16-1. Units of Measure (continued) Symbol Unit of Measure F microfarads H microhenrys s microseconds V microvolts W microwatts mA milliamperes ms milliseconds mV millivolts nA nanoamperes ns nanoseconds nV nanovolts ohms pF picofarads ppm parts per million ps picoseconds s seconds sps samples per second sqrtHz square root of hertz V volts Document Number: 001-11729 Rev. *S Page 125 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 17. Revision History Description Title: PSoC(R) 3: CY8C38 Family Data Sheet Programmable System-on-Chip (PSoC(R)) Document Number: 001-11729 Submission Orig. of Rev. ECN No. Description of Change Date Change ** 571504 See ECN HMT New data sheet for new device Part Number family. *A 754416 See ECN HMT Prepare Preliminary for PR1. *B 2253366 See ECN DSG Prepare Preliminary2 for PR3--total rewrite. *C 2350209 See ECN DSG Minor change: Added "Confidential" watermark. Corrected typo on 68QFN pinout: pin 13 XREF to XRES. *D 2481747 See ECN SFV Changed part numbers and data sheet title. *E 2521877 See ECN DSG Prelim3 release-extensive spec, writing, and formatting changes *F 2660161 02/16/09 GDK Reorganized content to be consistent with the TRM. Added Xdata Space Access SFRs and DAC sections. Updated Boost Converter section and Conversion Signals section. Classified Ordering Information according to CPU speed; added information on security features and ROHS compliance Added a section on XRES Specifications under Electrical Specification. Updated Analog Subsystem and CY8C35/55 Architecture block diagrams. Updated Electrical Specifications. Renamed CyDesigner as PSoC Creator *G 2712468 05/29/09 MKEA Updates to Electrical Specifications. Added Analog Routing section Updates to Ordering Information table *H 2758970 09/02/09 MKEA Updated Part Numbering Conventions. Added Section 11.7.5 (EMIF Figures and Tables). Updated GPIO and SIO AC specifications. Updated XRES Pin Description and Xdata Address Map specifications. Updated DFB and Comparator specifications. Updated PHUB features section and RTC in sleep mode. Updated IDAC and VDAC DC and Analog Global specifications Updated USBIO AC and Delta Sigma ADC specifications. Updated PPOR and Voltage Monitors DC specifications. Updated Drive Mode diagram Added 48-QFN Information. Updated other electrical specifications *I 2824546 12/09/09 MKEA Updated I2C section to reflect 1 Mbps. Updated Table 11-6 and 11- 7 (Boost AC and DC specs); also added Shottky Diode specs. Changed current for sleep/hibernate mode to include SIO; Added footnote to analog global specs. Updated Figures 1-1, 6-2, 7-14, and 8-1. Updated Table 6-2 and Table 6-3 (Hibernate and Sleep rows) and Power Modes section. Updated GPIO and SIO AC specifications. Updated Gain error in IDAC and VDAC specifications. Updated description of VDDA spec in Table 11-1 and removed GPIO Clamp Current parameter. Updated number of UDBs on page 1. Moved FILO from ILO DC to AC table. Added PCB Layout and PCB Schematic diagrams. Updated Fgpioout spec (Table 11-9). Added duty cycle frequency in PLL AC spec table. Added note for Sleep and Hibernate modes and Active Mode specs in Table 11-2. Linked URL in Section 10.3 to PSoC Creator site. Updated Ja and Jc values in Table 13-1. Updated Single Sample Mode and Fast FIR Mode sections. Updated Input Resistance specification in Del-Sig ADC table. Added Tio_init parameter. Updated PGA and UGB AC Specs. Removed SPC ADC. Updated Boost Converter section. Added section 'SIO as Comparator'; updated Hysteresis spec (differential mode) in Table 11-10. Updated VBAT condition and deleted Vstart parameter in Table 11-6. Added 'Bytes' column for Tables 4-1 to 4-5. *J 2873322 02/04/10 MKEA Changed maximum value of PPOR_TR to '1'. Updated VBIAS specification. Updated PCB Schematic. Updated Figure 8-1 and Figure 6-3. Updated Interrupt Vector table, Updated Sales links. Updated JTAG and SWD specifications. Removed Jp-p and Jperiod from ECO AC Spec table. Added note on sleep timer in Table 11-2. Updated ILO AC and DC specifications. Added Resolution parameter in VDAC and IDAC tables. Updated IOUT typical and maximum values. Changed Temperature Sensor range to -40 C to +85 C. Removed Latchup specification from Table 11-1. Document Number: 001-11729 Rev. *S Page 126 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Description Title: PSoC(R) 3: CY8C38 Family Data Sheet Programmable System-on-Chip (PSoC(R)) Document Number: 001-11729 *K 2903576 04/01/2010 MKEA Updated Vb pin in PCB Schematic. Updated Tstartup parameter in AC Specifications table. Added Load regulation and Line regulation parameters to Inductive Boost Regulator DC Specifications table. Updated ICC parameter in LCD Direct Drive DC Specs table. In page 1, updated internal oscillator range under Precision programmable clocking to start from 3 MHz. Updated IOUT parameter in LCD Direct Drive DC Specs table. Updated Table 6-2 and Table 6-3. Added bullets on CapSense in page 1; added CapSense column in Section 12. Removed some references to footnote [1]. Changed INC_Rn cycles from 3 to 2 (Table 4-1). Added footnote in PLL AC Specification table. Added PLL intermediate frequency row with footnote in PLL AC Specs table. Added UDBs subsection under 11.6 Digital Peripherals. Updated Figure 2-6 (PCB Layout). Updated Pin Descriptions section and modified Figures 6-6, 6-8, 6-9. Updated LVD in Tables 6-2 and 6-3; modified Low-power modes bullet in page 1. Added note to Figures 2-5 and 6-2; Updated Figure 6-2 to add capacitors for VDDA and VDDD pins. Updated boost converter section (6.2.2). Updated Tstartup values in Table 11-3. Removed IPOR rows from Table 11-68. Updated 6.3.1.1, Power Voltage Level Monitors. Updated section 5.2 and Table 11-2 to correct suggestion of execution from flash. Updated VREF specs in Table 11-21. Updated IDAC uncompensated gain error in Table 11-25. Updated Delay from Interrupt signal input to ISR code execution from ISR code in Table11-72. Removed other line in table. Added sentence to last paragraph of section 6.1.1.3. Updated TRESP, high and low-power modes, in Table 11-24. Updated f_TCK values in Table 11-73 and f_SWDCK values in Table 11-74. Updated SNR condition in Table 11-20. Corrected unit of measurement in Table 11-21. Updated sleep wakeup time in Table 6-3 and Tsleep in Table 11-3. Added 1.71 V <= VDDD < 3.3 V, SWD over USBIO pins value to Table 11-74. Removed mention of hibernate reset (HRES) from page 1 features, Table 6-3, Section 6.2.1.4, Section 6.3, and Section 6.3.1.1. Changed PPOR/PRES to TBDs in Section 6.3.1.1, Section 6.4.1.6 (changed PPOR to reset), Table 11-3 (changed PPOR to PRES), Table 11-68 (changed title, values TBD), and Table 11-69 (changed PPOR_TR to PRES_TR). Added sentence saying that LVD circuits can generate a reset to Section 6.3.1.1. Changed IDD values on page 1, page 5, and Table 11-2. Changed resume time value in Section 6.2.1.3. Changed ESD HBM value in Table 11-1. Changed SNR in 16-bit resolution mode value and sample rate row in Table 11-20. Removed VDDA = 1.65 V rows and changed BWag value in Table 11-22. Changed VIOFF values and changed CMRR value in Table 11-23. Changed INL max value in Table 11-27. Added max value to the Quiescent current specs in Tables 11-29 and 11-31. Changed occurrences of "Block" to "Row" and deleted the "ECC not included" footnote in Table 11-57. Changed max response time value in Tables 11-69 and 11-71. Changed the Startup time in Table 11-79. Added condition to intermediate frequency row in Table 11-85. Added row to Table 11-69. Added brown out note to Section 11.8.1. Document Number: 001-11729 Rev. *S Page 127 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Description Title: PSoC(R) 3: CY8C38 Family Data Sheet Programmable System-on-Chip (PSoC(R)) Document Number: 001-11729 *L 2938381 05/27/10 MKEA Replaced VDDIO with VDDD in USBIO diagram and specification tables, added text in USBIO section of Electrical Specifications. Added Table 13-2 (Package MSL) Modified Tstorag condition and changed max spec to 100 Added bullet (Pass) under ALU (section 7.2.2.2) Added figures for kHzECO and MHzECO in the External Oscillator section Updated Figure 6-1(Clocking Subsystem diagram) Removed CPUCLK_DIV in table 5-2, Deleted Clock Divider SFR subsection Updated PSoC Creator Framework image Updated SIO DC Specifications (VIH and VIL parameters) Updated bullets in Clocking System and Clocking Distribution sections Updated Figure 8-2 Updated PCB Layout and Schematic, updated as per MTRB review comments Updated Table 6-3 (power changed to current) In 32kHZ EC DC Specifications table, changed ICC Max to 0.25 In IMO DC Specifications table, updated Supply Current values Updated GPIO DC Specs table *M 2958674 06/22/10 SHEA Minor ECN to post data sheet to external website *N 2989685 08/04/10 MKEA INL max is changed from 16 to 32 in Table 11-20, 20-bit Delta-sigma ADC AC Specifications. Added to Table 6-6 a footnote and references to same. Added sentences to the resistive pullup and pull-down description bullets. Added sentence to Section 6.4.11, Adjustable Output Level. Updated section 5.5 External Memory Interface Updated Table 11-73 JTAG Interface AC Specifications Updated Table 11-74 SWD Interface AC Specifications Updated style changes as per the new template. *O 3078568 11/04/10 MKEA Added 48-SSOP pin and package details. Removed PLL output duty cycle spec. Updated "Current Digital-to-analog Converter (IDAC)" on page 90 Updated "Voltage Digital to Analog Converter (VDAC)" on page 95 Updated Table 11-2, "DC Specifications," on page 67 Updated Table 11-27, "Voltage Reference Specifications," on page 89 *P 3107314 12/10/2010 MKEA Updated delta-sigma tables and graphs. Formatted table 11.2. Updated interrupt controller table Updated transimpedance amplifier section Updated SIO DC specs table Updated Voltage Monitors DC Specifications table Updated LCD Direct Drive DC specs table Replaced the Discrete Time Mixer and Continuous Time Mixer tables with Mixer DC and AC specs tables Updated ESDHBM value. Updated IDAC and VDAC sections Removed ESO parts from ordering information Changed USBIO pins from NC to DNU and removed redundant USBIO pin description notes Updated POR with brown out DC and AC specs Updated PGA AC specs Updated 32 kHz External Crystal DC Specifications Updated opamp AC specs Updated XRES IO specs Updated Inductive boost regulator section Delta sigma ADC spec updates Updated comparator section Removed buzz mode from Power Mode Transition diagram Updated opamp DC and AC spec tables Updated PGA DC table Document Number: 001-11729 Rev. *S Page 128 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet Description Title: PSoC(R) 3: CY8C38 Family Data Sheet Programmable System-on-Chip (PSoC(R)) Document Number: 001-11729 *Q 3179219 22/02/2011 MKEA Updated conditions for flash data retention time Updated 100-pin TQFP package spec. Updated EEPROM AC specifications. *R 3200146 03/28/2011 MKEA Removed Preliminary status from the data sheet. Updated JTAG ID Deleted Cin_G1, ADC input capacitance from Delta-Sigma ADC DC spec table Updated JTAG Interface AC Specifications and SWD Interface Specifications tables Updated USBIO DC specs Added 0.01 to max speed Updated Features on page 1 Added Section 5.5, Nonvolatile Latches Updated Flash AC specs Added CAN DC specs Updated delta-sigma graphs, noise histogram figures and RMS Noise spec tables Add reference to application note AN58304 in section 8.1 Updated 100-pin TQFP package spec Added oscillator, I/O, VDAC, regulator graphs Updated JTAG/SWD timing diagrams Updated GPIO and SIO AC specs Updated POR with Brown Out AC spec table UpdatedIDAC graphs Added DMA timing diagram, interrupt timing and interrupt vector, I2C timing diagrams Updated opamp graphs and PGA graphs Added full chip performance graphs Changed MHzECO range. Added "Solder Reflow Peak Temperature" table. *S 3259185 05/17/2011 MKEA Added JTAG and SWD interface connection diagrams Updated TJAand TJC values in Table 13-1 Changed typ and max values for the TCVos parameter in Opamp DC specifications table. Updated Clocking subsystem diagram. Changed Vssd to Vssb in the PSoC Power System diagram Updated Ordering information. Document Number: 001-11729 Rev. *S Page 129 of 130 [+] Feedback PSoC(R) 3: CY8C38 Family Data Sheet 18. Sales, Solutions, and Legal Information Worldwide Sales and Design Support Cypress maintains a worldwide network of offices, solution centers, manufacturers' representatives, and distributors. To find the office closest to you, visit us at Cypress Locations. Products PSoC Solutions Automotive Clocks & Buffers Interface cypress.com/go/automotive psoc.cypress.com/solutions cypress.com/go/clocks PSoC 1 | PSoC 3 | PSoC 5 cypress.com/go/interface Lighting & Power Control cypress.com/go/powerpsoc cypress.com/go/plc Memory cypress.com/go/memory Optical & Image Sensing cypress.com/go/image PSoC cypress.com/go/psoc Touch Sensing cypress.com/go/touch USB Controllers cypress.com/go/USB Wireless/RF cypress.com/go/wireless (c) Cypress Semiconductor Corporation, 2006-2011. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. Any Source Code (software and/or firmware) is owned by Cypress Semiconductor Corporation (Cypress) and is protected by and subject to worldwide patent protection (United States and foreign), United States copyright laws and international treaty provisions. Cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of, and compile the Cypress Source Code and derivative works for the sole purpose of creating custom software and or firmware in support of licensee product to be used only in conjunction with a Cypress integrated circuit as specified in the applicable agreement. Any reproduction, modification, translation, compilation, or representation of this Source Code except as specified above is prohibited without the express written permission of Cypress. Disclaimer: CYPRESS MAKES NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. Cypress reserves the right to make changes without further notice to the materials described herein. Cypress does not assume any liability arising out of the application or use of any product or circuit described herein. Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress' product in a life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. Use may be limited by and subject to the applicable Cypress software license agreement. Document Number: 001-11729 Rev. *S (R) (R) (R) (R) Revised May 20, 2011 Page 130 of 130 (R) CapSense , PSoC 3, PSoC 5, and PSoC CreatorTM are trademarks and PSoC is a registered trademark of Cypress Semiconductor Corp. All other trademarks or registered trademarks referenced herein are property of the respective corporations. Purchase of I2C components from Cypress or one of its sublicensed Associated Companies conveys a license under the Philips I2C Patent Rights to use these components in an I2C system, provided that the system conforms to the I2C Standard Specification as defined by Philips. ARM is a registered trademark, and Keil, and RealView are trademarks, of ARM Limited. All products and company names mentioned in this document may be the trademarks of their respective holders.. [+] Feedback