Features
Core
ARM® Cortex®-M3 revision 2.0 running at up to 64 MHz
Memory Protectio n Unit (MPU)
–Thumb
®-2 instruction set
Pin-to-pin compatible with AT91SAM7S series (48- and 64-pin versions)
Memories
From 64 to 256 Kbytes embedded Flash, 128-bit wide access, memory accelerator,
single plane
From 16 to 48 Kbytes embedded SRAM
16 Kbytes ROM with embedded bootloader routines (UART, USB) and IAP routines
8-bit Static Memory Controller (SMC): SRAM, PSRAM, NOR and NAND Flash
support
Memory Protectio n Unit (MPU)
System
Embedded voltage regulator for single supply operation
Po wer-on-Reset (POR), Brown-out Detector (BOD) and Watchdog for safe
operation
Quartz or ceramic resonator oscillators: 3 to 20 MHz main power with Failure
Detection and optional low power 32.768 kHz for RTC or device clock
High precision 8/12 MHz factory trimmed internal RC oscillator with 4 MHz default
frequency for device startup. In-application trimming access for frequency
adjustment
Slow Clock Internal RC oscillator as permanent low-power mode device clock
Two PLLs up to 130 MHz for device clock and for USB
Temperature Sensor
Up to 22 peripheral DMA (PDC) channels
Low Power Modes
Sleep and Backup modes, down to 3 µA in Backup mode
Ultra low power RTC
Peripherals
USB 2.0 Device: 12 Mbps, 2668 byte FIFO, up to 8 bidirectional Endpoints. On-Chip
Transceiver
Up to 2 USARTs with ISO7816, IrDA®, RS-485, SPI, Manchester and Modem Mode
Two 2-wire UARTs
Up to 2 T w o Wire Interface (I2C compatible), 1 SPI, 1 Serial Synchron ous Contr oller
(I2S), 1 High Speed Multimedia Card Interface (SDIO/SD Card/MMC)
Up to 6 Three-Channel 16-bit Timer/Counter with capture, waveform, compare and
PWM mode. Quadrature Decoder Logic and 2-bit Gray Up/Down Counter for
Stepper Motor
4-channel 16-bit PWM with Complementary Output, Fault Input, 12-bit Dead Time
Generator Counter for Motor Control
32-bit Real-time Timer and RT C with calendar and alarm features
Up to 15-channel, 1Msps ADC with differential input mode and programmable gain
stage
One 2-channel 12-bit 1Msps DAC
One Analog Comparator with flexible input selection, Selectable input hysteresis
32-bit Cyclic Redundancy Check Calculation Unit (CRCCU)
Write Protected Registers
I/O
Up to 79 I/O lines with external interrupt capability (edge or level sensitivity),
debouncing, glitch filtering and on-die Series Resistor Termination
Three 32-bit Parallel Input/Output Controllers, Peripheral DMA assisted Parallel
Capture Mode
Packages
100-lead LQFP, 14 x 14 mm, pitch 0.5 mm/100-ball TFBGA, 9 x 9 mm, pitch 0.8 mm
64-lead LQFP, 10 x 10 mm, pitch 0.5 mm/64-pad QFN 9x9 mm, pitch 0.5 mm
48-lead LQFP, 7 x 7 mm, pitch 0.5 mm/48-pad QFN 7x7 mm, pitch 0.5 mm
AT91SAM
ARM-based
Flash MCU
SAM3S Series
6500D–ATARM–29-Feb-12
26500D–ATARM–29-Feb-12
SAM3S
1. SAM3S Description
Atmel's SAM3S series is a mem ber of a fa mily of 32-bit F lash microcontr ollers based o n the high
performance ARM Cortex-M3 processor. It operates at a maximum speed of 64 MHz and fea-
tures up to 256 Kbytes of Flash and up to 48 Kbyt es of SRAM. Th e peripher al set includes a Fu ll
Speed USB Device port with embedded transceiver, a High Speed MCI for SDIO/SD/MMC, an
External Bus Interface featuring a Static Memo ry Controller providing connection to SRAM,
PSRAM, NOR Flash, LCD Module and NAND Flash, 2x USARTs, 2x UARTs, 2x TWIs, 3x SPI,
an I2S, as well as 1 PWM timer, 6x general-purpose 16-bit timers, an RTC, an ADC, a 12-b it
DAC and an analog comparator.
The SAM3S device is a m edium range general purpose microcontroller with the best ratio in
terms of reduced power consumption, processing power and peripheral set. This enables th e
SAM3S to sustain a wide range of applications including consumer, industrial control, and PC
peripherals.
It operates from 1.62V to 3.6V and is available in 48-, 64- and 100-pin QFP, 48- and 64-pin
QFN, and 100-pin BGA packages.
The SAM3S series is the ideal migration path from the SAM7S series for applications that
require more performance. The SAM3S series is pin-to-pin compatible with the SAM7S series.
1.1 Configuration Summary
The SAM3S microcontrollers differ in memory size, package an d features list. Table 1-1 belo w
summarizes the configurations of the device family
Notes: 1. Full Modem support on USART1.
Table 1-1. Configuration Summary
Device Flash SRAM
Timer
Counter
Channels
GPIOs UART/
USARTs ADC
12-bit
DAC
Output External Bus
Interface HSMCI Package
SAM3S4C 256 Kbytes
single plane 48 Kbytes 6 79 2/2(1) 15 ch. 2 8-bit data,
4 chip selects,
24-bit address
1 port
4 bits LQFP100
BGA100
SAM3S4B 256 Kbytes
single plane 48 Kbytes 3 47 2/2(1) 10 ch. 2 - 1 port
4 bits LQFP64
QFN 64
SAM3S4A 256 Kbytes
single plane 48 Kbytes 3 34 2/1 8 ch. - - - LQFP48
QFN 48
SAM3S2C 128 Kbytes
single plane 32 Kbytes 6 79 2/2(1) 15 ch. 2 8-bit data,
4 chip selects,
24-bit address
1 port
4 bits LQFP100
BGA100
SAM3S2B 128 Kbytes
single plane 32 Kbytes 3 47 2/2(1) 10 ch. 2 - 1 port
4 bits LQFP64
QFN 64
SAM3S2A 128 Kbytes
single plane 32 Kbytes 3 34 2/1 8 ch. - - - LQFP48
QFN 48
SAM3S1C 64 Kbytes
single plane 16 Kbytes 6 79 2/2(1) 15 ch. 2 8-bit data,
4 chip selects,
24-bit address
1 port
4 bits LQFP100
BGA100
SAM3S1B 64 Kbytes
single plane 16 Kbytes 3 47 2/2(1) 10 ch. 2 - 1 port
4 bits LQFP64
QFN 64
SAM3S1A 64 Kbytes
single plane 16 Kbytes 3 34 2/1 8 ch. - - - LQFP48
QFN 48
3
6500D–ATARM–29-Feb-12
SAM3S
2. SAM3S Block Diagram
Figure 2-1. SAM3S 100-pin Version Block Diagram
PLLA
TST
PCK0-PCK2
System C ontroller
XIN
NRST
VDDCORE
XOUT
WDT
RTT
OSC 32k
XIN32
XOUT32
SUPC
RSTC
8 GPBREG
3-20 MHz
Osc.
POR
RTC
RC 32k
SM
RC
12/8/4 M
ERASE
TDI
TDO
TMS/SWDIO
TCK/SWCLK
JTAGSEL
I/D S
Voltage
Regulator
VDDIN
VDDOUT
SPI
TC[0..2]
DAC
ADVREF PDC
NPCS0
PIODCCLK
PIODCEN1
PIODCEN2
NPCS1
NPCS2
NPCS3
MISO
MOSI
SPCK
MCDA[0..3]
MCCDA
MCCK
TCLK[0:2]
Temp. Sensor
PDC
TWI0 PDC
TWD0
PWM
PDC
TF
TK
TD
RD
RK
RF
DDP
DDM
MPU
N
V
I
C
24-Bit
SysTick Counter
4-layer AHB Bus Matrix Fmax 64 MHz
TWI1 PDC
TWCK1
TWD1
PWMH[0:3]
PWML[0:3]
PWMFI0
PDC
UART0
UART1
URXD0
UTXD0
URXD1
UTXD1
SSC
Peripheral
Bridge
PDC
PIO
PDC
PDC
2668
Bytes
FIFO
USB 2.0
Full
Speed
VDDPLL
VDDIO
PDC
RXD0
TXD0 USART0
SCK0
RTS0
CTS0
Analog
Comparator
CRC Unit
ADC
Transceiver
PLLB
In-Circuit Emulator
JTAG & Serial Wire Flash
Unique
Identifier
PMC
PIOA / PIOB / PIOC
ADTRG
Cortex-M3 Processor
Fmax 64 MHz
Timer Counter A
Timer Counter B
TWCK0
FLASH
256 KBytes
128 KBytes
64 KBytes
SRAM
48 KBytes
32 KBytes
16 KBytes
ROM
16 KBytes
PIO
External Bus
Interface D[7:0]
PIODC[7:0]
A[0:23]
A21/NANDALE
A22/NANDCLE
NCS0
NCS1
NCS2
NCS3
NRD
NWE
NANDOE
NANDWE
NWAIT
High Speed MCI
PDC
DATRG PDC
DAC0
DAC1
TC[3..5]
TIOA[3:5]
TIOB[3:5]
TIOA[0:2]
TIOB[0:2]
TCLK[3:5]
AD[0..14]
PDC
RXD1
TXD1
USART1
SCK1
RTS1
CTS1
DSR1
DTR1
RI1
DCD1
NAND Flash
Logic
Static Memory
Controller
ADC
DAC
Temp Sensor
ADVREF
46500D–ATARM–29-Feb-12
SAM3S
Figure 2-2. SAM3S 64-pin Version Block Diagra m
TDITDO
TMS/SWDIO
TCK/SWCLK
JTAGSEL
I/D S
Voltage
Regulator
VDDIN
VDDOUT
SPI
TC[0..2]
ADVREF
TIOB[0:2]
TIOA[0:2]
TCLK[0:2]
PDC
TWI0 PDC
TWCK0
PWM PDC
TF
TK
TD
RD
RK
RF
DDP
DDM
Transceiver
MPU
N
V
I
C
TWI1 PDC
TWD1
PWMH[0:3]
PWMFI0
PDC
UART0
UART1
URXD0
UTXD0
URXD1
UTXD1
SSC
Peripheral
Bridge
PDC
PDC
2668
Bytes
FIFO
USB 2.0
Full
Speed
PDC
RXD0
TXD0
USART0
SCK0
RTS0
CTS0
PLLA
TST
PCK0-PCK2
System C ontroller
XIN
NRST
VDDCORE
XOUT
WDT
RTT
OSC 32K
XIN32
XOUT32
SUPC
RSTC
8 GPBREG
3-20 MHz
Osc.
POR
RTC
RC 32k
SM
RC
12/8/4 M
ERASE
VDDPLL
VDDIO
PLLB
PMC
PIOA / PIOB
JTAG & Serial Wire Flash
Unique
Identifier
PIODCCLK
PIODCEN1
PIODCEN2
PIO
PDC PIODC[7:0]
Analog
Comparator
CRC Unit
ADC
DAC
Temp Sensor
ADVREF
NPCS0
NPCS1
NPCS2
NPCS3
MISO
MOSI
SPCK
MCCK
MCCDA
MCDA[0..3]
High Speed MCI
Cortex-M3 Processor
Fmax 64 MHz
In-Circuit Emulator
Timer Counter A
ROM
16 KBytes
TWD0
TWCK1
PWML[0:3]
24-Bit
SysTick Counter FLASH
256 KBytes
128 KBytes
64 KBytes
SRAM
48 KBytes
32 KBytes
16 KBytes
PDC
DAC1
DAC0
AD[0..9]
ADTRG
DATRG
PDC
RXD1
TXD1
USART1
SCK1
RTS1
CTS1
DSR1
DTR1
RI1
DCD1
4-layer AHB Bus Matrix Fmax 64 MHz
DAC
PDC
Temp. Sensor
ADC
PDC
5
6500D–ATARM–29-Feb-12
SAM3S
Figure 2-3. SAM3S 48-pin Version Block Diagra m
TDITDO
TMS/SWDIO
TCK/SWCLK
JTAGSEL
I/D S
Voltage
Regulator
VDDIN
VDDOUT
SPI
TC[0..2]
ADVREF
TIOA[0:2]
TIOB[0:2]
TCLK[0:2]
PDC
TWI0 PDC
TWCK0
TWD0
PWM PDC
TF
TK
TD
RD
RK
RF
DDP
DDM
Transceiver
MPU
N
V
I
C
TWI1 PDC
TWCK1
TWD1
PWMH[0:3]
PWML[0:3]
PWMFI0
PDC
UART0
UART1
URXD0
UTXD0
URXD1
UTXD1
SSC
Peripheral
Bridge
PDC
PDC
2668
Bytes
FIFO
USB 2.0
Full
Speed
PDC
RXD0
TXD0
USART0
SCK0
RTS0
CTS0
PLLA
System C ontroller
WDT
RTT
OSC32K
SUPC
RSTC
8 GPBREG
3-20 MHz
Osc.
POR
RTC
RC 32k
SM
RC
12/8/4 M
PLLB
PMC
PIOA / PIOB
JTAG & Serial Wire Flash
Unique
Identifier
Analog
Comparator
CRC Unit
ADC
Temp Sensor
ADVREF
NPCS0
NPCS1
NPCS2
NPCS3
MISO
MOSI
SPCK
Cortex-M3 Processor
Fmax 64 MHz
Timer Counter A
ROM
16 KBytes
TST
XIN
XOUT
XIN32
XOUT32
ERASE
VDDIO
VDDCORE
VDDPLL
PCK0-PCK2
In-Circuit Emulator
24-Bit
SysTick Counter FLASH
256 KBytes
128 KBytes
64 KBytes
SRAM
48 KBytes
32 KBytes
16 KBytes
AD[0..7]
ADTRG
4-layer AHB Bus Matrix Fmax 64 MHz
PDC
Temp. Sensor
ADC
66500D–ATARM–29-Feb-12
SAM3S
3. Signal Description
Table 3-1 gives details on the signal names classified by peripheral.
Table 3-1. Signal Description List
Signal Name Function Type Active
Level Voltage
reference Comments
Power Supplies
VDDIO Per ipherals I/O Lines and USB transceiver
Power Supply Power 1.62V to 3.6V
VDDIN Voltage Regulator In put, ADC, DAC and
Analog Comparator Power Supply Power 1.8V to 3.6V(4)
VDDOUT Voltage Regulator Output Power 1.8V Output
VDDPLL Oscillator and PL L Power Suppl y Power 1.62 V to 1.95V
VDDCORE Power the core, the embedded memories
and the peripherals Power 1.62V to 1.95V
GND Ground Ground
Clocks, Oscillators and PLLs
XIN Main Oscillator Input Input
VDDIO
Reset State:
- PIO Input
- Internal Pull-up disabled
- Schmitt Trigger enabled(1)
XOUT Main Oscillator Output Output
XIN32 Slow Clock Oscillator Input Input
XOUT32 Slow Clock Oscillator Output Output
PCK0 - PCK2 Programmable Clock Output Output
Reset State:
- PIO Input
- Internal Pull-up enabled
- Schmitt Trigger enabled(1)
Serial Wire/JTA G Debug Port - SWJ-DP
TCK/SWCLK Test Clock/Serial Wire Clock Input
VDDIO
Reset State:
- SWJ-DP Mode
- Inter nal pull-up disabled(5)
- Schmitt Trigger enabled(1)
TDI Test Data In Input
TDO/TRACESWO Test Data Out / Trace Asynchronous Data
Out Output
TMS/SWDIO Test Mode Select /Serial Wire Input/Output Input / I/O
JTAGSEL JTA G Selection Input High Permanent Internal
pull-down
Flash Memory
ERASE Flash and NVM Configuration Bits Erase
Command Input High VDDIO
Reset State:
- Erase Input
- Internal pull-d own enabled
- Schmitt Trigger enabled(1)
Reset/Test
NRST Synchronous Microcontroller Reset I/O Low VDDIO Permanent Internal
pull-up
TST Test Select Input Permanent Internal
pull-down
7
6500D–ATARM–29-Feb-12
SAM3S
Universal Asynchronous Receiver Transmitter - UARTx
URXDx UART Receive Data Input
UTXDx UART Transmit Data Output
PIO Controller - PIOA - PIOB - PIOC
PA0 - PA 31 Parallel IO Controller A I/O
VDDIO
Reset State:
- PIO or System IOs(2)
- Internal pull-up enabled
- Schmitt Trigger enabled(1)
PB0 - PB14 Parallel IO Controller B I/O
PC0 - PC31 Parallel IO Controller C I/O
PIO Controller - Parallel Capture Mode (PIOA Only)
PIODC0-PIODC7 Parallel Capture Mode Data Input
VDDIOPIODCCLK Parallel Capture Mode Clock Input
PIODCEN1-2 Parallel Capture Mode Enable Input
External Bus Interface
D0 - D7 Data Bus I/O
A0 - A23 Address Bus Output
NWAIT Exter nal Wait Signal Input Low
Static Memory Controller - SMC
NCS0 - NCS3 Chip Select Lines Output Low
NRD Read Signal Output Low
NWE Write En able Output Low
NAND Flash Logic
NANDOE NAND Flash Output Enable Output Low
NANDWE NAND Flash Write Enable Output Low
High Speed Multimedia Card Interface - HSMCI
MCCK Multimedia Card Clock I/O
MCCDA Multimedia Card Slot A Command I /O
MCDA0 - MCDA3 Multimedia Card Slot A Data I/O
Universal Synchronous Asynchronous Receiver Transmitter USARTx
SCKx USARTx Serial Clock I/O
TXDx USARTx Transmit Data I/O
RXDx USARTx Receive Data Input
RTSx USARTx Request To Send Output
CTSx USARTx Clear To Send Input
DTR1 USART1 Data Terminal Ready I/O
DSR1 USART1 Data Set Ready Input
DCD1 USART1 Data Carrier Detect Input
RI1 USART1 Ring Indicator Input
Table 3-1. Signal Description List (Continued)
Signal Name Function Type Active
Level Voltage
reference Comments
86500D–ATARM–29-Feb-12
SAM3S
Synchronous Serial Contr oll er - SSC
TD SSC Transmit Data Output
RD SSC Receive Data Input
TK SSC Transmit Clock I/O
RK SSC Receive Clock I/O
TF SSC Transmit Frame Sync I/O
RF SSC Receive Frame Sync I/O
Timer/Counter - TC
TCLKx TC Channel x External Clock Input Input
TIOAx TC Channel x I/O Line A I/O
TIOBx TC Channel x I/O Line B I/O
Pulse Width Modulation Controller- PWMC
PWMHx PWM Waveform Output High for channel x Output
PWMLx PWM Waveform Output Low for channel x Output
only output in
complementary mode when
dead time insertion is
enabled
PWMFI0 PWM Fault Input Input
Serial Peripheral Interface - SPI
MISO Master In Slave Out I/O
MOSI Master Out Slave In I/O
SPCK SPI Serial Clock I/O
SPI_NPCS0 SPI Peripheral Chip Select 0 I/O Low
SPI_NPCS1 -
SPI_NPCS3 SPI Peripheral Chip Select Output Low
Two-Wire Interface- TWI
TWDx TWIx Two-wire Serial Data I/O
TWCKx TWIx Two-wire Serial Clock I/O
Analog
ADVREF ADC, DAC and Analog Comparator
Reference Analog
Analog-to-Digital Converter - ADC
AD0 - AD14 Analog Inputs Analog,
Digital
ADTRG ADC Trigger Input VDDIO
12-bit Digital-to-Analog Converter - DAC
DAC0 - DAC1 Analog output Analog,
Digital
DACTRG DAC Trigger Input VDDIO
Table 3-1. Signal Description List (Continued)
Signal Name Function Type Active
Level Voltage
reference Comments
9
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. Schmitt Triggers can be disabled through PIO registers.
2. Some PIO lines are shared with System IOs.
3. Refer to the “USB” sub section in the product “Electrical Characteristics” Section for Pull-down value in USB Mode.
4. See Section 5.3 “Typical Powering Schematics” for restriction on voltage range of Analog Cells.
5. TDO pin is set in input mode when the Cortex -M3 Core is not in debug mode. Thus the internal pull-up corresponding to this
PIO line must be enabled to avoid current consumption due to floating input.
Fast Flash Pr ogramming Interface - FFPI
PGMEN0-PGMEN2 Programming Enabling Input VDDIO
PGMM0-PGMM3 Programming Mode Input
VDDIO
PGMD0-PGMD15 Programming Data I/O
PGMRDY Programming Ready Output High
PGMNVALID Data Direction Output Lo w
PGMNOE Programming Read Input Low
PGMCK Programming Clock Input
PGMNCMD Programming Command Input Low
USB Full Speed Device
DDM USB Full Speed Data - Analog,
Digital VDDIO Reset State:
- USB Mode
- Internal Pull-down(3)
DDP USB Full Speed Data +
Table 3-1. Signal Description List (Continued)
Signal Name Function Type Active
Level Voltage
reference Comments
10 6500D–ATARM–29-Feb-12
SAM3S
4. Package and Pinout
4.1 SAM3S4/2/1C Package and Pinout
Figure 4-2 shows the orientation of the 100-ball TFBGA Package
4.1.1 100-lead LQFP Package Outline
Figure 4-1. Orientation of the 100-lead LQFP Package
4.1.2 1 00 -ba ll TFBG A Package Outline
The 100-Ball TFBGA package has a 0.8 mm ball pitch and respects Green Standards. Its
dimensions ar e 9 x 9 x 1.1 mm .
Figure 4-2. Orientation of the 100-BALL TFBGA Package
125
26
50
5175
76
100
1
3
4
5
6
7
8
9
10
2
ABCDEFGHJK
TOP VIEW
BALL A1
11
6500D–ATARM–29-Feb-12
SAM3S
4.1.3 100-Lead LQFP Pinout
Table 4-1. 100-lead LQFP SAM3S4/2/1C Pinout
1 ADVREF 26 GND 51 TDI/PB4 76 TDO/TRACESWO/PB
5
2 GND 27 VDDIO 52 PA6/PGMNOE 77 JTAGSEL
3 PB0/AD4 28 PA16/PGMD4 53 PA5/PGMRDY 78 PC18
4 PC29/AD13 29 PC7 54 PC28 79 TMS/SWDIO/PB6
5 PB1/AD5 30 PA15/PGMD3 55 PA4/PGMNCMD 80 PC19
6 PC30/AD14 31 PA14/PGMD2 56 VDDCORE 81 PA31
7 PB2/AD6 32 PC6 57 PA27/PGMD15 82 PC20
8 PC31 33 PA13/PGMD1 58 PC8 83 TCK/SWCLK/PB7
9 PB3/AD7 34 PA24/PGMD12 59 PA28 84 PC21
10 VDDIN 35 PC5 60 NRST 85 VDDCORE
11 VDDOUT 36 VDDCORE 61 TST 86 PC22
12 PA17/PGMD5/AD0 37 PC4 62 PC9 87 ERASE/PB12
13 PC26 38 PA25/PGMD13 63 PA29 88 DDM/PB10
14 PA18/PGMD6/AD1 39 PA26/PGMD14 64 PA30 89 DDP/PB11
15 PA21/PGMD9/AD8 40 PC3 65 PC10 90 PC23
16 VDDCORE 41 PA12/PGMD0 66 PA3 91 VDDIO
17 PC27 42 PA11/PGMM3 67 PA2/PGMEN2 92 PC24
18 PA19/PGMD7/AD2 43 PC2 68 PC11 93 PB13/DAC0
19 PC15/AD11 44 PA10/PGMM2 69 VDDIO 94 PC25
20 PA22/PGMD10/AD9 45 GND 70 GND 95 GND
21 PC13/AD10 46 PA9/PGMM1 71 PC14 96 PB8/XOUT
22 PA23/PGMD11 47 PC1 72 PA1/PGMEN1 97 PB9/PGMCK/XIN
23 PC12/AD12 48 PA8/XOUT32/
PGMM0 73 PC16 98 VDDIO
24 PA20/PGMD8/AD3 49 PA7/XIN32/
PGMNVALID 74 PA0/PGMEN0 99 PB14/DAC1
25 PC0 50 VDDIO 75 PC17 100 VDDPLL
12 6500D–ATARM–29-Feb-12
SAM3S
4.1.4 100-ball TFBGA Pinout
Table 4-2. 100-ball TFBGA SAM3S4/2/1C Pinout
A1 PB1/AD5 C6 TCK/SWCLK/PB7 F1 PA18/PGMD6/AD1 H6 PC4
A2 PC29 C7 PC16 F2 PC26 H7 PA11/PGMM3
A3 VDDIO C8 PA1/PGMEN1 F3 VDDOUT H8 PC1
A4 PB9/PGMCK/XIN C9 PC17 F4 GND H9 PA6/PGMNOE
A5 PB8/XOUT C10 PA0/PGMEN0 F5 VDDIO H10 TDI/PB4
A6 PB13/DAC0 D1 PB3/AD7 F6 PA27/PGMD15 J1 PC15/AD11
A7 DDP/PB11 D2 PB0/AD4 F7 PC8 J2 PC0
A8 DDM/PB10 D3 PC24 F8 PA28 J3 PA16/PGMD4
A9 TMS/SWDIO/PB6 D4 PC22 F9 TST J4 PC6
A10 JTAGSEL D5 GND F10 PC9 J5 PA24/PGMD12
B1 PC30 D6 GND G1 PA21/PGMD9/AD8 J6 PA25/PGMD13
B2 ADVREF D7 VDDCORE G2 PC27 J7 PA10/PGMM2
B3 GNDANA D8 PA2/PGMEN2 G3 PA15/PGMD3 J8 GND
B4 PB14/DAC1 D9 PC11 G4 VDDCORE J9 VDDCORE
B5 PC21 D10 PC14 G5 VDDCORE J10 VDDIO
B6 PC20 E1 PA17/PGMD5/AD0 G6 PA26/PGMD14 K1 PA22/PGMD10/AD9
B7 PA31 E2 PC31 G7 PA12/PGMD0 K2 PC13/AD10
B8 PC19 E3 VDDIN G8 PC28 K3 PC12/AD12
B9 PC18 E4 GND G9 PA4/PGMNCMD K4 PA20/PGMD8/AD3
B10 TDO/TRACESWO/
PB5 E5 GND G10 PA5/PGMRDY K5 PC5
C1 PB2/AD6 E6 NRST H1 PA19/PGMD7/AD2 K6 PC3
C2 VDDPLL E7 PA29/AD13 H2 PA23/PGMD11 K7 PC2
C3 PC25 E8 PA30/AD14 H3 PC7 K8 PA9/PGMM1
C4 PC23 E9 PC10 H4 PA14/PGMD2 K9 PA8/XOUT32/PGMM0
C5 ERASE/PB12 E10 PA3 H5 PA13/PGMD1 K10 PA7/XIN32/
PGMNVALID
13
6500D–ATARM–29-Feb-12
SAM3S
4.2 SAM3S4/2/1B Package and Pinout
Figure 4-3. Orientation of the 64-pad QFN Package
Figure 4-4. Orientation of the 64-lead LQFP Package
1
16
17 3233
48
4964
T OP VIEW
33
49
48
32
17
16
1
64
14 6500D–ATARM–29-Feb-12
SAM3S
4.2.1 64-Lead LQFP and QFN Pinout
64-pin version SAM3S d evices are pin-to-pin compatib le with AT91SAM7S legacy products.
Furthermore, SAM3S products have new functionalities shown in italic in Table 4-3.
Note: The bottom pad of the QFN package must be connected to ground.
Table 4-3. 64-pin SAM3S4/2/1B Pinout
1 ADVREF 17 GND 33 TDI/PB4 49 TDO/TRACESWO/PB5
2 GND 18 VDDIO 34 PA6/PGMNOE 50 JTAGSEL
3 PB0/AD4 19 PA16/PGMD4 35 PA5/PGMRDY 51 TMS/SWDIO/PB6
4 PB1/AD5 20 PA15/PGMD3 36 PA4/PGMNCMD 52 PA31
5 PB2/AD6 21 PA14/PGMD2 37 PA27/PGMD15 53 TCK/SWCLK/PB7
6 PB3/AD7 22 PA13/PGMD1 38 PA28 54 VDDCORE
7 VDDIN 23 PA24/PGMD12 39 NRST 55 ERASE/PB12
8 VDDOUT 24 VDDCORE 40 TST 56 DDM/PB10
9 PA17/PGMD5/AD025 PA25/PGMD13 41 PA29 57 DDP/PB11
10 PA18/PGMD6/AD1 26 PA26/PGMD14 42 PA30 58 VDDIO
11 PA21/PGMD9/AD8 27 PA12/PGMD0 43 PA3 59 PB13/DAC0
12 VDDCORE 28 PA11/PGMM3 44 PA2/PGMEN2 60 GND
13 PA19/PGMD7/AD2 29 PA10/PGMM2 45 VDDIO 61 XOUT/PB8
14 PA22/PGMD10/AD9 30 PA9/PGMM1 46 GND 62 XIN/PGMCK/PB9
15 PA23/PGMD11 31 PA8/XOUT32/PGMM0 47 PA1/PGMEN1 63 PB14/DAC1
16 PA20/PGMD8/AD3 32 PA7/XIN32/PGMNVALID 48 PA0/PGMEN0 64 VDDPLL
15
6500D–ATARM–29-Feb-12
SAM3S
4.3 SAM3S4/2/1A Package and Pinout
Figure 4-5. Orientation of the 48-pad QFN Package
Figure 4-6. Orientation of the 48-lead LQFP Package
1
12
1324
25
36
3748
T OP VIEW
25
37
36
24
13
12
1
48
16 6500D–ATARM–29-Feb-12
SAM3S
4.3.1 48-Lead LQFP and QFN Pinout
Note: The bottom pad of the QFN package must be connected to ground.
Table 4-4. 48-pin SAM3S4/2/1A Pinout
1 ADVREF 13 VDDIO 25 TDI/PB4 37 TDO/TRACESWO/
PB5
2 GND 14 PA16/PGMD4 26 PA6/PGMNOE 38 JTAGSEL
3 PB0/AD4 15 PA15/PGMD3 27 PA5/PGMRDY 39 TMS/SWDIO/PB6
4 PB1/AD5 16 PA14/PGMD2 28 PA4/PGMNCMD 40 TCK/SWCLK/PB7
5 PB2/AD6 17 PA13/PGMD1 29 NRST 41 VDDCORE
6 PB3/AD7 18 VDDCORE 30 TST 42 ERASE/PB12
7 VDDIN 19 PA12/PGMD0 31 PA3 43 DDM/PB10
8 VDDOUT 20 PA11/PGMM3 32 PA2/PGMEN2 44 DDP/PB11
9 PA17/PGMD5/AD0 21 PA10/PGMM2 33 VDDIO 45 XOUT/PB8
10 PA18/PGMD6/AD1 22 PA9/PGMM1 34 GND 46 XIN/PB9/PGMCK
11 PA19/PGMD7/AD2 23 PA8/XOUT32/
PGMM0 35 PA1/PGMEN1 47 VDDIO
12 PA20/AD3 24 PA7/XIN32/
PGMNVALID 36 PA0/PGMEN0 48 VDDPLL
17
6500D–ATARM–29-Feb-12
SAM3S
5. Power Considerations
5.1 Power Supplies
The SAM3S product has several types of power supply pins:
VDDCORE pins: Power the core, the embedded memories and the peripherals; voltage
ranges from 1.62V to 1.95V.
VDDIO pins: Power the P eripher als I/O lines (Input/Out put Buff ers); USB tr ansceiv er; Backup
part, 32 kHz crystal oscillator and oscillator pads; ranges from 1.62V to 3.6V
VDDIN pin: Voltage Regulator Input, ADC, DAC and Analog Comparator Power Supply;
Voltage ra nges from 1.8V to 3.6V
VDDPLL pin: Powers the PLLA, PLLB, the Fast RC and the 3 to 20 MHz oscillator; voltage
ranges from 1.62V to 1.95V.
5.2 Voltage Regulator
The SAM3S embeds a voltage regulator that is managed by the Supply Controller.
This internal regulator is intended to supply th e internal core of SAM3S. It features two different
operating modes:
In Normal mode, the voltage regulator consumes less than 700 µA static current and draws
80 mA of output current. Internal adaptive biasing adjusts the regulator quiescent current
depending on the required load current. In Wait Mode quiescent current is only 7 µA.
• In Backup mode, the voltage regulator consumes less than 1 µA while its output (VDDOUT) is
driven internally to GND. The default output voltage is 1. 80V and the start-up time to reach Nor-
mal mode is inferior to 100 µs.
For adequate input and outpu t power supply decoupling /bypassing, ref er to the “Volt age Regula-
tor” section in the “Electrical Characteristics” section of the datasheet.
5.3 Typical Powering Schematics
The SAM3S supports a 1.62V-3.6V single supply mode. The internal regulator input connected
to the source and its output feeds VDDCORE. Figure 5-1 shows the po we r sch em a tics .
As VDDIN powers the voltage regulator, the ADC/DAC and th e analog comparator, when th e
user does not want to use the embedded voltage regulator, it can be disabled by software via
the SUPC (note that it is different from Backup mode).
18 6500D–ATARM–29-Feb-12
SAM3S
Figure 5-1. Single Supply
Note: For USB, VDDIO needs to be greater than 3.0V.
For ADC, VDDIN needs to be greater than 2.0V.
For DAC, VDDIN needs to be greater than 2.4V.
Figure 5-2. Core Externally Supplied.
Note: For USB, VDDIO needs to be greater than 3.0V
For ADC, VDDIN needs to be greater than 2.0V.
For DAC, VDDIN needs to be greater than 2.4V.
Figure 5-3 below provides an example of the powe ring scheme when using a backup battery.
Since the PIO state is preserved when in backup mode, any free PIO line can be used to switch
off the external regulator by driving the PIO line at low level (PIO is input, pull-up enabled after
backup reset). External wake-up of the system can be from a push button or any signal. See
Section 5.6 “Wake-up Sources” for further details.
Main Supply
(1.8V-3.6V) ADC, DAC
Analog Comp.
USB
Transceivers.
VDDIN
Voltage
Regulator
VDDOUT
VDDCORE
VDDIO
VDDPLL
Main Supply
(1.62V-3.6V)
Can be the
same supply
VDDCORE Supply
(1.62V-1.95V)
ADC, DAC, Analog
Comparator Supply
(2.0V-3.6V)
ADC, DAC
Analog Comp.
USB
Transceivers.
VDDIN
Voltage
Regulator
VDDOUT
VDDCORE
VDDIO
VDDPLL
19
6500D–ATARM–29-Feb-12
SAM3S
Figure 5-3. Backup Battery
5.4 Active Mode Active mode is the normal running mode with the core clock runn ing from the fast RC oscillator,
the main crystal oscillator or the PLLA. The power management controller can be used to adapt
the frequency and to disable t he peripheral clocks.
5.5 Low Power Modes
The various low power modes of the SAM3S are described below:
5.5.1 Backup Mode The purpose of backup mode is to achieve the lowest power consumption possible in a system
which is performing periodic wake-ups to perform tasks but not requiring fast startup time
(<0.1ms). Total current consumption is 3 µA typical.
The Supply Controller, zero-power power-on reset, RTT, RTC, Backu p registers and 32 kHz
oscillator (RC or crystal oscillator selected by software in the Supply Controller) are running. The
regulator and th e co re supp ly ar e of f.
Backup mode is based on the Cortex-M3 deepsleep mode with the voltag e regulator disabled.
The SAM3S c an b e aw akened fro m th is m o de t hr oug h WUP0-15 pin s , th e su pp ly m o nit or ( SM) ,
the RTT or RTC wake-up event.
Backup mode is entered by using WFE instructions with the SLEEPDEEP bit in the System Con-
trol Register of the Cortex-M3 set to 1. (See the Po wer management descrip tion in The ARM
Cortex M3 Proce sso r se ctio n of the pr o du ct da ta sh ee t) .
Exit from Backup mode happens if one of the following enable wake up events occurs:
ADC, DAC
Analog Comp.
USB
Transceivers.
VDDIN
Voltage
Regulator
3.3V
LDO
Backup
Battery +
-
ON/OFF
IN OUT VDDOUT
Main Supply
VDDCORE
ADC, DAC, Analog
Comparator Supply
(2.0V-3.6V)
VDDIO
VDDPLL
PIOx (Output)
WAKEUPx
External wakeup signal
Note: The two diodes provide a “switchover circuit” (for illustration purpose)
between the backup battery and the main supply when the system is put in
backup mode.
20 6500D–ATARM–29-Feb-12
SAM3S
WKUPEN0-15 pins (level transition, configurable debouncing)
Supply Monitor alarm
•RTC alarm
RTT alarm
5.5.2 Wait Mode The purpose of the wait mode is to achie ve very low power consump tion while maintaining the
whole device in a powered state for a startup time of less than 10 µs. Current Consumption in
Wait mode is typically 15 µA (total current consumpt ion) if the internal voltage regulator is used
or 8 µA if an external regulator is used.
In this mode, the clocks of the core, peripherals and memories are stopped. However, the core,
peripherals and memories power supplies are still powered. From this mode, a fast start up is
available.
This mode is entered via Wait for Event (WFE) instructions with LPM = 1 (Low Power Mode bit in
PMC_FSMR). The Cortex-M3 is able to hand le external events or internal events in order to
wake-up the core (WFE). This is done by configuring the external lines WUP0-15 as fast startup
wake-up pins (refer to Section 5.7 “Fast Startup”). RTC or RTT Alarm and USB wake-up events
can be used to wake up the CPU (exit from WFE).
Entering Wait Mode:
Select the 4/8/12 MHz fast RC oscillator as Main Clock
Set the LPM bit in the PMC Fast Startup Mode Register (PMC_FSMR)
Execute the Wait-For-Event (WFE) instruction of the processor
Note: Internal Main clock resynchronization cycles are necessar y between the writing of MOSCRCEN
bit and the effective entry in Wait mode. Depending on the user application, Waiting for
MOSCRCEN bit to be cleared is recommended to ensure that the core will not execute undesired
instructions.
The bit MOSCRCEN should be automatically set to '0'. So you have to add after this instruction
the following: while (MOSCRCEN ==0); so that you are sure to stay in th e loop until you awake
from the wait mode. In that case you are sure the core will not continue to fetch the code but
once you have exited the wait mode (in that case MOSCRCEN will be automatically set to '1').
5.5.3 Sleep Mode The purpose of sleep mode is to optimize power cons umption of the device versus response
time. In this mode, only the core clock is stopped. The peripheral clo cks can be enabled. Th e
current consumption in th is mode is application dependent.
This mode is entered via Wait for Interrupt (WFI) or Wait for Event (WFE) instructions with
LPM = 0 in PMC_FSMR.
The processor can be awake ned from an int erru pt if WFI instr uction of th e Co rtex M3 is used , or
from an event if the WFE instruction is used to enter this mode.
21
6500D–ATARM–29-Feb-12
SAM3S
5.5.4 Low Power Mode Summary Table
The modes detailed a bove are the main low po wer modes. Each part can b e set to on o r off sep-
arately and wake up sources can be individually configured. Table 5-1 below shows a summary
of the configurations of the low power modes.
Notes: 1. When considering wake-up time , the time required to start the PLL is not taken into account. Once started, the device works
with the 4/8/12 MHz fast RC oscillator. The user has to add the PLL start-up time if it is needed in the system. The wake-up
time is defined as the time taken for wake up until the first instruction is fetched.
2. The external loads on PIOs are not taken into account in the calculation.
3. Supply Monitor current consumption is not included.
4. Total Current consumption.
5. 5 µA on VDDCORE, 15 µA for total current consumption (using internal voltage regulator), 8 µA for total current consumption
(without using internal voltage regulator).
6. Depends on MCK frequency.
7. In this mode the core is supplied and not clocked but some peripherals can be clocked.
Table 5-1. Low Power Mode Configuration Summary
Mode
SUPC,
32 kHz
Oscillator
RTC RTT
Backup
Registers,
POR
(Backup
Region) Regulator
Core
Memory
Peripherals Mode Entry Potential Wake Up
Sources Core at
Wake Up
PIO State
while in Low
Power Mode PIO State
at Wake Up
Consumption
(2)
(3) Wake-up
Time(1)
Backup
Mode ON OFF OFF
(Not powered)
WFE
+SLEEPDEEP
bit = 1
WUP0-15 pins
SM alarm
RTC alarm
RTT alarm
Reset Previous
state saved
PIOA &
PIOB &
PIOC
Inputs with
pull ups
3 µA typ(4) < 0.1 ms
Wait
Mode ON ON Powered
(Not clocked)
WFE
+SLEEPDEEP
bit = 0
+LPM bit = 1
Any Event from: Fast
startup through
WUP0-15 pins
RTC alarm
RTT alarm
USB wake-up
Clocked
back Previous
state saved Unchanged 5 µA/15 µA (5) < 10 µs
Sleep
Mode ON ON Powered(7)
(Not clocked)
WFE or WFI
+SLEEPDEEP
bit = 0
+LPM bit = 0
Entr y mode =WFI
Interrupt Only; Entry
mode =WFE Any
Enabled Interrupt
and/or Any Event
from: Fast start-up
through WUP0-15
pins
RTC alarm
RTT alarm
USB wake-up
Clocked
back Previous
state saved Unchanged (6) (6)
22 6500D–ATARM–29-Feb-12
SAM3S
5.6 Wake-up Sources
The wake-up events allow the device to exit the backup mode. When a wake-up event is
detected, the Supply Controller performs a sequence which automatically reenables the core
power supply and the SRAM power supply, if they are not already enabled.
Figure 5-4. Wake-up Source
WKUP15
WKUPEN15
WKUPT15
WKUPEN1
WKUPEN0
Debouncer
SLCK
WKUPDBC
WKUPS
RTCEN
rtc_alarm
SMEN
sm_out
Core
Supply
Restart
WKUPIS0
WKUPIS1
WKUPIS15
Falling/Rising
Edge
Detector
WKUPT0
Falling/Rising
Edge
Detector
WKUPT1
Falling/Rising
Edge
Detector
WKUP0
WKUP1
RTTEN
rtt_alarm
23
6500D–ATARM–29-Feb-12
SAM3S
5.7 F ast Startup The SAM3S allows the processor to restart in a few microseconds while the processor is in wait
mode or in sleep mode. A fast start up can occur upon detection of a low level on one of the 19
wake-up inputs (WKUP0 to 15 + SM + RTC + RTT).
The fast restart circuitry, as shown in Figure 5-5, is fully asynchronous and provides a fast start-
up signal to the Power Management Controller. As soon as the fast start-up signal is asserted,
the PMC automatically restarts the embedded 4 MHz Fast RC oscillator, switches the master
clock on this 4MHz clock and reenables the processor clock.
Figure 5-5. Fast Start-Up Sources
24 6500D–ATARM–29-Feb-12
SAM3S
6. Input/Output Lines
The SAM3S has se veral kinds of inpu t/output (I/O) lines such as general pu rpose I/Os (GPIO )
and system I/Os. GPIOs can have alternate functionality due to multiplexing capabilities of the
PIO controllers. The same PIO line can be used whether in IO mode or by the multiplexed
peripheral. System I/Os include pins such as test pins, oscillators, erase or analog inputs.
6.1 General Purpose I/O Lines
GPIO Lines are managed by PIO Controllers. All I/Os have several input or output modes such
as pull-up or pull-down, input Schmitt triggers, multi-drive (open-drain), glitch filters, debouncing
or input change interrupt. Programming of these modes is performed independently for each I/O
line through t he PIO con troller user in terface. For mo re de tails, re fer to the p roduct “ PIO Con trol-
ler” section.
The input/output buffers of the PIO lines are supplied through VDDIO power supply rail.
The SAM3S embeds high sp eed pa ds able to h andle up t o 32 MHz for HSMCI ( MCK/2), 4 5 MHz
for SPI clock line s and 35 MHz on other lines. See AC Characteristics Section in the Electrical
Characteristics Section of the datasheet for more details. Typical pull-up and pull-down value is
100 kΩ for all I/Os.
Each I/O line also embeds an ODT (On-Die Termination), see Figure 6-1. I t consis ts of an inter -
nal series resistor termination scheme for impedance matching between the driver output
(SAM3S) and the PCB trace impeda nce pr eventin g signa l ref le ction . Th e seri es resistor he lps to
reduce IOs switching current (di/dt) th ereby reducing in turn, EMI. It also de creases oversh oot
and undershoot ( ringing ) due to inductan ce of inte rconnect between devices or between boar ds.
In conclusion ODT helps diminish signal integrity issues.
Figure 6-1. On-Die Termination
6.2 System I/O Lines
System I/O lines are pins used by oscillators, test mode, reset and JTAG to name but a few.
Described below are the SAM3S system I/O lines shared with PIO lines:
These pins are software configurable as general purpose I/O or system pins. At startup the
default function of these pins is always used.
PCB Trace
Z0 ~ 50 Ohms
Receiver
SAM3 Driver with
Rodt
Zout ~ 10 Ohms
Z0 ~ Zout + Rodt
ODT
36 Ohms Typ.
25
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. If PB12 is used as PIO input in user applications, a low level mu st be ensured at startup to prevent Flash erase before the
user application sets PB12 into PIO mode,
2. In the product Datasheet Refer to: “Slow Clock Generator” of the “Supply Controller” section.
3. In the product Datasheet Refer to: “3 to 20 MHZ Crystal Oscillator” information in the ”PMC” section.
6.2.1 Serial Wire JTAG Debug Port (SWJ-DP) Pins
The SWJ-DP pins are TCK/SWCLK, TMS/SWDIO, TDO/SWO, TDI and commonly provided on
a standard 20-pin JTAG connector defined by ARM. For more details about voltage reference
and reset state, refer to Table 3-1 on page 6.
At startup, SWJ-DP pins are configured in SWJ-DP mode to allow connection with debugging
probe. Please refer to the “Debug and Test” Section of the product datasheet.
SWJ-DP pins can be used as stan dard I/Os to provide users more genera l input/output pins
when the debug po rt is not needed in the end application. Mode selection between SWJ-DP
mode (System IO mode) and general IO mode is performed throug h the AHB Matrix Special
Function Registers (MATRIX_SFR). Configuration of the pad for pull-up, triggers, debouncing
and glitch filters is possible regardle ss of the mode.
The JTAGSEL pin is used to select the JTAG boundary scan when asserted at a high level. It
integrates a permanent pu ll-down resistor of about 15 k Ω to GND, so that it can be left uncon-
nected for normal operations.
By default, the JTAG Debug Port is active. If the debugger host wants to switch to the Serial
Wire Debug Port, it must provide a dedicated JTAG sequence on TMS/SWDIO and
TCK/SWCLK which disables the JTAG-DP and enables the SW-DP. When the Serial Wire
Debug Port is active, TDO/TRACESWO can be used for trace.
The asynchronous TRACE output (TRACESWO) is multip lexed wit h TDO. So t he asynchr ono us
trace can only be used with SW-DP, not JTAG-DP. For more information about SW-DP and
JTAG-DP switching, please refer to the “Debug and Test” Section.
Table 6-1. System I/O Configuration Pin List.
SYSTEM_IO
bit number Default function
after reset Other function Constraints for
normal start Configuration
12 ERASE PB12 L o w Level at startup(1)
In Matrix User Interface Registers
(Refer to the SystemIO Configuration
Register in the “Bus Matrix” section of
the datasheet.)
10 DDM PB10 -
11 DDP PB11 -
7 TCK/SWCLK PB7 -
6 TMS/SWDIO PB6 -
5 TDO/TRACESWO PB5 -
4 TDI PB4 -
- PA7 XIN32 - See footnote (2) below
- PA8 XOUT32 -
- PB9 XIN - See footnote (3) below
- PB8 XOUT -
26 6500D–ATARM–29-Feb-12
SAM3S
6.3 Test Pin The TST pin is used for JTAG Bound ary Scan Manufacturing T est or Fast Flash pr ogramming
mode of the SAM3S series. The TST pin integrates a permanent pull-down resistor of about 15
kΩ to GND, so that it can be left unconnected for normal operations. To enter fast programming
mode, see the Fast Flash Pr ogramming Int erface (FFPI) sectio n. For more o n the manufa cturing
and test mode, refer to the “Debug and Test” section of the product datasheet.
6.4 NRST Pin The NRST pin is bidirection al. It is handled by the on-chip reset controller and can be driven low
to provide a rese t signal to the external com ponents or asserted low extern ally to reset the
microcontroller. It will reset the Core an d the peripherals except the Backup region (RTC, RTT
and Supply Controller) . T here is n o con strai nt on t he leng th of t he r eset p ulse and t he reset co n-
troller can guarantee a minimum pulse length. The NRST pin integrates a permanent pull-up
resistor to VDDIO of about 100 kΩ. By default, the NRST pin is configured as an inpu t.
6.5 ERASE Pin The ERASE pin is used to reinitialize the Flash content (and some of its NVM bits) to an erased
state (all bits re ad as logic level 1). It inte gr a tes a pu ll- do wn re sisto r o f a bout 10 0 kΩ to GND, so
that it can be left unconnected for normal operations.
This pin is deboun ced by SCLK to improve th e glitch tolerance. When t he ERASE pin is tied high
during less than 100 ms, it is not taken into account. The pin must be tied high during more than
220 ms to perform a Flash erase operation.
The ERASE pin is a system I/O pin and can be used as a standard I/O. At startup, the ERASE
pin is not configured as a PIO pin. If the ERASE pin is used as a standard I/O, startup level of
this pin must be low to prevent unwanted erasing. Please refer to Section 10.3 “Peripheral Sig-
nal Multiplexing on I/O Lines” on page 34. Also, if the ERASE pin is used as a standard I/O
output, asserting the pin to low does not erase the Flash.
27
6500D–ATARM–29-Feb-12
SAM3S
7. Product Mapping
Figure 7-1. SAM3S Product Mapping
Address memory space
Code
1 MByte
bit band
regiion
1 MByte
bit band
regiion
1 MByte
bit band
regiion
0x00000000
SRAM
0x20000000
0x20100000
0x22000000
0x24000000
0x40000000
offset
ID
peripheral
block
Code
Boot Memory
0x00000000
0x00400000
0x00800000
Reserved
0x00C00000
0x1FFFFFFF
Peripherals
HSMCI 18
0x40000000
SSC22
0x40004000
SPI 21
0x40008000
0x4000C000
TC0 TC0
0x40010000
23
TC0 TC1
+0x40
24
TC0 TC2
+0x80
25
TC1 TC3
0x40014000
26
TC1 TC4
+0x40
27
TC1 TC5
+0x80
28
TWI0 19
0x40018000
TWI1 20
0x4001C000
PWM 31
0x40020000
USART0 14
0x40024000
USART1 15
0x40028000
Reserved
0x4002C000
Reserved
0x40030000
UDP 33
0x40034000
ADC 29
0x40038000
DACC 30
0x4003C000
ACC 34
0x40040000
CRCCU 35
0x40044000
0x40048000
System Controller
0x400E0000
0x400E2600
0x40100000
0x40200000
0x40400000
0x60000000
External RAM
SMC Chip Select 0
0x60000000
SMC Chip Select 1
Undefined
32 MBytes
bit band alias
0x61000000
SMC Chip Select 2
0x62000000
SMC Chip Select 3
0x63000000
0x64000000
0x9FFFFFFF
System Controller
SMC 10
0x400E0000
MATRIX
0x400E0200
PMC 5
0x400E0400
UART0
UART1
8
0x400E0600
CHIPID
0x400E0740
9
0x400E0800
EFC 6
0x400E0A00
0x400E0C00
PIOA 11
0x400E0E00
PIOB 12
0x400E1000
PIOC 13
0x400E1200
RSTC
0x400E1400
1
SUPC
+0x10
RTT
+0x30
3
WDT
+0x50
4
RTC
+0x60
2
GPBR
+0x90
0x400E1600
0x4007FFFF
Internal Flash
Internal ROM
Reserved
Peripherals
External SRAM
0x60000000
0xA0000000
System
0xE0000000
0xFFFFFFFF
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
32 MBytes
bit band alias
Reserved
28 6500D–ATARM–29-Feb-12
SAM3S
8. Memories
8.1 Embedded Memories
8.1.1 Internal SRAM The ATSAM3S4 product (256-Kbyte internal Flash version) embeds a total of 48 Kbytes high-
speed SRAM.
The ATSAM3S2 product (128-Kbyte internal Flash version) embeds a total of 32 Kbytes high-
speed SRAM.
The ATSAM3S1 product (64-Kbyte internal Flash version) embeds a total of 16 Kbytes high-
speed SRAM.
The SRAM is accessible over System Cortex-M3 bus at address 0x2000 0000.
The SRAM is in the bit band region. The bit band alias region is mapped from 0x2200 0000 to
0x23FF FFFF.
8.1.2 Internal ROM The SAM3S product embeds an Internal ROM, which contains the SAM Boot Assistant (SAM-
BA), In Application Program ming routines (IAP) and Fast Flash Programming Interface (FFPI).
At any time, th e ROM is mapped at address 0x0080 0000.
8.1.3 Embedded Flash
8.1.3.1 Flash Overvi ew
The Flash of the ATSAM3S4 (256-Kbytes internal Flash version) is organized in one bank of
1024 pages (Single plane) of 256 bytes.
The Flash of the ATSAM3S2 (128- Kbytes internal Fl ash version) is orga nized in one bank of 512
pages (Single plane) of 256 bytes.
The Flash of the ATSAM3S1 (64-Kbytes internal Flash version) is organized in one bank of 256
pages (Single plane) of 256 bytes.
The Flash contains a 128-byte write buffer, accessible through a 32-bit interface.
8.1.3.2 F lash Power Supply
The Flash is supplied by VDDCORE.
8.1.3.3 Enhanced Embedded Flash Controller
The Enhanced Embedded Flash Controller (EEFC) manages acce sses performed by the mas-
ters of the system. It enables reading the Flash and writing the write buffer. It also contains a
User Interface, mapped on the APB.
The Enhanced Embedded Flash Controller ensures the interface of the Flash block with the 32-
bit internal bus. Its 128-bit wide memory interface increases performance.
The user can choose between high performance or lower current consumption by selecting
either 128-bit or 64-bit access. It also ma nages the prog ramming, era sing, locking and unlo cking
sequences of the Flash using a full set of commands.
29
6500D–ATARM–29-Feb-12
SAM3S
One of the commands returns the embedded Flash descriptor definition that informs the system
about the Flas h or ga niz at ion , thu s ma king the so ftware gener ic.
8.1.3.4 Flash SpeedThe user needs to set the number of wait states depending on the frequency used.
For more details, r efer to the “A C Characterist ics” sub section in the product “Electrical Charac-
teristics” Section.
8.1.3.5 Lock Regions
Several lock bits used to protect write and erase operations on lock regions. A lock region is
composed of several consecutive pages, and each lock region has its associated lock bit.
If a locked-region’s erase or program command occurs, the command is aborted and the EEFC
triggers an interr up t.
The lock bits are software programmable through the EEFC User Interfac e. The command “Set
Lock Bit” enables the p rotection. The co mmand “Clear Lock Bit” unlocks the lock region.
Asserting the ERASE pin clears the lock bits, thus unlocking the entire Flash.
8.1.3.6 Security Bit Feature
The SAM3S features a secu rity bit, based on a sp ecific General Purpose NVM bit (GPNVM bit
0). When the security is enabled, any access to the Flash, SRAM, Core Registers and Internal
Peripherals either through the ICE interface or through the Fast Flash Programming Interface, is
forbidden. This ensures the confidentiality of the code programmed in the Flash.
This security bit can only be enabled, through the comma nd “Set Gener al Purpose NVM Bit 0” of
the EEFC User Interface. Disabling the security bit can only be achieved by asserting the
ERASE pin at 1, and after a full Flash erase is performed. When the security bit is deactivated,
all accesses to the Flash, SRAM, Core registers, Internal Peripherals are perm itted.
It is important to note that the assertion of the ERASE pin should always be longer than 200 ms.
As the ERASE pin integrates a permanent pull-down, it can be left unconnected during normal
operation. However, it is safer to connect it directly to GND for the final application.
8.1.3.7 Calibration Bits
NVM bits are used to calibrate the brownout detector and the voltage regulator. These bits are
factory configured and cannot be chan ge d by the user. The ERASE pin has no effe ct on the cal-
ibration bits.
8.1.3.8 Unique Identifie r
Each device integrates its own 128-bit unique identifier. These bits are factory configured and
cannot be changed by the user. The ERASE pin has no effect on the unique identifier.
Table 8-1. Number of Lock Bits
Product Number of Lock Bits Lock Region Size
ATSAM3S4 16 16 kbytes (64 pages)
ATSAM3S2 8 16 kbytes (64 pages)
ATSAM3S1 4 16 kbytes (64 pages)
30 6500D–ATARM–29-Feb-12
SAM3S
8.1.3.9 Fast Flash Programming Interface
The Fast Flas h Programming Interface allows programming the dev ice through a multiplexed
fully-handshaked parallel port. It allows gang programming with market-standard industrial
programmers.
The FFPI supports read, page program, page erase, full erase, lock, unlock and protect
commands.
The Fast Flash Programming Interface is enabled and the Fast Programming Mo de is entered
when TST is tied high and PA0 and PA1 are tied low.
8.1.3.10 SAM-BA® Boot
The SAM-BA Boot is a defau lt Boot Program which pro vides an easy way to prog ram in- situ th e
on-chip Flash memo ry.
The SAM-BA Boot Assistant su pports serial communication via the UART and USB.
The SAM-BA Boot provides an interface with SAM-BA Graphic User Interface (GUI).
8.1.3.11 GPNVM BitsThe SAM3S features two GPNVM bits that can be cleared or set respectively through the com-
mands “Clear GPNVM Bit” and “Set GPNVM Bit” of the EEFC User Interface.
8.1.4 Boot Strategies
The system always boots at address 0x0. To ensure maximum boot possibilities, the memory
layout can be changed via GPNVM.
A general-purpose NVM (GPNVM) bit is use d to boot either on the ROM (default) or from the
Flash.
The GPNVM bit can be cleared or set respectively through the comma nds “Clear General-pur-
pose NVM Bit” and “Set General-purpose NVM Bit” of the EEFC User Interface.
Setting GPNVM Bit 1 selects the boot from the Flash, clearing it selects the boot from the ROM.
Asserting ERASE clears the GPNVM Bit 1 and thus selects the boot from the ROM by default.
8.2 External Memories
The SAM3S features an External Bus Interface to provide the interface to a wide range of exter-
nal memories and to any parallel peripheral.
9. System Controller
The System Controller is a set of peripherals, which allow handling of key elemen ts of the sys-
tem, such as power, resets, clocks, time, interrupts, watchdog, etc...
See the system controller block diagram in Figure 9-1 on page 31
Table 8-2. General Purpose Non-volatile Memory Bits
GPNVMBit[#] Function
0 Security bit
1 Boot mode selection
31
6500D–ATARM–29-Feb-12
SAM3S
Figure 9-1. System Controller Block Diagram
Software Controlled
Voltage Regulator
Matrix
SRAM
Watchdog
Timer
Cortex-M3
Flash
Peripherals
Peripheral
Bridge
Zero-Power
Power-on Reset
Supply
Monitor
(Backup)
RTC
Power
Management
Controller
Embedded
32 kHz RC
Oscillator
Xtal 32 kHz
Oscillator
Supply
Controller
Brownout
Detector
(Core)
Reset
Controller
Backup Power Supply
Core Power Supply
PLLA
vr_on
vr_mode
ON
out
rtc_alarm
SLCK rtc_nreset
proc_nreset
periph_nreset
ice_nreset
Master Clock
MCK
SLCK
NRST
MAINCK
FSTT0 - FSTT15
XIN32
XOUT32
osc32k_xtal_en
Slow Clock
SLCK
osc32k_rc_en
VDDIO
VDDCORE
VDDOUT
ADVREF
ADx
WKUP0 - WKUP15
bod_core_on
lcore_brown_out
RTT
rtt_alarm
SLCK rtt_nreset
XIN
XOUT
VDDIO
VDDIN
PIOx
USB
Transeivers
VDDIO
DDP
DDM
MAINCK
DAC Analog
Circuitry
DACx
PLLB
PLLBCK
PLLACK
Embedded
12 / 8 / 4 MHz
RC
Oscillator
Main Clock
MAINCK
SLCK
3 - 20 MHz
XTAL Oscillator
FSTT0 - FSTT15 are possible Fast Startup Sources, generated by WKUP0-WKUP15 Pins,
but are not physical pins.
VDDIO
XTALSEL
General Purpose
Backup Registers
vddcore_nreset
vddcore_nreset
PIOA/B/C
Input/Output Buffers
ADC Analog
Circuitry
Analog
Comparator
32 6500D–ATARM–29-Feb-12
SAM3S
9.1 System Contro ller and Peripherals Mapping
Please refer to Section 7-1 “SAM3S Product Mapping” on page 27.
All the peripherals are in the bit band region and are mapped in the bit band alias region.
9.2 Power-on-Reset, Brownout and Supply Monitor
The SAM3S embeds three features to monitor, warn and/or reset the chip:
• Power-on-Reset on VDDIO
• Brownout Detector on VDDCORE
• Supply Monitor on VDDIO
9.2.1 Power-on-Reset
The Power-on -Reset m onitor s VDDI O. It is always activated and monitors voltage at start up but
also during power down. If VDDIO go es below the th re shold voltage, the ent ire chip is reset. For
more information, refer to the “Electrical Characteristics” section of the datasheet.
9.2.2 Brownout Detector on VDDCORE
The Brownout Detector monitors VDDCORE. It is active by default. It can be deactivated by soft-
ware through the Supply Controller (SUPC_MR). It is especia lly recom mended to disable it
during low-power modes such as wait or sleep modes.
If VDDCORE goes below the threshold voltage, the reset of the core is asserted. For more infor-
mation, refer to the “Supply Controller” and Electrical Characteristics sections of the datasheet.
9.2.3 Supply Monitor on VDDIO
The Supply Monitor monitors VDDIO. It is not active by default. It can be activated by software
and is fully programmable with 16 steps for the threshold (between 1.9V to 3.4V). It is controlled
by the Supply Controller (SUPC) . A sample mode is possible. It allows to divide the supply mon-
itor power consumption by a factor of up to 2048. For more information, refer to the “SUPC” and
“Electrical Characteristics” sections of the datasheet.
33
6500D–ATARM–29-Feb-12
SAM3S
10. Peripherals
10.1 Peripheral Identifiers
Table 10-1 defines the Periph e ral Id e nt ifier s of th e SAM 3S. A p e rip he ra l id en tif ier is required for
the control of the peripheral interrupt with the Nested Vectored Interrupt Controller and for the
control of the peripheral clock with the Power Management Controller.
Table 10-1. Peripheral Identifiers
Instance ID Instance Name NVIC Interrupt PMC Clock Contro l Instance Description
0SUPC X Supply Controller
1RSTC X Reset Controller
2RTC X Real Time Clock
3RTT X Real Time Timer
4WDT X Watchdog Timer
5PMC X Power Management Controller
6 EEFC X Enhanced Embedded Flash Controller
7- - Reserved
8UART0 X XUART 0
9UART1 X XUART 1
10 SMC X X SMC
11 PIOA X X Parallel I/O Controller A
12 PIOB X X Parallel I/O Controller B
13 PIOC X X Parallel I/O Controller C
14 USART0 X X USART 0
15 USART1 X X USART 1
16 - - - Reserved
17 - - - Reserved
18 HSMCI X X High Speed Multimedia Card Interface
19 TWI0 X X Two Wire Interface 0
20 TWI1 X X Two Wire Interface 1
21 SPI X X Serial Peripheral Interface
22 SSC X X Synchronous Serial Controller
23 TC0 X X Ti mer/Counter 0
24 TC1 X X Ti mer/Counter 1
25 TC2 X X Ti mer/Counter 2
26 TC3 X X Ti mer/Counter 3
27 TC4 X X Ti mer/Counter 4
28 TC5 X X Ti mer/Counter 5
29 ADC X X Analog-to-Digital Converter
30 DACC X X Dig ital-to-Analog Converter
31 PWM X X Pulse Width Modulation
32 CRCCU X X CRC Calculation Unit
33 ACC X X Analog Comparator
34 UDP X X USB Device Port
34 6500D–ATARM–29-Feb-12
SAM3S
10.2 APB/AHB bridge
The SAM3S product embeds one peripheral bridge:
The peripherals of the brid ge are clocked by MCK.
10.3 Peripheral Signal Multiplexing on I/O Lines
The SAM3S product features 2 PIO controllers on 48-pin and 64-pin versions (PIOA, PIOB) or 3
PIO controllers on the 100-pin version, (PIOA, PIOB, PIOC), that multiplex the I/O lines of the
peripheral set.
The SAM3S 64-pin and 100-pin PIO Controllers control up to 32 lines. (See, Table 10-2.) Each
line can be assigned to one of three peripheral functions: A, B or C. The multiplexing tables in
the following pages define how the I/O lines of the peripher als A, B and C are multip lexed on the
PIO Controllers. The column “Commen ts” has been inser ted in this table for the user’s own com-
ments; it may be used to track how pins are defined in an application.
Note that some peripheral functions which are output only, might be du plicated with in th e t ables.
35
6500D–ATARM–29-Feb-12
SAM3S
10.3.1 PIO Controller A Multiplexing
Table 10-2. Multiplexing on PIO Controller A (PIOA)
I/O Line Peripheral A Peripheral B Peripheral C Extra Function System Function Comments
PA0 PWMH0 TIOA0 A17 WKUP0 High drive
PA1 PWMH1 TIOB0 A18 WKUP1 High drive
PA2 PWMH2 SCK0 DATRG WKUP2 High drive
PA3 TWD0 NPCS3 High drive
PA4 TWCK0 TCLK0 WKUP3
PA5 RXD0 NPCS3 WKUP4
PA6 TXD0 PCK0
PA7 RTS0 PWMH3 XIN32
PA8 CTS0 ADTRG WKUP5 XOUT32
PA9 URXD0 NPCS1 PWMFI0 WKUP6
PA10 UTXD0 NPCS2
PA11 NPCS0 PWMH0 WKUP7
PA12 MISO PWMH1
PA13 MOSI PWMH2
PA14 SPCK PWMH3 WKUP8
PA15 TF TIOA1 PWML3 WKUP14/PIODCEN1
PA16 TK TIOB1 PWML2 WKUP15/PIODCEN2
PA17 TD PCK1 PWMH3 AD0
PA18 RD PCK2 A14 AD1
PA19 RK PWML0 A15 AD2/WKUP9
PA20 RF PWML1 A16 AD3/WKUP10
PA21 RXD1 PCK1 AD8 64/100-pin versions
PA22 TXD1 NPCS3 NCS2 AD9 64/100-pin versions
PA23 SCK1 PWMH0 A19 PIODCCLK 64/100-pin versions
PA24 RTS1 PWMH1 A20 PIODC0 64/100-pin versions
PA25 CTS1 PWMH2 A23 PIODC1 64/100-pin versions
PA26 DCD1 TIOA2 MCD A2 PIODC2 64/100-pin versions
PA27 DTR1 TIOB2 MCD A3 PIODC3 64/100-pin versions
PA28 DSR1 TCLK1 MCCDA PIODC4 64/100-pin versions
PA29 RI1 TCLK2 MCCK PIODC5 64/100-pin versions
PA30 PWML2 NPCS2 MCDA0 WKUP11/PIODC6 64/100-pin versions
PA31 NPCS1 PCK2 MCDA1 PIODC7 64/100-pin versions
36 6500D–ATARM–29-Feb-12
SAM3S
10.3.2 PIO Controller B Multiplexing
Table 10-3. Multiplexing on PIO Controller B (PIOB)
I/O Line Peripheral A Peripheral B Peripheral C Extra Function System Function Comments
PB0 PWMH0 AD4
PB1 PWMH1 AD5
PB2 URXD1 NPCS2 AD6/ WKUP12
PB3 UTXD1 PCK2 AD7
PB4 TWD1 PWMH2 TDI
PB5 TWCK1 PWML0 WKUP13 TDO/TRACESWO
PB6 TMS/SWDIO
PB7 TCK/SWCLK
PB8 XOUT
PB9 XIN
PB10 DDM
PB11 DDP
PB12 PWML1 ERASE
PB13 PWML2 PCK0 DAC0 64/100-pin versions
PB14 NPCS1 PWMH3 DAC1 64/100-pin versions
37
6500D–ATARM–29-Feb-12
SAM3S
10.3.3 PIO Controller C Multiplexing
Table 10-4. Multiplexing on PIO Controller C (PIOC)
I/O Line Peripheral A Peripheral B Peripheral C Extra Function System Function Comments
PC0 D0 PWML0 100-pin version
PC1 D1 PWML1 100-pin version
PC2 D2 PWML2 100-pin version
PC3 D3 PWML3 100-pin version
PC4 D4 NPCS1 100-pin version
PC5 D5 100-pin version
PC6 D6 100-pin version
PC7 D7 100-pin version
PC8 NWE 100-pin version
PC9 NANDOE 100-pin version
PC10 NANDWE 100-pin version
PC11 NRD 100-pin version
PC12 NCS3 AD12 100-pin version
PC13 NWAIT PWML0 AD10 100-pin version
PC14 NCS0 100-pin version
PC15 NCS1 PWML1 AD11 100-pin version
PC16 A21/NANDALE 100-pin version
PC17 A22/NANDCLE 100-pin version
PC18 A0 PWMH0 100-pin version
PC19 A1 PWMH1 100-pin version
PC20 A2 PWMH2 100-pin version
PC21 A3 PWMH3 100-pin version
PC22 A4 PWML3 100-p in version
PC23 A5 TIOA3 100-pin version
PC24 A6 TIOB3 100-pin version
PC25 A7 TCLK3 100-pin version
PC26 A8 TIOA4 100-pin version
PC27 A9 TIOB4 100-pin version
PC28 A10 TCLK4 100-pin version
PC29 A11 TIOA5 AD13 100-pin version
PC30 A12 TIOB5 AD14 100-pin version
PC31 A13 TCLK5 100-pin version
38 6500D–ATARM–29-Feb-12
SAM3S
1
6500D–ATARM–29-Feb-12
SAM3S
11. ARM Cortex® M3 Processor
11.1 About this section
This section provides the information required for application and system-level software devel-
opment. It does not provide information on debug components, features, or operation.
This material is for microcontroller software and hardware engineers, including those who have
no experience of ARM products.
Note: The information in this section is reproduced from source material provided to Atmel by
ARM Ltd. in terms of Atm el’s license for the ARM Cortex-M3 processor core. This information
is copyright ARM Ltd., 2008 - 2009.
11.2 About the Cortex-M3 processor and core peripherals
The Cortex-M3 processor is a high performance 32-bit processor designed for the
microcontroller market. It offers significant benefits to developers, including:
outstandi n g pr oc ess i ng pe rforma n ce co mb in ed with fast interrup t ha nd ling
enhanced system debug with extensive breakpoint and trace capabilities
efficient processor core, system and memories
ultra-low power consumption with integrated sleep modes
platform security, with integrated memory protec tion un it (MPU).
Figure 11-1. Typical Cortex-M3 implementation
The Cortex-M3 processor is built on a high-performance processor core, with a 3-stage pipeline
Harvard architecture, making it ideal for demanding embedded applications. The processor
delivers exceptional power ef ficiency through an efficient instruction set and extensively opti-
Processor
Core
NVIC
Debug
Access
Port
Memory
Protection Unit
Serial
Wire
Viewer
Bus Matrix
Code
Interface SRAM and
Peripheral Interface
Data
Watchpoints
Flash
Patch
Cortex-M3
Processor
26500D–ATARM–29-Feb-12
SAM3S
mized design, providing high-end processing hardware including single-cycle 32x32
multiplication and dedicated hardware division.
To facilitate the design of cost-sensitive devices, the Cortex-M3 processor implements tightly-
coupled system components that reduce processor area while significantly improving interrupt
handling and system debug capabilities. The Cortex-M3 processor implements a version of the
Thumb® instruct ion set , ensuring high cod e density and redu ced p rogram memo ry requ irements.
The Cortex-M3 instruction set provides the exceptional performance expected of a modern 32-
bit architecture, with the high code density of 8-bit and 16-bit microcontrollers.
The Cortex-M3 processor closely integr ates a configurable nested interrupt cont ro ller (NVIC), to
deliver industry-leading interrupt performance. The NVIC provides up to 16 interrupt priority lev-
els. The tight integration of the processor core and NVIC provides fast execution o f interrupt
service routines (ISRs), dramatically reducing the interrupt latency. This is achieved through the
hardware stacking of registers, and the ability to suspend load-multiple and store-multiple opera-
tions. Interrupt handlers do not require any assembler stubs, removing any code overhead from
the ISRs. Tail-chainin g optimizatio n also significantly red uces the overhead when switching from
one ISR to another.
To optimize low-power designs, the NVIC integrates with the sleep mod es, that include a deep
sleep function that enables the entire device to be rapidly powered down.
11.2.1 Syst em level interface
The Cortex-M3 processor provides multiple interfaces using AMBA® technology to provide high
speed, low latency memory accesses. It supports unaligned data accesses and implements
atomic bit manipulation that enables faster peripheral controls, system spinlocks and thread-safe
Boolean data ha ndling.
The Cortex-M3 processor has a memory protection unit (MPU) that provides fine grain memory
control, enablin g applications to implem ent security privilege levels, sepa rating code, data and
stack on a task-by-task basis. Such requirements are becoming critical in many embedded
applications.
11.2.2 Integrated configurable debug
The Cortex-M3 processor implements a complete hardware debug solution. This provides high
system visibility of the processor and memory through either a traditional JTAG port or a 2-pin
Serial Wire Debug (SWD) port that is ideal for micro controllers and other sma ll package de vices.
For system trace the processor integrates an Instrumentation Tra ce Macrocell (ITM) along side
data watchpoints and a profiling unit. To enable simple and cost-effective profiling of the system
events these generate, a Serial Wire View er (SWV) can export a stream of software-generated
messages, data trace, and profiling information through a single pin.
11.2.3 Cortex-M3 processor features and benefits summa ry
tight integration of system peripherals reduces area and development costs
Thumb instruction set combines high code density with 32-bit performance
code-patch ability for ROM system updates
power control optimization of system components
integrated sleep modes for low power consumption
fast code execution permits slower processor clock or increases sleep mode time
hardware division and fast multiplier
3
6500D–ATARM–29-Feb-12
SAM3S
deterministic, high-performance interrupt handling for time-critical applications
memory protection unit (MPU) for safety-critical applications
e xtensive debug and trace capabilities:
Serial Wire Debug and Serial Wire Trace reduce the number of pins required for
debugging and tracing.
11.2.4 Cortex-M3 core peripherals
These are:
11.2.4.1 Nested Vec to red In ter rupt Controller
The Nested Vectored Interrupt Controller (NVIC) is an embedded interrupt controller that sup-
ports low latency interrupt processing.
11.2.4.2 System control bl ock
The System cont rol block (SCB) is the programmers model interface to the processor. It pro-
vides system implementation information and system control, including configuration, control,
and reporting of system exceptions.
11.2.4.3 System timer
The system timer, SysTick, is a 24-bit count-down timer. Use this as a Real Time Operating Sys-
tem (RTOS) tick timer or as a simple counter.
11.2.4.4 Memory pr ot ec tio n un it
The Memory protection unit (MPU) improves system reliability by defining the memory attributes
for different memo ry r egi ons. I t pr ovide s up t o eigh t diff erent regions, and an optio nal predef ined
background region.
11.3 Programmers model
This section describes the Cortex-M3 programmers model. In addition to the individual core reg-
ister descriptions, it conta ins information about the processor mod es and privilege levels for
software execution and stacks.
11.3.1 Processor mode and privilege levels for software execution
The processor modes are:
11.3.1.1 Thread mode
Used to execute application software. The processor enters Thread mode when it comes out of
reset.
11.3.1.2 Handler mode
Used to handle exceptions. The processor returns to Thread mode when it has finished excep-
tion processing.
The privilege levels for software execution are:
11.3.1.3 Unprivileged The software:
has limited access to the MSR and MRS instructions, and cannot use the CPS instruction
46500D–ATARM–29-Feb-12
SAM3S
cannot access the system timer, NVIC, or syst em control block
might have restricted access to memory or peripherals.
Unprivileged software executes at the unprivileged level.
11.3.1.4 Privileged The software can use all the instr uctions and has access to all resources.
Privileged software executes at the privileged level.
In Thread mode, the CONTROL register controls whether software execution is privileged or
unprivileged, see “CONTROL register” on page 13. In Handler mod e, software execution is
always privileged.
Only privileged software can write to the CONTROL register to change the privilege level for
software ex ec ution in Thre ad mode. Unprivileged software can use the SVC instruction to make
a supervisor call to transfer control to privileged software.
11.3.2 Stacks The processor uses a full descending stack. This means the stack pointer indicates the last
stacked item on the stack memory. Wh en the processor pu shes a new item onto the stac k, it
decrements the stack pointer and then writes the item to the new memory location. The proces-
sor implements two stacks, the main stack and the process stack, with independent copies of
the stack pointer, see Stack Pointer” on page 6.
In Thread mode, the CONTROL register controls whether the processor uses the main stack or
the process stack, see “CONTROL r egister” on page 13. In Handler mode, the processor always
uses the main stack. The options for processor operations are:
Table 11-1. Summary of processor mode, execution pr ivilege level, and stack use options
Processor
mode Used to
execute Privilege level for
software execution Stac k used
Thread Applications Privileged or
unprivileged (1)
1. See “CONTROL register” on page 13.
Main stack or process
stack(1)
Handler Exception
handlers Always privileged Main stack
5
6500D–ATARM–29-Feb-12
SAM3S
11.3.3 Core registers The processor core registers are:
Table 11-2. Core register set summary
Name Type
(1)
Required
privilege
(2) Reset
value Description
R0-R12 RW Either Unknown “General-purpose registers” on page 6
MSP RW Privileged See
description “Stack Pointer” on page 6
PSP RW Either Unknown “Stack Pointer” on page 6
LR RW Either 0xFFFFFFFF “L ink Register” on page 6
PC RW Either See
description “Program Counter” on page 6
PSR RW Privileged
0x01000000
“Program Status Register” on page 7
ASPR RW Either 0x00000000 “Application Program Status Register” on
page 8
IPSR RO Privileged 0x00000000 “Interrupt Program Status Register” on page 9
EPSR RO Privileged 0x01000000 “Execution Program Status Register” on page
10
PRIMASK RW Privileged 0x00000000 “Priority Mask Register” on page 11
SP (R13)
LR (R14)
PC (R15)
R5
R6
R7
R0
R1
R3
R4
R2
R10
R11
R12
R8
R9
Low registers
High registers
MSP
PSP
PSR
PRIMASK
FAULTMASK
BASEPRI
CONTROL
General-purpose registers
Stack Pointer
Link Register
Program Counter
Program status register
Exception mask registers
CONTROL register
Special registers
Banked version of SP
66500D–ATARM–29-Feb-12
SAM3S
11.3.3.1 General-purpose registers
R0-R12 are 32-bit general-purpose registers for data operations.
11.3.3.2 Stack Po in terThe Stack Pointer (SP) is register R13. In Thread mode, bit[1] of the CONTROL register indi-
cates the stack pointer to use:
•0 = Main Stack Pointer (MSP). This is the reset value.
•1 = Process Stack Pointer (PSP).
On reset, the processo r loads the MSP with the value from address
0x00000000
.
11.3.3.3 Link RegisterThe Link Register (LR) is register R14. It stores the return information for subroutines, function
calls, and exceptions. On reset, the processor loads the LR value
0xFFFFFFFF
.
11.3.3.4 Program Counter
The Program Counter (PC) is register R15. It contains the current program address. Bit[0] is
always 0 because instruction fetches must be halfword aligned. On reset, the processor loads
the PC with the value of the reset vector, which is at address
0x00000004
.
FAULTMASK RW Privileged 0x00000000 “Fault Mask Register” on page 11
BASEPRI RW Privileged 0x00000000 “Base Priority Mask Register” on page 12
CONTROL RW Privileged 0x00000000 “CONTROL register” on page 13
1. Describes access type during program execution in thread mode and Handler mode. Debug
access can differ.
2. An entry of Either means privileged and unpr ivileged software can access the register.
Table 11-2. Core register set summary (Co n tin ue d)
Name Type
(1)
Required
privilege
(2) Reset
value Description
7
6500D–ATARM–29-Feb-12
SAM3S
11.3.3.5 Program Status Register
The Program Status Register (PSR) combines:
Application Program Status Register (APSR)
Interrupt Program Status Register (IPSR)
Execution Program Status Register (EPSR).
These registers are mutually exclusive bitfields in the 32-bit PSR. The bit assignments are:
APSR:
IPSR:
EPSR:
31 30 29 28 27 26 25 24
N Z C V Q Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved ISR_NUMBER
76543210
ISR_NUMBER
31 30 29 28 27 26 25 24
Reserved ICI/IT T
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
ICI/IT Reserved
76543210
Reserved
86500D–ATARM–29-Feb-12
SAM3S
The PSR bit assignments are:
Access these registers individually or as a combination of any two or all three registers, using
the register name as an argument to the MSR or MRS instructions. For example:
read all of the regis te rs using PSR with the MRS instruction
write to the APSR using APSR with the MSR instruction.
The PSR combinations and attributes are:
See the instruction descriptions “MRS” on page 105 and “MSR” on page 106 for more informa-
tion about how to access the program status registers.
11.3.3.6 Application Program Status Register
The APSR contains the current state of the condition flags from previous instruction executions.
See the register summ ar y in Table 11-2 on page 5 for its attributes. The bit assignments are:
•N
Negative or less than flag:
0 = operation result was positive, zero, greater than, or equal
1 = operation result was negative or less than.
•Z
Zero flag:
0 = operation result was not zero
1 = operation result was zero.
31 30 29 28 27 26 25 24
N Z C V Q ICI/IT T
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
ICI/IT Reserved ISR_NUMBER
76543210
ISR_NUMBER
Table 11-3. PSR register combinations
Register Type Combination
PSR RW (1), (2)
1. Th e processor ignores writes to the IPSR bits.
2. Reads of the EPSR bits return zero, and the proces-
sor ignores writes to the these bits.
APSR, EPSR, and IPSR
IEPSR RO EPSR and IPSR
IAPSR RW(1) APSR and IPSR
EAPSR RW(2) APSR and EPSR
9
6500D–ATARM–29-Feb-12
SAM3S
•C
Carry or borrow flag:
0 = add operation did not result in a carry bit or subtract operation resulted in a borrow bit
1 = add operation resu lted in a carry bit or subtract operation did not resu lt in a borrow bit.
•V
Overflow flag:
0 = operation did not result in an overflow
1 = operation resulted in an overflow.
•Q
Sticky saturation flag:
0 = indicates that saturation has not occurred since reset or since the bit was last cleared to zero
1 = indicates when an
SSAT
or
USAT
instruction results in saturation.
This bit is cleared to zero by software using an
MRS
instruction.
11.3.3.7 Interrupt Program Status Register
The IPSR contains the exception type number of the current Interrupt Service Routine (ISR) .
See the register summ ar y in Table 11-2 on page 5 for its attributes. The bit assignments are:
•ISR_NUMBER
This is the number of the current exception:
0 = Thread mode
1 = Reserved
2 = NMI
3 = Hard fault
4 = Memory management fault
5 = Bus fault
6 = Usage fault
7-10 = Reserved
11 = SVCall
12 = Reserved for Debug
13 = Reserved
14 = PendSV
15 = SysTick
16 = IRQ0
50 = IRQ34
see “Exception types” on page 25 for more information.
10 6500D–ATARM–29-Feb-12
SAM3S
11.3.3.8 Execution Program Status Register
The EPSR contains t he Thumb state bit, and the execution state bits for either the:
If-Then (IT) instruction
Interruptible-Continuable Instruction (ICI) field for an interrupted load multiple or store
multiple instruction.
See the register summary in Table 11- 2 on page 5 for the EPSR attributes. The bit assignments
are:
•ICI
Interruptible-continuable instruction bits, see “Interruptible-continuable instructions” on page 10.
•IT
Indicates the execution state bits of the
IT
instruction, see “IT” on page 95.
•T
Always set to 1.
Attempts to read the EPSR directly through application software using the MSR instruction
always return zero. Attempts to write the EPSR using the MSR instruction in application software
are ignored. Fault handlers can examine EPSR value in the stacked PSR to indicate the opera-
tion that is at fault. See “Exception entry and return” on page 30
11.3.3.9 Interruptible- continuable instructions
When an interrupt occurs during the execution of an LDM or STM instruction, the processor:
stops the load multiple or store multiple instruction operation temporarily
stores the next register operand in the multiple operation to EPSR bits[15:12].
After servicing the inter rupt, the processor:
returns to the register pointed to by bits[15:12]
resumes execution of the multiple load or store instruction.
When the EPSR holds ICI execution state, bits[26:25,11:10] are zero.
11.3.3.10 If-Then blockThe If-Then block contains up to four instructions following a 16-bit IT instruction. Each instruc-
tion in the block is conditional. The conditions for the instructions are either all the same, or
some can be the inverse of ot hers. See “IT” on page 95 for more information.
11.3.3.11 Exception mask reg i ste rs
The exception mask registers disable the hand ling of exceptions by the processor. Disable
exceptions where they might impact on timing critical tasks.
To access the exception mask registe rs use th e MSR and MRS inst r uctions, or the CPS inst ruc-
tion to change the value of PRIMASK or FAULTMASK. See “MRS” on page 105, “MSR” on page
106, and “CPS” on page 101 for more information.
11
6500D–ATARM–29-Feb-12
SAM3S
11.3.3.12 Priority Mask Register
The PRIMASK register prevents activation of all exceptions with configurable priority. See the
register summary in Table 11-2 on page 5 for its attributes. The bit assignments are:
•PRIMASK
0 = no effect
1 = prevents the activation of all exceptions with configurable priority.
11.3.3.13 Fault Mask Register
The FAULTMASK register prevents activation of all exceptions. See the register summary in
Table 11-2 on page 5 for its attributes. The bit assignments are:
•FAULTMASK
0 = no effect
1 = prevents the activation of all exceptions.
The processor clears the FAULTMASK bit to 0 on exit from any exception handler except the NMI handler.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved PRIMASK
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved FAULTMASK
12 6500D–ATARM–29-Feb-12
SAM3S
11.3.3.14 Base Priority Mask Register
The BASEPRI register defines the minimum priority for exception processing. When BASEPRI is
set to a nonzero value, it prevents the activation of all exceptions with same or lower priority
level as the BASEPRI value. See the register summary in Table 11 -2 on page 5 for its a ttri butes.
The bit assignments are:
BASEPRI
Priority mask bits:
0x0000
= no effect
Nonzero = defines the base priority for exception processing.
The processor does not process any exception with a priority value greater than or equal to BASEPRI.
This field i s similar to the priority fields in the in terrupt priority r egisters. T he processor implements only bits[7:4] of this field,
bits[3:0] read as zero and ignore writes. See “Interrupt Priority Registers” on page 120 for more information. Remember
that higher prior ity field values correspond to lower exception priorities.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
BASEPRI
13
6500D–ATARM–29-Feb-12
SAM3S
11.3.3.15 CONTROL register
The CONTROL register controls the stack use d and the privilege level for software executio n
when the processor is in Thread mode. See the register summary in Table 11-2 on page 5 fo r its
attributes. The bit assignments are:
Active stack pointer
Defines the current stack:
0 = MSP is the current stack pointer
1 = PSP is the current stack pointer.
In Handler mode this bit reads as zero and ignores writes.
Thread mode privilege level
Defines the Thread mode privilege level:
0 = privileged
1 = unprivileged.
Handler mode always uses the MSP, so the processor igno res explicit writes to the active stack pointer bit of the CO N-
TROL register when in Handler mode. The exception entry and return mechanisms update the CONTROL register.
In an OS environment, ARM recommend s that threads running in Thread mode use the process stack and the kernel and
exception handlers use the ma in stack.
By default, Thread mode uses the MSP. To switch the stack pointer used in Thread mode to the PSP, use the MSR instruc-
tion to set the Active stack pointer bit to 1, see “MSR” on page 106.
When changing the stack pointer, software must use an ISB instruction immediately after the MSR instruction. This
ensures that instructions after the ISB execute using the new stack pointer. See “ISB” on page 104
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved Active Stack
Pointer Thread Mode
Privilege
Level
14 6500D–ATARM–29-Feb-12
SAM3S
11.3.4 Exceptions and interrupt s
The Cortex-M3 proces sor supports interrupts and system exceptions. The processor and the
Nested Vectored Interrupt Controller (NVIC) prioritize and handle all exceptions. An exception
changes the normal flow of software control. The processor uses handler mode to handle all
exceptions except for reset. See “Exception entry” on page 31 and “Exception return” on page
32 for more information.
The NVIC registers control interrupt handling. See “Nested Vectored Interrupt Controller” on
page 113 for more information.
11.3.5 Data types The processor:
supports the following data types:
32-bit words
16-bit halfwords
8-bit bytes
supports 64-bit data transfer instructions.
manages all data memory accesses as little-endian. Instruction memory and Private
Peripheral Bus (PPB) accesses are always little-endian. See “Memory regions, types and
attributes” on page 16 for more information.
11.3.6 The Cortex Microcontroller Software Interface Standard
For a Cortex-M3 microcontroller system, the Cortex Microcontroller Software Interface Standard
(CMSIS) defin e s:
a common way to:
access peripheral registers
de fine exception vectors
the names of:
the registers of the core peripherals
the core exception vectors
a device-independent interface for RTOS kernels, including a debug channel.
The CMSIS includes address definitions and data structures for the core peripherals in the Cor-
tex-M3 processor. It also includes optional interfaces for middleware components comprising a
TCP/IP stack and a Flash file system.
CMSIS simplifies software development by enabling the reuse of template code and the combi-
nation of CMSIS-compliant software compo nents from various middleware vendors. Software
vendors can expand the CMSIS to include their peripheral definitions and access functions for
those peripherals.
This document includes the register names defined by the CMSIS, and gives short descriptions
of the CMSIS functions that address the processor core and the core peripherals.
This document use s the re gist er sh ort n ame s d ef ine d b y the CMSIS. I n a fe w ca se s t h ese diff er
from the architectural short names that might be used in other documents.
The following sections give more information about the CMSIS:
15
6500D–ATARM–29-Feb-12
SAM3S
“Power management programming hints” on page 36
“Intrinsic functions” on page 40
“The CMSIS mapping of the Cortex-M3 NVIC registers” on page 113
“NVIC programming hints” on page 125.
16 6500D–ATARM–29-Feb-12
SAM3S
11.4 Memory model
This section describes the processor memory map, the behavior of memory accesses, and the
bit-banding features. The processor has a fixed memory map that provides up to 4GB of
addressable memory. The memory map is:
The regions for SRAM and peripherals include bit-band regions. Bit-banding provides atomic
operations to bit data, see “Bit-banding” on page 20.
The processor reserves regions of the Private peripheral bus (PPB) address range for core
peripheral registers, see “About the Cortex-M3 peripherals” on page 112.
This memory mapping is generic to ARM Cortex-M3 products. To get the specific memory map-
ping of this product, refer to the Memories section of the datasheet.
11.4.1 Memory regions, types and attributes
The memory map an d the programming of the MPU s plit the memory map into regions . Each
region has a defined memory type , and some regions have additional m emory attributes. The
memory type and attributes determine the behavior of accesses to the region.
The memory types are:
Vendor-specific
memory
External device
External RAM
Peripheral
SRAM
Code
0xFFFFFFFF
Private peripheral
bus
0xE0100000
0xE00FFFFF
0x9FFFFFFF
0xA0000000
0x5FFFFFFF
0x60000000
0x3FFFFFFF
0x40000000
0x1FFFFFFF
0x20000000
0x00000000
0x40000000 Bit band region
Bit band alias
32MB
1MB
0x400FFFFF
0x42000000
0x43FFFFFF
Bit band region
Bit band alias
32MB
1MB
0x20000000
0x200FFFFF
0x22000000
0x23FFFFFF
1.0GB
1.0GB
0.5GB
0.5GB
0.5GB
0xDFFFFFFF
0xE0000000
1.0MB
511MB
17
6500D–ATARM–29-Feb-12
SAM3S
11.4.1.1 Normal The processor can re-order transactions for efficiency, or perform speculative reads.
11.4.1.2 Device The processor preserves transaction order re lative to other transactions to Device or Strongly-
ordered memory.
11.4.1.3 Strongly-ordered
The processor preserves transaction order relative to all other transactions.
The different ordering requirements for Device and Strongly-ordered memory mean that the
memory system can buffer a write to Device memory, but must not buffer a write to Strongly-
ordered memory.
The additional memory at tributes include.
11.4.1.4 Shareable For a shareable memory region, the memory system provides data synchronization between
bus masters in a system with multiple bus masters, for example, a processor with a DMA
controller.
Strongly-ordered memory is always shareable.
If multiple bu s masters can acc ess a non-sh areable m emory regi on, softwar e must ensure data
coherency between the bus maste rs.
11.4.1.5 Execute Never (XN)
Means the processor prevents instruction accesses. Any attempt to fetch an instruction from an
XN region causes a memory management fault exception.
11.4.2 Memory system ordering of memory accesses
For most memory accesses caused by explicit memory access instructions, the memory system
does not guara ntee that the order in which the accesses complet e matches the p rogram order of
the instructions, providing this does not affect the behavior of the instruction sequence. Nor-
mally, if correct program execution depends on two memory accesse s completing in program
order, software must insert a memory barrier instruction between the me mory access instruc-
tions, see “Software ordering of memory accesses” on page 19.
However, the memory system does guarantee some ordering of accesses to Device and
Strongly-ordered memory. For two memory access instructions A1 and A2, if A1 occurs b efore
A2 in program order, t he ordering of the memory accesses caused by two instructions is:
Where:
- Means that t he memory system does not guarantee the ordering of the accesses.
Normal access
Device access, non-shareable
Device access, shareable
Strongly-ordered access
Normal
access Non-shareable Shareable
Strongly-
ordered
access
Device access
A1
A2
-
-
-
-
-
<
-
<
-
-
<
<
-
<
<
<
18 6500D–ATARM–29-Feb-12
SAM3S
< Means that accesses are observed in program order, that is, A1 is always observed before A2.
11.4.3 Behavior of memory accesses
The behavior of accesses to each region in the memory map is:
The Code, SRAM, and external RAM regions can hold programs. However, ARM recommends
that programs always use the Code region. This is because the processor has separate buses
that enable instruction fetches and data accesses to occur simultaneously.
The MPU can override the default memory access behavior described in this section. For more
information, see “Memory protection unit” on page 158.
11.4.3.1 Additional memory access constraints for shared memory
When a system includes shared memory, some memory regions have additional access con-
straints, and some regions are sub divided, as Table 11-5 shows:
Table 11-4. Memory access behavior
Address
range Memory
region Memory
type XN Description
0x00000000
-
0x1FFFFFFF
Code Normal (1)
1. See “Memory regions, types and attributes” on page 16 for more information.
-Executab le region f or program code . You can also put
data here.
0x20000000
-
0x3FFFFFFF
SRAM Normal(1) -
Executable region for data. You can also put code
here.
This region includes bit band and bit band alias areas,
see Table 11 - 6 on page 20.
0x40000000
-
0x5FFFFFFF
Peripheral Device(1) XN This region includes bit band and bit band alias areas,
see Table 11 - 6 on page 20.
0x60000000
-
0x9FFFFFFF
External
RAM Normal(1) - Executable region for data.
0xA0000000
-
0xDFFFFFFF
External
device Device(1) XN External Device memory
0xE0000000
-
0xE00FFFFF
Private
Peripheral
Bus
Strongly-
ordered(1) XN This region includes the NVIC, System timer, and
system control block.
0xE0100000
-
0xFFFFFFFF
Reserved Device(1) XN Reserved
Table 11-5. Memory region share ability policies
Address range Memory region Memor y type Shareability
0x00000000
-
0x1FFFFFFF
Code Normal (1) -
0x20000000
-
0x3FFFFFFF
SRAM Normal(1) -
0x40000000
-
0x5FFFFFFF
Peripheral (2) Device(1) -
19
6500D–ATARM–29-Feb-12
SAM3S
11.4.4 Software ordering of memory accesses
The order of instructions in the program flow does not always guarantee the order of the corre-
sponding memory transactions. This is because:
the processor can reorder so me memory accesses to improve efficiency, providing this does
not affect the behavior of the instruction sequence.
the processor has multiple bus interfaces
memory or devices in the memory map have differ ent wait states
some memory accesses are buf fered or speculative.
“Memory system ordering of memory a ccesses” on page 17 describes the cases where the
memory system guarantees the order of memory accesses. Otherwise, if the or der of memory
accesses is critical, software must include memory bar rier instructio ns to force that or dering. The
processor provides the following memory barrier instructions:
11.4.4.1
DMB
The Data Memory Barrier (DMB) instruction ensures that outsta nding memory transa ctions com-
plete before subsequent memory transactions. See “DMB” on page 102.
11.4.4.2
DSB
The Data Synchroniz ation Barrier (DSB) instructio n ensures that outstandin g memory transac-
tions complete before subsequent instructions execute. See “DSB” on page 103.
11.4.4.3
ISB
The Instruction Synchronization Barrier (ISB) ensures that the effect of all completed memory
transactions is recognizable by subsequent instructions. See “ISB” on page 104.
Use memory barrier instructions in, for example:
MPU programming:
Use a DSB instruction to ensure the effect of the MPU takes place immediately at
the end of context switching.
0x60000000
-
0x7FFFFFFF
Extern al RAM Normal(1) -WBWA(2)
0x80000000
-
0x9FFFFFFF
WT(2)
0xA0000000
-
0xBFFFFFFF
External device Device(1) Shareable(1)
-
0xC0000000
-
0xDFFFFFFF
Non-
shareable(1)
0xE0000000
-
0xE00FFFFF
Private Peripheral
Bus Strongly-
ordered(1) Shareable(1) -
0xE0100000
-
0xFFFFFFFF
Vendor-specific
device(2) Device(1) --
1. See “Memory regions, types and attributes” on page 16 for more information.
2. Th e Peripheral and Vendor-specific device regions have no additional access constraints.
Table 11-5. Memory region share ability policies (Continued)
Address range Memory region Memor y type Shareability
20 6500D–ATARM–29-Feb-12
SAM3S
U se an ISB instruction to ensu re the ne w MPU setti ng takes eff ect immedi ately afte r
programming the MPU region or regions, if the MPU configuration code was
accessed using a branch or call. If the MPU configuration code is entered using
exception mechanisms, then an ISB instruction is not required.
Vector table. If the program changes an entry in the vector table, and then enables the
corresponding exception, use a DMB instruction betw een t he oper ations . This ensur es that if
the e xception is tak en immediately after being en abled the processor u ses the ne w e xception
vector.
Self-modifying code. If a program contains self-modifying code, use an ISB instruction
immediately after the code modification in the program. This ensures subsequent instruction
execution uses the updated program.
Memory map sw itching. If the syst em contains a memory map switching mechanism, use a
DSB instru ctio n af te r switching the memory map in the program. This ensures su bs eq ue n t
instruction execution uses the updated memory map.
Dynamic exception priority change. When an exception priority has to change when the
exception is pending or active, use DSB instructions after the change. This ensures the
change takes effect on completion of the DSB instruction.
Using a semaphore in m ulti-master system. If the system contains more than one bus
master, for example, if another processor is present in the system, each processor must use
a DMB instruction after any semaphore instructions, to ensure other bus masters see the
memory transactions in the order in which they were executed.
Memory accesses to Strongly-o rdere d memory, such as t he system con trol block, do not require
the use of DMB instructions.
11.4.5 Bit-banding A bit-band region maps each word in a bit-band alias region t o a sin gle bit in the b it-ba nd regio n.
The bit-band regions occupy the lowest 1MB of the SRAM and peripheral memory regions.
The memory map has two 32MB alias regions that map to two 1MB bit-band regions:
accesses to the 32MB SRAM alias region map to the 1MB SRAM bit-band region, as shown
in Table 11-6
accesses to the 32MB peripheral alias region map to the 1MB peripheral bit-band region, as
shown in Table 11-7.
Table 11-6. SRAM memory bit-b anding regions
Address
range Memory
region Instruction and data accesses
0x20000000
-
0x200FFFFF
SRAM bit-band
region
Direct accesses to this memor y range behave as SRAM
memor y accesses, but this region is also bit addressable
through bit-band alias.
0x22000000
-
0x23FFFFFF SRAM bit-band alias Data accesses to this region are remapped to bit band
region. A write operation is performed as read-modify-write.
Instruction accesses are not remapped.
21
6500D–ATARM–29-Feb-12
SAM3S
A word access to the SRAM or peripheral bit-band alias regions map to a single bit in the SRAM
or peripheral bit-b and region.
The following formula shows how the alias region maps onto the bit-band region:
bit_word_offset = (byte_offset x 32) + (bit_number x 4)
bit_word_addr = bit_band_base + bit_word_offset
where:
Bit_word_offset
is the position of the target bit in the bit-band memory region.
Bit_word_addr
is the address of the word in the alias memory region that maps to the
targeted bit .
Bit_band_base
is the starting address of the alias region.
Byte_offset
is the number of the byte in the bit-band region that contains the targeted bit.
Bit_number
is the bit position, 0-7, of the targeted bit.
Figure 11-2 shows examples of bit-band mapping between the SRAM bit-band alias region and
the SRAM bit-band region:
The alias word at
0x23FFFFE0
maps to bit[0] of the bit-band byt e at
0x200FFFFF
:
0x23FFFFE0
=
0x22000000
+ (
0xFFFFF
*32) + (0*4) .
The alias word at
0x23FFFFFC
maps to bit[7] of th e bit - band byte at
0x200FFFFF
:
0x23FFFFFC
=
0x22000000
+ (
0xFFFFF
*32) + (7*4) .
The alias word at
0x22000000
maps to bit[0] of the bit-band byte at
0x20000000
:
0x22000000
=
0x22000000
+ (0*32) + (0 *4).
The alias word at
0x2200001C
maps to bit[7] of the bit-band byte at
0x20000000
:
0x2200001C
=
0x22000000
+ (0*32) + (7*4).
Table 11-7. Peripheral memory bit-banding regions
Address
range Memory
region Instruction and data accesses
0x40000000-
0x400FFFFF Peripheral bit-band
alias
Direct accesses to this memory range behave as peripheral
memory accesses, but this region is also bit addressable
through bit-band alias.
0x42000000-
0x43FFFFFF Peripheral bit-band
region
Data accesses to this region are remapped to bit band
region. A wr ite operation is perfor med as read-modify-write.
Instruction accesses are not permitted.
22 6500D–ATARM–29-Feb-12
SAM3S
Figure 11-2. Bit-band mapping
11.4.5.1 Directly accessing an alias region
Writing to a word in the alias region updates a single bit in the bit-band region.
Bit[0] of the value written to a word in the alias re gion determines the value written to th e tar-
geted bit in the bit-band region. Writing a value with bit[0] set to 1 writes a 1 to the bit-band bit,
and writing a value with bit[0] set to 0 writes a 0 to the bit-band bit.
Bits[31:1] of the alias wo rd have no effect on the b it-band bit. Writin g
0x01
has the same effect as
writing
0xFF
. Writing
0x00
has the same effect as writing
0x0E
.
Reading a word in the alias region:
0x00000000
indicates that the targeted bit in the bit-band region is set t o zero
0x00000001
indicates that the targeted bit in the bit-band region is set t o 1
11.4.5.2 Directly accessing a bit-band region
“Behavior of memory accesses” on page 18 describes the behavior of direct byte, halfword, or
word accesses to the bit-band regions.
11.4.6 Memor y endianness
The processor views memory as a linear collection of bytes numbered in ascending order from
zero. For example, bytes 0-3 hold the first stored word, and bytes 4-7 hold the second stored
word. or “Little-endian format” describes how words of data are stored in memory.
0x23FFFFE4
0x22000004
0x23FFFFE00x23FFFFE80x23FFFFEC0x23FFFFF00x23FFFFF40x23FFFFF80x23FFFFFC
0x220000000x220000140x220000180x2200001C 0x220000080x22000010 0x2200000C
32MB alias region
0
7 0
07
0x200000000x200000010x200000020x20000003
6 5 4 3 2 1 07 6 5 4 3 2 1 7 6 5 4 3 2 1 07 6 5 4 3 2 1
07 6 5 4 3 2 1 6 5 4 3 2 107 6 5 4 3 2 1 07 6 5 4 3 2 1
0x200FFFFC0x200FFFFD0x200FFFFE0x200FFFFF
1MB SRAM bit-band region
23
6500D–ATARM–29-Feb-12
SAM3S
11.4.6.1 Little-endian format
In little-endian format, the processor stores the least significant byte of a word at the lowest-
numbered byte, and the most significant byte at the highest-numbered byte. For example:
11.4.7 Synchronization primitives
The Cortex-M3 instruction set includes pairs of synchronization primitives. These provide a non-
blocking mechanism that a thread or process can use to obtain exclusive access to a memory
location. Software can use them to perform a guaranteed read-modify-write memory update
sequence, or for a semaphore mechanism.
A pair of synchronization primitives comprises:
11.4.7.1 A Load-Exclusive instruction
Used to read the value of a memory location, requesting exclusive access to that locat ion.
11.4.7.2 A Store-Exclusive instruction
Used to atte mpt to write to the same mem ory locati on, returning a status bit t o a register. I f this
bit is:
0: it indicates that the thread or process gained exclusive access to the memory, and the write
succeeds,
1: it indicates that the thread or process did not gain exclusive access to the memory, and no
write is performed,
The pairs of Load-Exclusive and Store-Exclusive instructions are:
the word instructions LDREX and STREX
the halfword instructions LDREXH and STREXH
the byte instructions LDREXB and STREXB.
Software must use a Load-Exclusive instruction with the corresponding Store-Exclusive
instruction.
To perform a guaranteed read-modify-write of a memory location, software must:
Use a Load-Exclusive instruction to read the value of the location.
Update the value, as required.
Use a Store-Exclusive instruction to attempt to write the new value back to the memory
location, and tests the returned status bit. If this bit is:
0: The read-modify-write completed successfully,
Memory Register
Address A
A+1
lsbyte
msbyte
A+2
A+3
07
B0B1B3 B2
31 24 23 16 15 8 7 0
B0
B1
B2
B3
24 6500D–ATARM–29-Feb-12
SAM3S
1: No write was performed. This indicates that the value returned the first step might be out
of date. The software must retry the read-m odify-write sequence,
Software can use the synchronization primitives to implement a semaphores as follows:
Use a Load-Exclusive instruction to read from the semaphore address to check whether the
semaphore is free.
If the semaphore is free, use a Store-Exclusive to write the claim value to the semaphore
address.
If the returned status bit from the second step indicates that the Store-Exclusive succeeded
then the softw are has claimed the semaphore . How ev er, if the Store-Exclusiv e f ailed, another
process might have claimed the semaphore after the software performed the first step.
The Cortex-M3 includes an exc lusive access monitor, that tags the fact that the proce ssor has
executed a Load-Exclusive instruction. If the processor is part of a multiprocessor system, the
system also globally tags the memory locations addressed by exclusive accesses by each
processor.
The processor removes its exclusive access tag if:
It executes a CLREX instruction
It executes a Store-Exclusive instruction, regardless of whether the write succeeds.
An exception occurs. This means th e processor can resolve semaphore conflicts between
different threads.
In a multiprocessor implementation:
executing a CLREX instruction removes only the local exclu sive access tag for the processor
executing a Store-Exclusive instruction, or an exception. removes the local e xclusive access
tags, and all global exclusive access tags for the processor.
For more information about the synchronization primitive instructions, see “LDREX and STREX”
on page 62 and “CLREX” on page 64.
11.4.8 Programming hints for the synchronization primitives
ANSI C cannot directly generate the exclusive access instructions. Some C compilers provide
intrinsic functions for generation of these instructions:
The actual exclusive access instruction generated depends on the data type of the pointer
passed to the intrinsic function. For example, the following C code generates the require
LDREXB operation:
__ldrex((volatile char *) 0xFF);
Table 11-8. C compiler intrinsic functions for exclusive access instructions
Instruction Intrinsic function
LDREX
,
LDREXH
, or
LDREXB
unsigned int __ldrex(vola tile void *ptr)
STREX
,
STREXH
, or
STREXB
int __strex(unsigned int val, volatile void *ptr)
CLREX void __clrex(void)
25
6500D–ATARM–29-Feb-12
SAM3S
11.5 Exception model
This section describes the exception model.
11.5.1 Exception states
Each exception is in one of the followin g states:
11.5.1.1 Inactive The exception is not active and not pending .
11.5.1.2 Pending The exception is waiting to be serviced by the processor.
An interrupt request from a peripheral or from software can change the state of the correspond-
ing interrup t to pending.
11.5.1.3 Active An exception that is being serviced by the processor bu t has not completed.
An exception handler can interrupt the execution of another exception handler. In this case both
exceptions are in the active state.
11.5.1.4 Active and pending
The exception is being serviced by the proces sor and there is a pending exceptio n from the
same source.
11.5.2 Exception types
The exception ty pes are:
11.5.2.1 Reset Reset is invoked on power up or a warm reset. The e xception model treats reset as a special
form of exception. When reset is asserted, the operation of the processor stops, potentially at
any point in an instruction. When reset is deasse rted, execution restarts from the addre ss pro-
vided by the reset entry in the vector table. Execution restarts as privileged ex ecution in Thread
mode.
11.5.2.2 Non Maskable Interrupt (NMI )
A non maskable interrup t (NMI) can be sign alled by a periphe ral or trig gered by soft ware. This is
the highest priority exception other than reset. It is permanently enabled and has a fixed priority
of -2.
NMIs cannot be:
Masked or prevented from activation by any other exception.
Preempted by any exception other than Re set.
11.5.2.3 Hard fault A hard fault is an exception tha t occurs because of an error during excep tion processing, or
because an exception ca nno t b e manag ed b y any other exce ption mechanism. Hard fau lts ha ve
a fixed priority of -1, meaning th ey have higher priority than any exception with configurable
priority.
26 6500D–ATARM–29-Feb-12
SAM3S
11.5.2.4 Memory management fault
A memory management fault is an exception that occurs because of a memory protection
related fault. The MPU or the fixed memory protection constraints determine s this fault, for both
instruction and data mem ory transactions. This fault is used to abort instructio n accesses to
Execute Never (XN) memory regions, even if the MPU is disabled.
11.5.2.5 Bus fault A bus fault is an exception that occurs because of a memory related fault for an instruction or
data memory transaction. This might be from an error detected on a bus in the memory system.
11.5.2.6 Usage fault A usage fault is an exception that occurs because of a fault relat ed t o instruction exe cutio n. This
includes:
an undefine d inst ruction
an illegal unaligned access
invalid state on instruction execution
an error on exception return.
The following can cause a usage fault when the core is configured to report them:
an unaligned address on word and halfword memory access
division by zero.
11.5.2.7 SVCall A supervisor call (SVC) is an exception that is triggered by the SVC instruction. In an OS envi-
ronment, applications can use SVC instructions to access OS kernel functions and device
drivers.
11.5.2.8 PendSV PendSV is an interrupt-driven request for system-level service. In an OS environment, use
PendSV for context switching when no other exception is active.
11.5.2.9 SysTick A SysTick exception is an exception the system timer generates when it reaches zero. Software
can also generate a SysTick exception. In an OS environment, the processor can use this
exception as system tick.
27
6500D–ATARM–29-Feb-12
SAM3S
11.5.2.10 Interrupt (IRQ)
A interrupt, or IRQ, is an exception signalled by a peripheral, or generated by a software
request. All interrupts are asynchronous to instruction execution. In the system, peripherals use
interrupts to communicate with the processor.
For an asynchronous exception, other than reset, the processor can execute another instruction
between when the exception is triggered and when the processor enters the exception handler.
Privileged software can disab le the exceptions that T able 11-9 on pag e 27 shows as having con-
figurable priority, see:
“System Handler Control and St ate Register” on page 141
Table 11-9. Properties of the differ en t ex ce ptio n type s
Exception
number (1)
1. To simplify the software layer, the CMSIS only uses IR Q numbers and therefore uses negative
values for exceptions other than interrupts. The IPSR returns the Exception number, see
“Interrupt Program Status Register” on page 9.
IRQ
number(
1) Exception
type Priority Vector address
or offset (2)
2. See “Vector table” on page 29 for more information.
Activation
1 - Reset -3, the
highest 0x00000004 Asynchronous
2 -14 NMI -2 0x00000008 Asynchronous
3 -13 Hard f ault -1 0x0000000C -
4 -12 Memory
management
fault
Configurable
(3)
3. See “System Handler Priority Registers” on page 138.
0x00000010 Synchronous
5-11Bus fault Configurable
(3) 0x00000014
Synchronous when
precise,
asynchronous when
imprecise
6 -10 Usage fault Configurable
(3) 0x00000018 Synchronous
7-10 - - - Reserved -
11 -5 SVCall Configurable
(3) 0x0000002C Synchronous
12-13 - - - Reserved -
14 -2 PendSV Configurable
(3) 0x00000038 Asynchronous
15 -1 SysTick Configurable
(3)
0x0000003C
Asynchronous
16 and
above 0 and
above (4)
4. See the “Peripheral Identifiers” section of the datasheet.
Interrupt (IRQ) Configurable
(5)
5. See “Interrupt Priority Registers” on page 120.
0x00000040
and
above (6)
6. Increasin g in steps of 4.
Asynchronous
28 6500D–ATARM–29-Feb-12
SAM3S
“Interrupt Clear-enable Registers” on page 116.
For more information about hard faults, memory management faults, bus faults, and usage
faults, see “Fault handling” on page 32.
11.5.3 Exception handlers
The processor handles exceptions using:
11.5.3.1 Interrupt Service Routines (ISRs)
Interrupts IRQ0 to IRQ34 are the exceptions handled by ISRs.
11.5.3.2 Fault handlers
Hard fault, memory management fault, usage fault, bus fault are fault exceptions handled by the
fault handlers.
11.5.3.3 System handlers
NMI, PendSV, SVCall SysTick, and the fault exceptions are all system exceptions that are han-
dled by system handlers.
11.5.4 Vector table The vector table contains the reset value of the stack pointer, and the start addresses, also
called exception vectors, for all exception handlers. Figure 11-3 on page 29 shows the order of
the exception vectors in the vector table. The least-significant bit of each vector must be 1, indi-
cating that the exception handler is Thumb code.
29
6500D–ATARM–29-Feb-12
SAM3S
Figure 11-3. Vector table
On system reset, the vector table is fixed at address
0x00000000
. Privileged software can write to
the VTOR to relocate the vector table start address to a different memory location, in the range
0x00000080
to
0x3FFFFF80
, see “Vector Table Offset Register” on page 132.
11.5.5 Exception priorities
As Table 11-9 on page 27 shows, all exceptions have an associated priority, with:
a lower priority value indicating a higher priority
configurable priorities for all exceptions except Reset, Hard fault.
If software does not configure any priorities, then all exceptions with a configurable priority have
a priority of 0. For information about configuring exception prior ities see
“System Handler Priority Registers” on page 138
“Interrupt Priority Registers” on page 120.
Initial SP value
Reset
Hard fault
Reserved
Memory management fault
Usage fault
Bus fault
0x0000
0x0004
0x0008
0x000C
0x0010
0x0014
0x0018
Reserved
SVCall
PendSV
Reserved for Debug
Systick
IRQ0
Reserved
0x002C
0x0038
0x003C
0x0040
OffsetException number
2
3
4
5
6
11
12
14
15
16
18
13
7
10
1
Vector
.
.
.
8
9
IRQ1
IRQ2
0x0044
IRQ29
17 0x0048
0x004C
45
.
.
.
.
.
.
0x00B4
IRQ number
-14
-13
-12
-11
-10
-5
-2
-1
0
2
1
29
30 6500D–ATARM–29-Feb-12
SAM3S
Configurable priority values are in the range 0 -15. This means that the Reset, Har d fault, and
NMI exceptions, with fixed negative priority values, always have higher priority than any other
exception.
For example, assigning a higher priority value to IRQ[0] and a lower priority value to IRQ[1]
means that IRQ[ 1] has hig her prior ity than I RQ[0]. If both I RQ[1] an d IRQ[0] are assert ed, IRQ[ 1]
is processed before IRQ[0].
If multiple pending exceptions have the same priority, the pending exception with the lowest
exception number takes precedence. For example, if both IRQ[0] and IRQ[1] are pending and
have the same priority, then IRQ[0] is processed before IRQ[1].
When the processor is executing an exception handler, the exception handler is preempted if a
higher priority exception occurs. If an exception occurs with the same priority as the exception
being handled, the ha ndler is not preempted, irresp ective of the exception numb er. However,
the status of the new interrupt changes to pending.
11.5.6 Interrupt priority grouping
To increase priority control in systems with interrupts, the NVIC supports priority grouping. This
divides each interrupt priority register entry into two fields:
an upper fiel d th at de fin e s the group priority
a lower field that defines a subpriority within the group.
Only the group prior ity determines preemption o f interrupt exceptions. When th e processor is
executing an interrupt exception handler, another interrupt with the same group priority as the
interrupt being ha ndled does not preempt the handler,
If multiple pending interrupts have the same group priority, the subpriority field determines the
order in which they are processed. If multiple pending interrupts have the same group priority
and subpriority, the int errupt with the lowest IRQ number is processed first.
For information about splitting the interrupt priority fields into group priority and subpriority, see
“Application Interrupt and Reset Control Register” on page 133.
11.5.7 Exception entry and return
Descriptions of exception handling use the following terms:
11.5.7.1 Preemption When the processor is executing an exception h andler, an exception can preempt the exception
handler if its priority is higher than the priority of the exception being handled. See “Interrupt pri-
ority grouping” on page 30 for more info rm a tio n ab ou t pr ee m pt ion by an inter rup t.
When one exception preempts another, the exceptions are called nested exceptions. See
“Exception entry” on page 31 more information.
11.5.7.2 Return This occurs when the exception handler is completed, and:
there is no pending exception with sufficient priority to be serviced
the completed ex ception handler was not handling a late-arriving exception.
The processor pops the stack a nd restores the processor state to the state it ha d before the
interrupt occurred. See “Exception return” on page 32 for more information.
31
6500D–ATARM–29-Feb-12
SAM3S
11.5.7.3 Tail-chaining This mechanism speeds up exception servicing. On completion of an exception handler, if there
is a pending exception that meet s the r equ iremen ts fo r exceptio n ent ry, th e st ack pop is skipped
and control transfers to the new exception handler.
11.5.7.4 Late-arriving This mechanism speeds up preemption. If a higher priority exception occurs during state saving
for a previous exce ption, the processor switches to handle the higher priority exception and initi-
ates the vector fetch for that exception. State saving is not affected by late arrival be cause the
state saved is the same for both exceptions. Therefore the state saving continues uninterrupted.
The processor can accept a lat e arriving excep tion u ntil t he fir st instr uction of t he exception ha n-
dler of the original exception enters the execute stage of the processor. On return from the
exception handler of th e late-arriving exception, the normal tail-chaining rules apply.
11.5.7.5 Exception entry
Exception entry occurs when there is a pending exception with sufficient priority and either:
the processor is in Thread mode
the new exception is of higher priority than the exception being handled, in which case the
new exception preempts the original exception.
When one exception preempts another, the exceptions are nested.
Sufficient priority means the exception has more priority than any limits set by the mask regis-
ters, see “Exception mask registers” on page 10. An exception with less priority than this is
pending but is not handled by the processor.
When the processor takes an exception, unless the exception is a tail-chained or a late-arriving
exception, the pro cessor p ushes inf ormation onto t he cu rrent st ack. This oper ation is refe rred as
stacking and the structure of eight data words is referred as stack frame. The stack frame con-
tains the following information:
•R0-R3, R12
Return address
PSR
•LR.
Immediately after stacking, the stack pointer indicates the lowest address in the stack frame.
Unless stack alignment is disabled, the stack frame is aligned to a double-wo rd address. If the
STKALIGN bit of th e Configuration Control Register (CCR) is set to 1, stack align adjustment is
performed during stacking.
The stack frame includes the return address. This is the address of the next instruction in the
interrupted program. This value is restored to the PC at exception return so that the interrupted
program resumes.
In parallel to the stacking operation, the processor performs a vector fetch that reads the excep-
tion handler star t ad dress fr om the ve ctor t a ble. Whe n stacking is comp lete, the pr ocessor sta rts
executing the exception handler. At the same time, the processor writes an EXC_RETURN
value to the LR. This indicates which stack pointer corresponds to the stack frame and what
operation mode the was processor was in before the entry occurred.
32 6500D–ATARM–29-Feb-12
SAM3S
If no higher priority exception occurs during exception entry, the processor starts executing the
exception handler and automatically changes the status of the corresponding pending interrupt
to active.
If another higher priority exception occurs during exception entry, the processor starts executing
the exception handler for this exception and does not change the pending status of the earlier
exception. This is the late arrival case.
11.5.7.6 Exception retur n
Exception return occurs when the processor is in Handler mode and executes one of the fo llow-
ing instructions to load the EXC_RETURN value into the PC:
•a
POP
instruction that includes the PC
•a
BX
instruction with any register.
•an
LDR
or
LDM
instruction with the PC as the destination.
EXC_RETURN is the value loaded into the LR on exception entry. The exception mechanism
relies on this value to detect when the processor has completed an exception handler. The low-
est four bits of this value provide information on the return stack and processor mode. Table 11-
10 shows the EXC_RETURN[3:0] values with a description of the exception return behavior.
The processo r se ts EXC_RETURN bits[3 1 :4 ] to
0xFFFFFFF
. When this value is loaded into the PC
it indicates to the processor that the exception is complete, and the processor initiates the
exception return sequence.
11.6 Fault handlingFaults are a subset of the exceptions, see “Exception model” on page 25. The following gener-
ate a fault:
a bus error on:
an instruction fetch or vector table load
a data access
Table 11-10. Exception return behavior
EXC_RETURN[3:0] Description
bXXX0 Reserved.
b0001 Return to Handler mode.
Exception return gets state from MSP.
Ex ec uti o n us es MSP af te r return.
b0011 Reserved.
b01X1 Reserved.
b1001 Return to Thread mode.
Exception return gets state from MSP.
Ex ec uti o n us es MSP af te r return.
b1101 Return to Thread mode.
Exception return gets state from PSP.
Execution uses PSP after return.
b1X11 Reserved.
33
6500D–ATARM–29-Feb-12
SAM3S
an internally-detected error such as an undefined instruction or an attempt to change state
with a BX instruction
attempting to execute an instruction from a memory region marked as Non-Executable (XN).
an MPU fault because of a privilege violation or an attempt to access an unmanaged region.
11.6.1 Fault types Table 11-11 shows the types of fault, the handler used for the fault, the corresponding fault sta-
tus register, and the register bit that indicates that the fault has occurred. See “Configurable
Fault Status Register” on page 143 for more information about the fault status registers.
11.6.2 Fault escalation and hard faults
All faults exceptions except for h ard faul t have co nfigu rable except ion pr iority, se e “System Han-
dler Priority Registers” on page 138. Software can disable execution of the handlers for these
faults, see “System Handler Control and State Register” on page 141.
Table 11-11. Faults
Fault Handler Bit name Fault status register
Bus error on a vector read Hard fault VECTTBL “Hard Fault Status
Register” on page 149
Fault escalated to a hard fault FORCED
MPU mismatch:
Memory
managem
ent fault
--
on instruction access IACCVIOL (1)
1. Occurs on an access to an XN region even if the MPU is disabled.
“Memory Management
Fault Address Register” on
page 150
on data access DACCVIOL
during exception stacking MSTKERR
during exception unstacking MUNSKERR
Bus error :
Bus fault
--
during exception stacking STKERR
“Bus Fault Status Register”
on page 145
during exception unstacking UNSTKERR
during instruction prefetch IBUSERR
Precise data bus error PRECISERR
Imprecise data bus error IMPRECISER
R
Attempt to access a coprocessor
Usage
fault
NOCP
“Usage Fault Status
Register” on page 147
Undefined instruction UNDEFINSTR
Attempt to enter an invalid instruction
set state (2)
2. Attempting to use an instructio n set other than the Thumb instruction set.
INVSTATE
Invalid EXC_RETURN value INVPC
Illegal unaligned load or store UNALIGNED
Divide By 0 DIVBYZERO
34 6500D–ATARM–29-Feb-12
SAM3S
Usually, the exception pr iority, together with the value s of the exception mask re gisters, deter-
mines whether the processor enters the fault handler, and whether a fault handler can preempt
another fault handler. as described in “Exception model” on page 25.
In some situations, a fault with configurable priority is treated as a hard fault. This is called prior-
ity escalation, and the fault is described as escalated to hard fault. Escalation to hard fault
occurs when:
A f ault handler ca uses the same kind of f ault as the one it is servicing. This escalation to hard
fault occurs because a fault handler cannot preempt itself becau se it must have the same
priority as the current priority level.
A f ault hand ler causes a fault with the same o r lo wer priority as the fault it is servicing. This is
because the handler for the new fault cannot preempt the currently executing fault handler.
An exception handler causes a f ault for which the priority is the same as or lower than the
currently executing exception.
A fault occurs and the handler for that fault is not enabled.
If a bus fault occurs dur ing a stack push when entering a b us fault handler, th e bus fault does n ot
escalate to a hard fault. This means that if a corrupted stack causes a fault, the fault handler
executes even though the stack push for the handler failed. The fa ult handler operates but the
stack content s are corrupted.
Only Reset and NMI can preempt the fixed priority hard fault. A hard fault can preemp t any
exception other than Reset, NMI, or another hard fault.
11.6.3 Fault status registers and fault address registers
The fault status registers indicate the cause of a fault. For bus faults and memory management
faults, the fault address register in dicates the address accessed by th e operation that caused
the fault, as shown in Table 11-12.
11.6.4 Lockup The processor enters a lockup state if a hard fault occurs when executing the hard fault han-
dlers. When the processor is in lockup state it does no t exec ute a ny inst ructio ns. T he p roce ssor
remains in lockup state until:
it is reset
Table 11-12. Fault status and fault address registers
Handler Status register
name Address register
name Register description
Hard fault HFSR - “Hard Fault Status Register” on page
149
Memory
management fault MMFSR MMFAR
“Memory Management Fault Status
Register” on page 144
“Memory Management Fault Address
Register” on page 150
Bus fault BFSR BFA R “Bus Fault Status Register” on page 145
“Bus Fault Address Register” on page
151
Usage fault UFSR - “Usage Fault Status Register” on page
147
35
6500D–ATARM–29-Feb-12
SAM3S
11.7 Power management
The Cortex-M3 processor sleep modes reduce power consumption:
Backup Mode
•Wait Mode
Sleep Mode
The SLEEPDEEP bit of the SCR selects which sleep mode is used, see “System Control Regis-
ter” on page 135. For more information about the behavior of the sleep modes see “Low Power
Modes” in the PMC section of the datasheet.
This section describes the mechanisms for entering sleep mode, and the conditions for waking
up from sleep mode.
11.7.1 Entering sleep mode
This section describes the mechanisms software can use to put the processor into sleep mode.
The system can generate spurious wakeup events, fo r example a debug op eration wakes up the
processor. Therefore software must be able to put the processor back in to sleep mode after
such an event. A program might have an idle loop to put the processor back to sleep mode.
11.7.1.1 Wait fo r inte rrupt
The wait for interrupt in structio n, WFI, cause s immediat e entry to sleep mode. When the pr oces-
sor executes a WFI instruct ion it stops executing instructions and enters sleep mode. See “WFI”
on page 111 for more information.
11.7.1.2 Wait fo r eve nt
The wait for event instruction, WFE, causes entry to sleep mode conditional on the value of an
one-bit event register. When the processor execut es a WFE instruction, it checks this register:
if the register is 0 the processor stops executing instructions and enters sleep mode
if the register is 1 the processor clears the register to 0 and continues executing instructions
without entering sleep mode.
See “WFE” on page 110 for more information.
11.7.1.3 Sleep-on-exitIf the SLEEPONEXIT bit of the SCR is set to 1, when the processor completes the execution of
an exception handler it returns to T hread mode and immediately enters sleep mode. Use this
mechanism in applications that only require the processor to run when an exception occurs.
11.7.2 Wakeup from sleep mode
The conditions for the processor to wakeup depend on the mechanism that cause it to enter
sleep mode.
11.7.2.1 Wakeup from WFI or sleep- on-exit
Normally, the processor wakes up only when it detects an exception with sufficient priority to
cause exception entry.
Some embedded systems might have to execute system restore tasks after the processor
wakes up, and before it executes an interrupt handler. To achieve this set the PRIMASK bit to 1
and the FAULTMASK bit to 0. If an interrupt arrives that is enabled and has a higher priority than
current exception priority, the processor wakes up but does not execute the interrupt handler
36 6500D–ATARM–29-Feb-12
SAM3S
until the processor sets PRIMASK to zero. For more information about PRIMASK and FAULT-
MASK see “Exception mask registers” on page 10.
11.7.2.2 Wakeup from WFE
The processor wakes up if:
it detects an exception with sufficient priority to cause exception entry
In addition, if the SEVONPEND bit in the SCR is set to 1, any new pending interrupt triggers an
event and wakes up the processor, even if the interrupt is disabled or has insufficient priority to
cause exceptio n entry. For more info rmation about the SC R see “System Control Register” on
page 135.
11.7.3 Power management programming hints
ANSI C cannot directly gener ate the WFI and WFE inst ructions. The CMSIS provides the follow-
ing intrinsic functions for these instructions:
void __WFE(void) // Wait for Event
void __WFE(void) // Wait for Interrupt
37
6500D–ATARM–29-Feb-12
SAM3S
11.8 Instruction set summary
The processor implements a version of the Thumb instruction set. Table 11-13 lists the sup-
ported instructions.
In Table 11-13:
angle brackets, <>, enclose alternative forms of the operand
braces, {}, enclose optional operands
the Operands column is not exhaustive
Op2 is a flexible second operand that can be either a register or a constant
most instructions can use an optional condition code suffix.
For more information on the instructions and operands, see the instruction descriptions.
Table 11-13. Cortex-M3 instru ct ion s
Mnemonic Operands Brief description Flags Page
ADC, ADCS {Rd,} Rn, Op2 Add with Carry N,Z,C,V page 67
ADD, ADDS {Rd,} Rn, Op 2 Add N,Z,C,V page 67
ADD, ADDW {Rd,} Rn, #imm12 Add N,Z,C,V page 67
ADR Rd, label Load PC-relative address - page 50
AND, ANDS {Rd,} Rn, Op 2 Logical AND N,Z,C page 70
ASR, ASRS Rd, Rm, <Rs|#n> Arithmetic Shift Right N,Z,C page 72
B label Branch - page 92
BFC Rd, #lsb, #width Bit Field Clear - page 88
BFI Rd, Rn, #lsb, #width Bit Field Insert - page 88
BIC, BICS
{Rd,}
Rn, Op2
Bit Clear N,Z,C page 70
BKPT #imm Breakpoint - page 100
BL label Branch with Link - page 92
BLX Rm Br anch indirect with Link - page 92
BX Rm Branch indirect - page 92
CBNZ R n, label Compare and Branch if Non Zero - page 94
CBZ Rn, label Compare and Branch if Zero - page 94
CLREX - Clear Exclusive - page 64
CLZ Rd, Rm Count leading zeros - page 74
CMN, CMNS Rn, Op2 Compare Negative N,Z,C,V page 75
CMP, CMPS Rn, Op2 Compare N,Z,C,V page 75
CPSID iflags Change Processor State, Disable
Interrupts -page 101
CPSIE iflags Change Processor State, Enable
Interrupts -page 101
DMB - Data Memory Barrier - page 102
DSB - Data Synchronization Barrier - page 103
EOR, EORS {Rd,} Rn, Op2 Exclusive OR N,Z,C page 70
38 6500D–ATARM–29-Feb-12
SAM3S
ISB - Instruction Synchronization Barrier - page 104
IT - If-Then condition block - page 95
LDM Rn{!}, reglist Load Multiple registers, increment after - page 59
LDMDB,
LDMEA Rn{!}, reglist Load Multiple registers, decrement
before -page 59
LDMFD,
LDMIA Rn{!}, reglist Load Multiple registers, increment after - page 59
LDR Rt, [Rn, #offset] Load Register with word - page 54
LDRB,
LDRBT Rt, [Rn, #offset] Load Register with byte - page 54
LDRD Rt, Rt2, [Rn, #offset] Load Register with two bytes - page 54
LDREX Rt, [Rn, #offset] Load Register Exclusive - page 54
LDREXB Rt, [Rn] Load Register Exclusive with by te - page 54
LDREXH Rt, [Rn] Load Register Exclusive with halfword - page 54
LDRH,
LDRHT Rt, [Rn, #offset] Load Register with halfword - page 54
LDRSB,
LDRSBT Rt, [Rn, #offset] Load Register with signed byte - page 54
LDRSH,
LDRSHT Rt, [Rn, #offset] Load Register with signed halfword - page 54
LDRT Rt, [Rn, #offset] Load Register with word - page 54
LSL, LSLS Rd, Rm, <Rs|#n> Logical Shift Left N,Z,C page 72
LSR, LSRS Rd, Rm, <Rs|#n> Logical Shift Right N,Z,C page 72
MLA Rd, Rn, Rm, Ra Multiply with Accumulate, 32-bit result - page 82
MLS Rd, Rn, Rm, Ra Multiply and Subtract, 32-bit result - page 82
MOV, MOVS Rd, Op2 Move N,Z,C page 76
MOVT Rd, #imm16 Move Top - page 78
MOVW, MOV Rd, #imm16 Move 16-bit constant N,Z,C page 76
MRS Rd, spec_reg Move from special register to general
register -page 105
MSR spec_reg, Rm Move from general register to special
register N,Z,C,V page 106
MUL, MULS {Rd,} Rn, Rm Multiply, 32-bit result N,Z page 82
MVN, MVNS Rd, Op2 Move NOT N,Z,C page 76
NOP - No Operation - page 107
ORN, ORNS {Rd,} Rn, Op2 Logical OR NOT N,Z,C page 70
ORR, ORRS {Rd,} Rn, Op2 Logical OR N,Z,C page 70
POP reglist Pop registers from stack - page 61
PUSH reglist Push registers onto stack - page 61
Table 11-13. Cortex-M3 instructions (Continued)
Mnemonic Operands Brief description Flags Page
39
6500D–ATARM–29-Feb-12
SAM3S
RBIT Rd, Rn Reverse Bits - page 79
REV Rd, Rn Reverse byte order in a word - page 79
REV16 Rd, Rn Re verse byte order in each halfword - page 79
REVSH Rd, Rn Reverse byte order in bottom halfwo rd
and sign extend -page 79
ROR, RORS Rd, Rm, <Rs|#n> Rotate Right N,Z,C page 72
RRX, RRXS Rd, Rm Rotate Right with Extend N,Z,C page 72
RSB, RSBS {Rd,} Rn, Op2 Reverse Subtract N,Z,C,V page 67
SBC, SBCS {Rd,} Rn, Op2 Subtract with Carry N,Z,C,V page 67
SBFX Rd, Rn, #lsb, #wi dth Signed Bit Field Extract - page 89
SDIV {Rd,} Rn, Rm Signed Divide - page 84
SEV - Send Event - page 108
SMLAL RdLo, RdHi, Rn, Rm Signed Multiply with Accumulate (32 x
32 + 64), 64-bit resul t -page 83
SMULL RdLo, RdHi, Rn, Rm Signed Multiply (32 x 32), 64-bit result - page 83
SSAT Rd, #n, Rm {,shift #s} Signed Saturate Q page 85
STM Rn{!}, reglist Store Multiple registers, increment after - page 59
STMDB,
STMEA Rn{!}, reglist Store Multiple registers, decrement
before -page 59
STMFD,
STMIA Rn{!}, reglist Store Multiple registers, increment after - page 59
STR Rt, [Rn, #offset] Store Register word - page 54
STRB,
STRBT Rt, [Rn, #offset] Store Register byte - page 54
STRD Rt, Rt2, [Rn, #offset] Store Register two words - page 54
STREX Rd, Rt, [Rn, #offset] Store Register Exclusive - page 62
STREXB Rd, Rt, [Rn] Store Registe r Exclusi ve byte - page 62
STREXH Rd, Rt, [Rn] Store Register Exclusive halfword - page 62
STRH,
STRHT Rt, [Rn, #offset] Store Register halfword - page 54
STRT Rt, [Rn, #offset] Store Register word - page 54
SUB, SUBS {Rd,} Rn, Op2 Subtract N,Z,C,V page 67
SUB, SUBW {Rd,} Rn, #imm12 Subtract N,Z,C,V page 67
SVC #imm Supervisor Call - page 109
SXTB {Rd,} Rm {,ROR #n } Sign extend a byte - page 90
SXTH {Rd,} Rm {,ROR #n} Sign extend a halfword - page 90
TBB [Rn, Rm] Table Branch Byte - page 97
TBH [Rn, Rm, LSL #1] Table Branch Halfword - page 97
Table 11-13. Cortex-M3 instructions (Continued)
Mnemonic Operands Brief description Flags Page
40 6500D–ATARM–29-Feb-12
SAM3S
11.9 Intrinsic functions
ANSI cannot directly access some Cortex -M3 instructio ns. This section describes intrinsic func-
tions that can generate these inst ructions, p rovided by the CMIS and t hat might be provided by a
C compiler. If a C compiler does not support an appropriate intrinsic function, you might have to
use inline assembler to access some instructions.
The CMSIS provides the follo wing intrinsic functions to generate instructions that ANSI cannot
directly access:
TEQ Rn, Op2 Test Equivalence N,Z,C page 80
TST Rn, Op2 Test N,Z,C page 80
UBFX Rd, Rn, #lsb, #width Unsi gned Bit Field Extract - page 89
UDIV {Rd,} Rn, Rm Unsigned Divide - page 84
UMLAL RdLo, RdHi, Rn, Rm Unsigned Multiply with Accumulate
(32 x 32 + 64), 64-bit result -page 83
UMULL RdLo, RdHi, Rn, Rm Unsigned Multiply (32 x 32), 64-bit
result -page 83
USAT Rd, #n , Rm {,shift #s} Unsigned Satu rate Q page 85
UXTB {Rd,} Rm {,ROR #n} Zero extend a byte - page 90
UXTH {Rd,} Rm {,ROR #n} Zero extend a halfword - page 90
WFE - W ait For Event - page 110
WFI - Wa it For Interrupt - page 111
Table 11-13. Cortex-M3 instructions (Continued)
Mnemonic Operands Brief description Flags Page
Table 11-14. CMSIS intrinsic functions to generate some Cortex-M3 instructions
Instruction CMSIS intrinsic function
CPSIE I void __enable_irq(void)
CPSID I void __disable_irq(void)
CPSIE F void __enable_fault_irq(void)
CPSID F void __disable_fault_irq(void)
ISB voi d __ ISB(void)
DSB void __DSB(v oid)
DMB void __DMB(vo id)
REV uint32_t __REV(uint32_t int value)
REV16 uint32_t __REV16(uint32_t int value)
REVSH uint32_t __REVSH(uint32_t int v alue)
RBIT uint32_t __RBIT(uint32_t int value)
SEV void __SEV(void)
WFE voi d __ WFE(void)
WFI void __WFI( void)
41
6500D–ATARM–29-Feb-12
SAM3S
The CMSIS also provides a number of functions for accessing the special registers using MRS
and MSR instructions:
11.10 About the instruction descriptions
The following sections give more information about using the instructions:
“Operands” on page 41
“Restrictions when using PC or SP” on page 41
“Flexible second operand” on page 42
“Shift Operations” on page 43
“Address alignment” on page 45
“PC-rela tiv e exp ressions” on pa ge 46
“Conditional execution” on page 46
“Instruction width selection” on page 48.
11.10.1 Operands An instruction operand can be an ARM register, a co nstant, or another instruction-specific
parameter. Instructions act on the operands and often store the result in a destination register.
When there is a destinatio n register in the instruction , it is usually specified befor e the operands.
Operands in some instr uctions are fl exible in that th ey can either b e a register or a constant. See
“Flexible second operand”.
11.10.2 Restrictions when using PC or SP
Many instructions have restrictions on whether you can use the Program Counter (P C) or Stack
Pointer (SP) for the operands or destination register. See instruction descriptions for more
information.
Table 11-15. CMSIS intrinsic functions to access the special registers
Special register Access CMSIS function
PRIMASK Read uint32_t __get_PRIMASK (void)
Write void __set_PRIMASK (uint32_t value)
FAULTMASK Read uint32_t __get_FAULTMASK (vo id)
Write void __set_FAULTMASK (uint32_t value)
BASEPRI Read uint32_t __get_BASEPRI (void)
Write void __set_BASEPRI (uint32_t value)
CONTROL Read uint32_t __get_CONTROL (void)
Write void __set_CONTROL (uint32_t value)
MSP Read uint32_t __get_MSP (void)
Write void __set_MSP (uint32_t TopOfMainStack)
PSP Read uint32_t __get_PSP (void)
Write void __set_PSP (uint32_t TopOfProcStack)
42 6500D–ATARM–29-Feb-12
SAM3S
Bit[0] of any addr ess you writ e to t he PC with a BX, BLX, LDM, LD R, o r POP in str uction must be
1 for correct executio n, because this bit indica tes the r equired in structio n set, and the Cor tex-M3
processor only supports Thumb instructions.
11.10.3 Flexible second operand
Many general data processing instructions have a flexible second operand. This is shown as
Operand2 in the descriptions of the syntax of each instruction.
Operand2 can be a:
“Constant”
“Register with optional shift” on page 42
11.10.3.1 Constant You specify an Operand2 constant in the form:
#constant
where constant can be:
any constant that can be produced b y shifting an 8-bit value left by any number of bits within
a 32-bit word
any constant of the form 0x00XY00XY
any constant of the form 0xXY00XY00
any constant of the form 0xXYXYXYXY.
In the constants shown above, X and Y are hexadecimal digits.
In addition, in a small number of instructions, constant can take a wider range of values.
These are described in the individual instruction descriptions.
When an Operand2 consta nt is used with the instr uctions MOVS, MVNS, ANDS, ORRS, ORNS,
EORS, BICS, TEQ or TST, the carry flag is updated to bit[31] of the constant, if the constant is
greater than 255 and can be produced by shift ing an 8- bit value. Th ese instruct ions do not a ffect
the carry flag if Operand2 is any other constant.
11.10.3.2 Instruction substitution
Your assembler might be able to produce an equiva len t inst ruct ion in cases wher e you specif y a
constant that is not permitted. For example, an assembler might assemble the instruction CMP
Rd
, #0xFFFFFFFE as the equivalent instruction CMN Rd, #0x2.
11.10.3.3 Register with optional shift
You specify an Operand2 register in the form:
Rm {, shift}
where:
Rm is the register holding the data for the second operand.
shift is an optional shift to be applied to Rm. It can be one of:
ASR #narithmetic shift right n bits, 1 n 32.
LSL #nlogical shift left n bits, 1 n 31.
43
6500D–ATARM–29-Feb-12
SAM3S
LSR #nlogical shift right n bits, 1 n 32.
ROR #nrotate right n bits, 1 n 31 .
RRX rotate right one bit, with extend.
- if omitted, no shift occurs, equivalent to LSL #0.
If you omit the shif t, or spec ify LS L #0, the instr u ctio n us es th e va lue in Rm.
If you specify a shift, the shift is applied to the value in Rm, and t he r esult ing 32 -b it value is used
by the instruction. However, the contents in the register Rm remains unchanged. Specifying a
register with shift also up dates the car ry flag when used with certain instruct ions. For infor mation
on the shift operations and how they affect the carry flag, see “Shift Operations”
11.10.4 Shift Operations
Register shift oper at ion s move t he bit s in a r egister lef t or r igh t b y a specified numb er of bit s, t he
shift length. Register shift can be performed:
directly by the instructions ASR, LSR, LSL, ROR, and RRX, and the result is written to a
destination register
dur in g th e ca lc ula tio n of Operand2 by the instructions that specify the second operand as a
register with shift, see “Flexible second operand” on page 42. The result is used by the
instruction.
The permitted shift lengths depend on the shift type and the instruction, see the individual
instruction description or “Flexib le second operand” on page 42. If the shift length is 0 , no shift
occurs. Register shift opera tions update the car ry flag except when the specified shif t length is 0.
The following sub-sections describe the various shift operations and how they affect the carry
flag. In these de scr ipt ions, Rm is the r egist er co nt aini ng t he value to be sh ift ed, a nd n is the shift
length.
11.10.4.1 ASR Arithmetic shift right by n bits moves the left-hand 32-n bits of the register Rm, to the right by n
places, into the righ t-hand 32-n bits of the result. And it copies the original bit[31] of the register
into the left-hand n bits of the re su lt. See Figure 11-4 on page 43.
You can use the ASR #n operation to divide the value in the register Rm by 2n, with the result
being rounded towards negative-infinity.
When the instruction is ASRS or when ASR #n is used in Operand2 with the instructions MOVS,
MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit
shifted out, bit[n-1], of the register Rm.
•If n is 32 or more, then all the bits in the result are set to the value of bit[31] of Rm.
•If n is 32 or more and the carry flag is updated, it is updated to the value of bit[31] of Rm.
Figure 11-4. ASR #3
31 10
Carry
Flag
...
2345
44 6500D–ATARM–29-Feb-12
SAM3S
11.10.4.2 LSR Logical shift right by n bits moves the left-hand 32-n bits o f the register Rm, to the right by n
places, into the rig ht-hand 32-n bits of the re sult . And it sets the left- hand n bit s of the r esult to 0.
See Figure 11-5.
You can use the LSR #n op eration to divide the value in the registe r Rm by 2n, if the value is
regarded as an unsigned integer.
When the instruction is LSRS or when LSR #n is used in Operand2 with the instructions MOVS,
MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit
shifted out, bit[n-1], of the register Rm.
•If n is 32 or more, then all the bits in the result are cleared to 0.
•If n is 33 or more and the carry flag is update d, it is updated to 0.
Figure 11-5. LSR #3
11.10.4.3 LSL Logical shift left by n bits moves the right-hand 32-n bits of the register Rm, to the left by n
places, into the left- hand 32- n bits of the result. And it sets t he right- hand n bit s of the r esult to 0.
See Figure 11-6 on page 44.
You can use he LSL #n operation to multiply the value in the register Rm by 2n, if the value is
regarded as an unsigned integer or a two’s complement signed intege r. Overflow can occur
without warning.
When the instruction is LSLS or when LSL #n, with non-zero n, is used in Operand2 with the
instructions MOVS, MVNS, ANDS, ORRS, O RNS, EORS, BICS, TEQ or TST, the carry flag is
updated to the last bit shifted out, bit[32-n], of the register Rm. These instructions do not affect
the carry flag when used with LSL #0.
•If n is 32 or more, then all the bits in the result are cleared to 0.
•If n is 33 or more and the carry flag is update d, it is updated to 0.
Figure 11-6. LSL #3
31 10
Carry
Flag
...
000
2345
31 10
Carry
Flag ...
000
2345
45
6500D–ATARM–29-Feb-12
SAM3S
11.10.4.4 ROR Rotate right by n bits m oves the left-hand 32-n bits of the register Rm, to the right by n places,
into the right-hand 32-n bits of the result. And it moves the right-hand n bits of the reg ister into
the left-hand n bits of the result. See Figure 11-7.
When the instruction is RO RS or when R OR #n is used in Operand2 with the instructions MO VS,
MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to the last bit
rotation, bit[n-1], of the register Rm.
•If n is 32, then the value of the result is same as the value in Rm, and if the carry flag is
updated, it is updated to bit[31] of Rm.
ROR with shift length, n, more than 32 is the same as ROR with shift length n-32.
Figure 11-7. ROR #3
11.10.4.5 RRX Rotate right with extend moves the bits of the register Rm to the right by one bit. And it copies
the carry flag into bit[31] of the result. See Figure 11-8 on page 45.
When the instruction is RRXS or whe n RRX is used in Operand2 with the instructions MOVS,
MVNS, ANDS, ORRS, ORNS, EORS, BICS, TEQ or TST, the carry flag is updated to bit[0] of
the register Rm.
Figure 11-8. RRX
11.10.5 Address alignment
An aligned access is an operation where a word-aligned address is used for a word, dual word,
or multiple word access, or where a halfword-aligned address is used for a halfword access.
Byte accesses are always aligned.
The Cortex-M3 processor supports unaligned access only for the following instructions:
LDR, LDRT
LDRH, LDRHT
LDRSH, LDRSHT
•STR, STRT
STRH, STRHT
31 10
Carry
Flag
...
2345
31 30 10
Carry
Flag
... ...
46 6500D–ATARM–29-Feb-12
SAM3S
All other load and store instructions generate a usage fault exception if they perform an
unaligned access, and theref ore their accesses must be address aligned. For more information
about usage faults see “Fault handling” on pa ge 32.
Unaligned accesses are usually slower than aligned accesses. In addition, some memory
regions might not support unaligned accesses. Therefore, ARM recommends that programmers
ensure that accesses are aligned. To avoid accidental generation of unaligned accesses, use
the UNALIGN_TRP bit in the Configuration and Control Register to trap all unaligned accesses,
see “Configuration and Co ntrol Register” on page 136.
11.10.6 PC-relative expressions
A PC-relativ e exp ress ion o r label is a symbol that represents the address of an instruction or lit-
eral data. It is represented in the instruction as the PC value plus or minus a numeric off set. The
assembler calculates the required offset from the label and the address of the current instruc-
tion. If the offset is too big, the assembler produces an error.
For B, BL, CBNZ, and CBZ instructions, the value of the PC is the address of the current
instruction plus 4 bytes.
For all other instructions that use labels, the value of the PC is the address of the current
instruction plus 4 bytes, with bit[1] of the result cleared to 0 to make it word-aligned.
Your assemb ler m ight permit other synta x es for PC-relative e xpressio ns, su ch as a la bel plus
or minus a number, or an expression of the form [PC, #number].
11.10.7 Conditional execution
Most data processing instructions can optionally update the condition flags in the Application
Program Status Register (APSR) according to the result of the operation, see “Application Pro-
gram Status Register” on page 8. Some instructions update all flags, and some only update a
subset. If a flag is not updated, the original value is preserved. See the instruction descriptions
for the flags they affe ct.
You can execut e an instruction conditionally, based on the condition flags set in another instruc-
tion, either:
immediately after the instruction that updated the flags
after any number of intervening instructions that have not updated the flags.
Conditional execution is available by using conditional branches or by adding condition code
suffixes to instructions. See Table 11-16 on page 47 for a list of the suffi xes to add to instruc tions
to make them conditional instructions. The condition code suffix enables the processor to test a
condition based on the flags. If the condition test of a conditional instruction fails, the instructio n:
does not execute
does not write any value to its destination register
does not affect any of the flags
does not generate any exception.
Conditional instructions, except for conditional branches, mu st be inside an If-Then instruction
block. See IT” on page 95 for more information and restrictions when using the IT instruction.
Depending on the vendor, the assembler might automatically insert an IT instruction if you have
conditional instructions outside the IT block.
Use the CBZ and CBNZ instructions to compare the value of a register against zero and branch
on the result.
47
6500D–ATARM–29-Feb-12
SAM3S
This section describes:
“The condition flags”
“Condition co de suffixes”.
11.10.7.1 The cond ition flags
The APSR contains the follo wing condition flags:
N Set to 1 when the result of the operation was negative, cleared to 0 otherwise.
Z Set to 1 when the result of the operation was zero, cleared to 0 otherwise.
C Set to 1 when the operation resulted in a carry, cleared to 0 otherwise.
V Set to 1 when the operation caused overflow, cleared to 0 otherwise.
For more information about the APSR see “Program Status Register” on page 7.
A carry occurs:
if the result of an addition is greater than or equal to 232
if the result of a subtraction is positive or zero
as the result of an inline barrel shifter operatio n in a move or logical instruction.
Overflow occurs if the result of an add, subtract, or compare is greater than or equal to 231, or
less than –231.
Most instructions update the status flags only if the S suffix is specified. See the instruction
descriptions for mo r e in fo r ma tio n.
11.10.7.2 Condition code suffixes
The instructions that can be conditional have an optional condition code, shown in syntax
descriptions as {cond}. Conditional execution requires a preceding IT instruction. An instruction
with a condition code is only executed if the condition code flags in the APSR meet the specified
condition. Tabl e 11-16 shows the condition codes to use.
You can use conditional execution with the IT instruction to reduce the number of branch instruc-
tions in code.
Table 11-16 also shows the relationsh ip be tween condition c ode su ff ixes and the N, Z, C, and V
flags.
Table 11-16. Condition code suffixes
Suffix Flags Meaning
EQ Z = 1 Equal
NE Z = 0 Not equal
CS or
HS C = 1 Higher or same, unsigne d
CC or
LO C = 0 Lower, unsigned <
MI N = 1 Negative
PL N = 0 Positive or z ero
VS V = 1 Overflow
48 6500D–ATARM–29-Feb-12
SAM3S
11.10.7.3 Absolute value
The example below shows t he use of a conditional instruction to find the absolute value of a number. R0 = ABS(R1).
MOVS R0, R1 ; R0 = R1, setting flags
IT MI ; IT instruction for the negative condition
RSBMI R0, R1, #0 ; If negative, R0 = -R1
11.10.7.4 Compare and update value
The example below shows the use of conditi onal instructions to update the value of R4 if the signed values R0 is greater
than R1 and R2 is greate r th an R3.
CMP R0, R1 ; Compare R0 and R1, setting flags
ITT GT ; IT instruction for the two GT conditions
CMPGT R2, R3 ; If 'greater than', compare R2 and R3, setting flags
MOVGT R4, R5 ; If still 'greater than', do R4 = R5
11.10.8 Instruction width selection
There are many instructions that can generate either a 16-bit encoding or a 32-bit encoding
depending on the operands and destination register specified. For some of these instructions,
you can force a specific instruction size by using an instruction width suffix. The .W suffix forces
a 32-bit instruction encoding. The .N suffix forces a 16-bit instruction encoding.
If you specify an instructio n width suffix and the assembler cannot generate an instruction
encoding of the requested width, it generates an error.
In some cases it might be necessary to specify the .W suffix, for example if the operand is the
label of an instruction or literal data, as in the case of branch instructions. This is because the
assembler might not au tomatically generate the right size encoding.
11.10.8.1 Instruction width selection
To use an instr uction width suffix, pla ce it immediat ely after the instruction mnemonic and condition code , if any. Th e exam-
ple below shows instructions with the instruction width suffix .
BCS.W label ; creates a 32-bit instruction even for a short branch
ADDS.W R0, R0, R1 ; creates a 32-bit instruction even though the same
; operation can be done by a 16-bit instruction
VC V = 0 No overflow
HI C = 1 and Z = 0 Higher, unsigned >
LS C = 0 or Z = 1 Lower or same, unsigned
GE N = V Greater than or equal, signed
LT N != V Less than, signed <
GT Z = 0 and N = V Greater than, signed >
LE Z = 1 and N != V Less than or equal, signed
AL Can have any
value Always. Th is is the default when no suffix is
specified.
Table 11-16. Condition code suffixes (Continued)
Suffix Flags Meaning
49
6500D–ATARM–29-Feb-12
SAM3S
11.11 Memory acces s instructions
Table 11-17 shows the memory access instructions:
Table 11-17. Memory access instructions
Mnemonic Brief description See
ADR Load PC-relative address “ADR” on page 50
CLREX Clear Exclusive “CLREX” on page 64
LDM{mode} Load Multiple registers “LDM and STM” on page 59
LDR{type} Load Register using immediate
offset “LDR and STR, immediate offset” on
page 51
LDR{type} Load Register using register offse t “LDR and STR, register offset” on page
54
LDR{type}T Load Register with unprivileged
access “LDR and STR, unprivileged” on page 56
LDR Load Register using PC-relative
address “LDR, PC-relative” on page 57
LDREX{type} Load Register Exclusive “LDREX and STREX” on page 62
POP Pop registers from stack “PUSH and POP” on page 61
PUSH Push registers onto stack “PUSH and POP” on page 61
STM{mode} Store Multiple registers “LDM and STM” on page 59
STR{type} Store Register using immediate
offset “LDR and STR, immediate offset” on
page 51
STR{type} Store Register using register offset “LDR and STR, register offset” on page
54
STR{type}T Store Register with unpr ivileged
access “LDR and STR, unprivileged” on page 56
STREX{type} Store Register Exclusive “LDREX and STREX” on page 62
50 6500D–ATARM–29-Feb-12
SAM3S
11.11.1 ADR Load PC-relative address.
11.11.1.1 Syntax ADR{cond} Rd, label
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
label is a PC-relative expression. See “PC-relative expression s” on pa g e 46.
11.11.1.2 Operation ADR determ ines the address by adding an immediate va lue to the PC, and writes the result to
the destination register.
ADR produces position-independent code, because the address is PC-relative.
If you use ADR to generate a target address for a BX or BLX instru ction, you must ensure that
bit[0] of the address yo u generate is set to1 for correct execution.
Values of label must be within the range of 4095 to +4095 from the address in the PC.
You might have to use the .W suffix to get the maximum offset range or to generate addresses
that are not wor d-aligned. See “Instruction width selection” on page 48.
11.11.1.3 Restrictions Rd must not be SP and must not be PC.
11.11.1.4 Condition flags
This instruction does not change the flags.
11.11.1.5 Examples
ADR R1, TextMessage ; Write address value of a location labelled as
; TextMessage to R1
51
6500D–ATARM–29-Feb-12
SAM3S
11.11.2 LDR and STR, immediate offset
Load and Store with immediate offset, pre-indexed immediate offset, or post-indexed immediate
offset.
11.11.2.1 Syntax op{type}{cond} Rt, [Rn {, #offset}] ; immediate offset
op{type}{cond} Rt, [Rn, #offset]! ; pre-indexed
op{type}{cond} Rt, [Rn], #offset ; post-indexed
opD{cond} Rt, Rt2, [Rn {, #offset}] ; immediate offset, two words
opD{cond} Rt, Rt2, [Rn, #offset]! ; pre-indexed, two words
opD{cond} Rt, Rt2, [Rn], #offset ; post-indexed, two words
where:
op is one of:
LDR Load Regist er .
STR Store Register.
type is one of:
B unsigned byte, zero extend to 32 bits on loads.
SB signed byte, sign extend to 32 bits (LDR only).
H unsigned halfword, zero extend to 32 bits on loads.
SH signed halfword, sign extend to 32 bits (LDR only).
- omit, for word.
cond is an optional condition code, see “Conditional execution” on page 46.
Rt is the register to load or store.
Rn is the register on which the memory address is based.
offset is an offset from Rn. If offset is omitted, the address is the contents of Rn.
Rt2 is the additional register to load or store for two-word operations.
11.11.2.2 Operation LDR instructions load one or two registers with a value from memory.
STR instructions store one or two r egister values to memory.
Load and store instructions with immediate offset can use the following addressing modes:
11.11.2.3 Offset addressing
The offset value is added to or subtracted from the address obtained from the register Rn. The
result is used as the addres s for the memory access. The register Rn is unaltered. The assem-
bly language syntax for this mode is:
[Rn, #offset]
11.11.2.4 Pre-indexed addressing
The offset value is added to or subtracted from the address obtained from the register Rn. The
result is used as the address for the memory access and written back into the register Rn. The
assembly language syntax for this mode is:
52 6500D–ATARM–29-Feb-12
SAM3S
[Rn, #offset]!
11.11.2.5 Post-indexed addressing
The address obtained from the register Rn is used as the address for the memory access. The
offset value is added to or subtracted from the address, and written back into the register Rn.
The assembly language syntax for this mode is:
[Rn], #offset
The value to load or store can be a byte, halfwor d, word, or two words. Bytes and halfwords can
either be signed or un signed. See “Address alignment” on page 45.
Table 11-18 shows the ranges of offset for immediate, pre-indexed and post-indexed forms.
11.11.2.6 Restrictions For load instructions:
Rt can be SP or PC for word loads only
Rt must be different from Rt2 for two-word loads
Rn must be different from Rt and Rt2 in the pre-indexed or post-indexed forms.
When Rt is PC in a word load instruction:
bit[0] of the loaded value must be 1 for correct execution
a branch occurs to the address created by changing bit[0] of the loaded value to 0
if the instruction is conditional, it must be the last instruction in the IT block.
For store instructions:
Rt can be SP for word stores only
Rt must not be PC
Rn must not be PC
Rn must be different from Rt and Rt2 in the pre-indexed or post-indexed forms.
11.11.2.7 Condition flags
These instructions do not change the flags.
Table 11-18. Offset ranges
Instruction type Imme diate offset Pre-indexed Post-indexed
Word, halfword, signed
halfword, byte, or signed
byte 255 to 4095 255 to 255 255 to 255
Two words multiple of 4 in the
range 1020 to
1020
multiple of 4 in the
range 1020 to
1020
multiple of 4 in t he
range 1020 to
1020
53
6500D–ATARM–29-Feb-12
SAM3S
11.11.2.8 Examples
LDR R8, [R10] ; Loads R8 from the address in R10.
LDRNE R2, [R5, #960]! ; Loads (conditionally) R2 from a word
; 960 bytes above the address in R5, and
; increments R5 by 960.
STR R2, [R9,#const-struc] ; const-struc is an expression evaluating
; to a constant in the range 0-4095.
STRH R3, [R4], #4 ; Store R3 as halfword data into address in
; R4, then increment R4 by 4
LDRD R8, R9, [R3, #0x20] ; Load R8 from a word 32 bytes above the
; address in R3, and load R9 from a word 36
; bytes above the address in R3
STRD R0, R1, [R8], #-16 ; Store R0 to address in R8, and store R1 to
; a word 4 bytes above the address in R8,
; and then decrement R8 by 16.
54 6500D–ATARM–29-Feb-12
SAM3S
11.11.3 LDR and STR, register offset
Load and Store with r egister offset.
11.11.3.1 Syntax op{type}{cond} Rt, [Rn, Rm {, LSL #n}]
where:
op is one of:
LDR Load Regist er .
STR Store Register.
type is one of:
B unsigned byte, zero extend to 32 bits on loads.
SB signed byte, sign extend to 32 bits (LDR only).
H unsigned halfword, zero extend to 32 bits on loads.
SH signed halfword, sign extend to 32 bits (LDR only).
- omit, for word.
cond is an optional condition code, see “Conditional execution” on page 46.
Rt is the register to load or store.
Rn is the register on which the memory address is based.
Rm is a register containing a value to be used as the offset.
LSL #nis an optional shift, with n in the range 0 to 3.
11.11.3.2 Operation LDR instructions load a register with a value from memory.
STR instructions store a register value into memory.
The memory address to load from or store to is at an offset from the register Rn. The offset is
specified by the re gis te r Rm and can be shifted left by up to 3 bits using LSL.
The value to load or st ore ca n be a byte, half word , or word. For load instru ction s, bytes and hal f-
words can either be signed or unsigned. See “Address alignment” on page 45.
11.11.3.3 Restrictions In these instructions:
Rn must not be PC
Rm must not be SP and must not be PC
Rt can be SP only for word loads and word stores
Rt can be PC only for word loads.
When Rt is PC in a word load instruction:
bit[0] of the loaded value must be 1 for correct execution, and a branch occurs to this
halfword-aligned address
if the instruction is conditional, it must be the last instruction in the IT block.
55
6500D–ATARM–29-Feb-12
SAM3S
11.11.3.4 Condition flags
These instructions do not change the flags.
11.11.3.5 Examples
STR R0, [R5, R1] ; Store value of R0 into an address equal to
; sum of R5 and R1
LDRSB R0, [R5, R1, LSL #1] ; Read byte value from an address equal to
; sum of R5 and two times R1, sign extended it
; to a word value and put it in R0
STR R0, [R1, R2, LSL #2] ; Stores R0 to an address equal to sum of R1
; and four times R2
56 6500D–ATARM–29-Feb-12
SAM3S
11.11.4 LDR and STR, unprivileged
Load and Store with u nprivileged access.
11.11.4.1 Syntax op{type}T{cond} Rt, [Rn {, #offset}] ; immediate offset
where:
op is one of:
LDR Load Regist er .
STR Store Register.
type is one of:
B unsigned byte, zero extend to 32 bits on loads.
SB signed byte, sign extend to 32 bits (LDR only).
H unsigned halfword, zero extend to 32 bits on loads.
SH signed halfword, sign extend to 32 bits (LDR only).
- omit, for word.
cond is an optional condition code, see “Conditional execution” on page 46.
Rt is the register to load or store.
Rn is the register on which the memory address is based.
offset is an offset from Rn and can be 0 to 255.
If offset is omitted, the address is the value in Rn.
11.11.4.2 Operation These load and store instructions perform the same function as the memory access instructions
with immediate offset, see “LDR and STR, immediate offset” on page 51. The difference is that
these instructions have only unprivileged access even when use d in privileged software.
When used in unprivileged software, these instructions behave in exactly the same way as nor-
mal memory access instructions with immediate offset.
11.11.4.3 Restrictions In these instructions:
Rn must not be PC
Rt must not be SP and must not be PC.
11.11.4.4 Condition flags
These instructions do not change the flags.
11.11.4.5 Examples
STRBTEQ R4, [R7] ; Conditionally store least significant byte in
; R4 to an address in R7, with unprivileged access
LDRHT R2, [R2, #8] ; Load halfword value from an address equal to
; sum of R2 and 8 into R2, with unprivileged access
57
6500D–ATARM–29-Feb-12
SAM3S
11.11.5 LDR, PC-relative
Load register from memory.
11.11.5.1 Syntax LDR{type}{cond} Rt, label
LDRD{cond} Rt, Rt2, label ; Load two words
where:
type is one of:
B unsigned byte, zero exten d to 32 bits.
SB signed byte, sign extend to 32 bits.
H unsigned halfword, zero extend to 32 bits.
SH signed halfwo rd, sign extend to 32 bits.
- omit, for word.
cond is an optional condition code, see “Conditional execution” on page 46.
Rt is the register to load or store.
Rt2 is the second register to load or store.
label is a PC-relative expression. See “PC-relative expression s” on pa g e 46.
11.11.5.2 Operation LDR loads a register with a value from a PC-relative memory address. The memory address is
specified by a label or by an offset from the PC.
The value to load or st ore ca n be a byte, half word , or word. For load instru ction s, bytes and hal f-
words can either be signed or unsigned. See “Address alignment” on page 45.
label must be within a limited range of the current instruction. Table 11-19 shows the possible
offsets between label and the PC.
You might have to use the .W suffix to get the maximum offset range. See “Instruction width
selection” on page 48.
11.11.5.3 Restrictions In these instructions:
Rt can be SP or PC only for word loads
Rt2 must not be SP and must not be PC
Rt must be different from Rt2.
When Rt is PC in a word load instruction:
Table 11-19. Offset ranges
Instruction type Offset range
W ord, halfw ord, signed halfword, byte, signed
byte 4095 to 4095
Two words 1020 to 1020
58 6500D–ATARM–29-Feb-12
SAM3S
bit[0] of the loaded value must be 1 for correct execution, and a branch occurs to this
halfword-aligned address
if the instruction is conditional, it must be the last instruction in the IT block.
11.11.5.4 Condition flags
These instructions do not change the flags.
11.11.5.5 Examples
LDR R0, LookUpTable ; Load R0 with a word of data from an address
; labelled as LookUpTable
LDRSB R7, localdata ; Load a byte value from an address labelled
; as localdata, sign extend it to a word
; value, and put it in R7
59
6500D–ATARM–29-Feb-12
SAM3S
11.11.6 LDM and STM Load and Store Multiple registers.
11.11.6.1 Syntax op{addr_mode}{cond} Rn{!}, reglist
where:
op is one of:
LDM Loa d Mu ltip le reg iste rs .
STM Store Multiple registers.
addr_mode is any one of the following:
IA Increment address After each access. This is the default.
DB Decrement address Before each access .
cond is an optional condition code, see “Conditional execution” on page 46.
Rn is the register on which the memory addresses are based.
! is an optional writeback suffix.
If ! is present the final address, that is loaded from or stored to, is written back into Rn.
reglist is a list of one or more registers to be loaded or stored, enclosed in braces. It can
contain register r anges. It must be comma separat ed if it contain s more th an one regist er or reg-
ister range, see “Examples” on page 60.
LDM and LDMFD are synonyms for LDMIA. LDMFD refers to its use for popping data from Full
Descending stacks.
LDMEA is a synonym for LDMDB, and refers to its use for popping data from Empty Ascending
stacks.
STM and STMEA are synonyms for STMIA. STMEA refers to its use for pushing data onto
Empty Ascending stacks.
STMFD is s synonym for STMDB, and refers to its use for pushing data onto Full Descending
stacks
11.11.6.2 Operation LDM instructions load the register s in reglist with word values f rom memor y addresses base d on
Rn.
STM instructions store the word values in the registers in reglist to memory addresses based on
Rn.
For LDM, LDMIA, LDMFD, STM, STMIA, and STMEA the memo ry addresses used for the
accesses are at 4-byte in te rvals ra ngin g from Rn to Rn + 4 * (n-1), whe re n is t he n umb er o f reg-
isters in reglist. The accesses happens in order of increasing register numbers, with the lowest
numbered register using the lowest memory address and the highest number register using the
highest memory address. If the writeback suffix is specified, the value of Rn + 4 * (n-1) is written
back to Rn.
For LDMDB, LDMEA, STMDB, and STMFD the memory addre sses used f or t he a ccesses are at
4-byte intervals ranging from Rn to Rn - 4 * (n-1 ), where n is the number of registers in reglist.
60 6500D–ATARM–29-Feb-12
SAM3S
The accesses happen in or der of decrea sing regist er numbers, wit h the highest n umbered regis-
ter using the highest memory address and the lowest number register using the lowest memory
address. If the writeback suffix is specified, the value of Rn - 4 * (n-1) is written back to Rn.
The PUSH and POP in structions c an be expre ssed in this fo rm. See “PUSH and POP” on page
61 for details.
11.11.6.3 Restrictions In these instructions:
Rn must not be PC
reglist must no t contain SP
in any STM instruction, reglist must not contain PC
in any LDM instruction, reglist must not contain PC if it contains LR
reglist must not contain Rn if you specify the writeback suffix.
When PC is in reglist in an LDM instruction:
bit[0] of the value loaded to the PC must be 1 for correct execution, and a br anch occurs to
this halfword-aligned address
if the instruction is conditional, it must be the last instruction in the IT block.
11.11.6.4 Condition flags
These instructions do not change the flags.
11.11.6.5 Examples
LDM R8,{R0,R2,R9} ; LDMIA is a synonym for LDM
STMDB R1!,{R3-R6,R11,R12}
11.11.6.6 Incorrect examples
STM R5!,{R5,R4,R9} ; Value stored for R5 is unpredictable
LDM R2, {} ; There must be at least one register in the list
61
6500D–ATARM–29-Feb-12
SAM3S
11.11.7 PUSH and POP
Push registers onto, and pop registers off a full-descending stack.
11.11.7.1 Syntax PUSH{cond} reglist
POP{cond} reglist
where:
cond is an optional condition code, see “Conditional execution” on page 46.
reglist is a non-empty list of registers, enclosed in braces. It can contain register ranges.
It must be comma separated if it contains more than one register or register range.
PUSH and POP are synonyms for STMDB and LDM (or LDMIA) with the memory addresses for
the access based on SP, and with t he fi nal ad dress f or t he a ccess written back to th e SP. PUSH
and POP are the preferred mnemonics in these cases.
11.11.7.2 Operation PUSH stores registers on the st ack in o rder of d ecre asing th e r egi ster n umbers, with the highest
numbered register using the highest me mory address and the lowest numbered register using
the lowest memory address.
POP loads registers from th e stack in orde r of incr easing registe r numbers, with the lowest n um-
bered register using the lowest memory address and the highest numbered register using the
highest memory address.
See “LDM and STM” on page 59 for more information.
11.11.7.3 Restrictions In these instructions:
reglist must no t contain SP
for the PUSH instruction, reglist must not contain PC
for the POP instruction, reglist must not cont ain PC if it contains LR.
When PC is in reglist in a POP instruction:
bit[0] of the value loaded to the PC must be 1 for correct execution, and a br anch occurs to
this halfword-aligned address
if the instruction is conditional, it must be the last instruction in the IT block.
11.11.7.4 Condition flags
These instructions do not change the flags.
11.11.7.5 Examples
PUSH {R0,R4-R7}
PUSH {R2,LR}
POP {R0,R10,PC}
62 6500D–ATARM–29-Feb-12
SAM3S
11.11.8 LDREX and STREX
Load and Store Register Exclusive.
11.11.8.1 Syntax LDREX{cond} Rt, [Rn {, #offset}]
STREX{cond} Rd, Rt, [Rn {, #offset}]
LDREXB{cond} Rt, [Rn]
STREXB{cond} Rd, Rt, [Rn]
LDREXH{cond} Rt, [Rn]
STREXH{cond} Rd, Rt, [Rn]
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register for the returned status.
Rt is the register to load or store.
Rn is the register on which the memory address is based.
offset is an optional offset applied to the value in Rn.
If offset is omitted, the address is the value in Rn.
11.11.8.2 Operation LDREX, LDREXB, and LDREXH load a word, byte, and halfword respectively from a memory
address.
STREX, STREXB, and STREXH attempt to store a word, byte, and halfword respectively to a
memory address. The address used in any Store-Exclusive instruction must be the same as the
address in the most rece ntly executed Load-exclusive instr uction. The value stored by the Stor e-
Exclusive instruction must also have the same data size as the value loaded by the preceding
Load-exclusiv e instruction. This mean s software must always use a Loa d-exclusive instruction
and a matching Store-Exclusive instruct ion to perform a synchronization operation, see “Syn-
chronization primitives” on page 23
If an Store-Exclusive instruction performs the store, it writes 0 to its destination register. If it does
not perform the store, it writes 1 to its destination register. If the Store-Exclusive instruction
writes 0 to the destination register, it is guaranteed that no other process in the system has
accessed the memory location between the Load-exclusive and Store-Exclusive instructions.
For reasons of performance, keep the number of instructions between corresponding Load-
Exclusive and Store-Exclusive instruction to a minimum.
The result of executing a Store-Exclu sive instruction to an address that is different from that
used in the preceding Load-Exclusive instruction is unpredicta ble.
11.11.8.3 Restrictions In these instructions:
do not use PC
do not use SP for Rd and Rt
for STREX, Rd must be different from both Rt and Rn
the value of offset must be a multiple of four in the range 0-1020.
63
6500D–ATARM–29-Feb-12
SAM3S
11.11.8.4 Condition flags
These instructions do not change the flags.
11.11.8.5 Examples
MOV R1, #0x1 ; Initialize the ‘lock taken’ value
try LDREX R0, [LockAddr] ; Load the lock value
CMP R0, #0 ; Is the lock free?
ITT EQ ; IT instruction for STREXEQ and CMPEQ
STREXEQ R0, R1, [LockAddr] ; Try and claim the lock
CMPEQ R0, #0 ; Did this succeed?
BNE try ; No – try again
.... ; Yes – we have the lock
64 6500D–ATARM–29-Feb-12
SAM3S
11.11.9 CLREX Clear Exclusive.
11.11.9.1 Syntax CLREX{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.11.9.2 Operation Use CLREX to make the next STREX, STREXB, or STREXH instruction write 1 to its destination
register and fail to perform the store. It is useful in exception handler code to force the failure of
the store exclusive if the exception occurs between a load exclusive instruction and the match-
ing store exclusive instruction in a synchronization operation.
See “Synchronization primitives” on page 23 for more information.
11.11.9.3 Condition flags
These instructions do not change the flags.
11.11.9.4 Examples
CLREX
65
6500D–ATARM–29-Feb-12
SAM3S
11.12 General data processing instructions
Table 11-20 shows the data processing instructions:
Table 11-20. Data processing inst ructions
Mnemonic Brief description See
ADC Add with Carry “ADD, ADC, SUB, SBC, and RSB” on
page 67
ADD Add “ADD, ADC, SUB, SBC, and RSB” on
page 67
ADDW Add “ADD, ADC, SUB, SBC, and RSB” on
page 67
AND Logical AND “AND, ORR, EOR, BIC, and ORN” on
page 70
ASR Arithmetic Shift Right “ASR, LSL, LSR, ROR, and RRX” on page
72
BIC Bit Clear “AND, ORR, EOR, BIC, and ORN” on
page 70
CLZ Count leading zeros “CLZ” on page 74
CMN Compare Negative “CMP and CMN” on page 75
CMP Compare “CMP and CMN” on page 75
EOR Exclusive OR “AND, ORR, EOR, BIC, and ORN” on
page 70
LSL Logical Shift Left “ASR, LSL, LSR, ROR, and RRX” on page
72
LSR Logical Shift Right “ASR, LSL, LSR, ROR, and RRX” on page
72
MOV Move “MOV and MVN” on page 76
MOVT Move To p “MOVT” on page 78
MOVW Move 16-bit constant “MOV and MVN” on page 76
MVN Move NOT “MOV and MVN” on page 76
ORN Logical OR NOT “AND, ORR, EOR, BIC, and ORN” on
page 70
ORR Logical OR “AND, ORR, EOR, BIC, and ORN” on
page 70
RBIT Reverse Bits “REV, REV16, REVSH, and RBIT” on
page 79
REV Reverse byte order in a word “REV, REV16, REVSH, and RBIT” on
page 79
REV16 Reverse byte order in each halfword “REV, REV16, REVSH, and RBIT” on
page 79
REVSH Reverse b yte order in bottom halfword and
sign extend “REV, REV16, REVSH, and RBIT” on
page 79
ROR Rotate Right “ASR, LSL, LSR, ROR, and RRX” on page
72
66 6500D–ATARM–29-Feb-12
SAM3S
RRX Rotate Right with Extend “ASR, LSL, LSR, ROR, and RRX” on page
72
RSB Reverse Subtract “ADD, ADC, SUB, SBC, and RSB” on
page 67
SBC Subtract with Carry “ADD, ADC, SUB, SBC, and RSB” on
page 67
SUB Subtract “ADD, ADC, SUB, SBC, and RSB” on
page 67
SUBW Subtract “ADD, ADC, SUB, SBC, and RSB” on
page 67
TEQ Test Equivalence “TST and TEQ” on page 80
TST Test “TST and TEQ” on page 80
Table 11-20. Data processing inst ructions (Continued)
Mnemonic Brief description See
67
6500D–ATARM–29-Feb-12
SAM3S
11.12.1 ADD, ADC, SUB, SBC, and RSB
Add, Add with carry, Subtract, Subtract with carry, and Reverse Subtract.
11.12.1.1 Syntax op{S}{cond} {Rd,} Rn, Operand2
op{cond} {Rd,} Rn, #imm12 ; ADD and SUB only
where:
op is one of:
ADD Add.
A Add with Carry.
SUB Subtract.
SBC Subtract with Carry.
RSB Reverse Subtract.
S is an optional suffix. If S is specified, the condition code flags are updat ed on the
result of the opera tion , se e “Conditional execution” on page 46.
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination registe r. If Rd is omitted, the destinatio n re gis ter is Rn.
Rn is the register holding th e first operand.
Operand2 is a flexible second operand.
See “Flexible second operand” on page 42 for details of t he options.
imm12 is any valu e in th e ra ng e 0- 409 5.
11.12.1.2 Operation The ADD instruction adds the value of Operand2 or imm12 to the va lue in Rn.
The ADC instruction adds the values in Rn and Operand2, together with th e ca rry flag .
The SUB instruction subtracts the value of Operand2 or imm12 from the value in Rn.
The SBC instruction subtracts the value of Operand2 from the value in Rn. If the carry flag is
clear, the result is redu ce d by one .
The RSB instruction subtracts the value in Rn from the value of Operand2. This is useful
because of the wide range of options for Operand2.
Use ADC and SBC to synthesize mu ltiword arithmetic, see “M ultiword arithmetic examples” on
page 69.
See also “ADR” on page 50.
ADD W is equivalent to the ADD syntax that uses the imm12 operand. SUBW is equivalent to the
SUB syntax that us es the imm12 operand.
11.12.1.3 Restrictions In these instructions:
Operand2 must not be SP and must not be PC
68 6500D–ATARM–29-Feb-12
SAM3S
Rd can be SP only in ADD and SUB , and only with the additional restrictions:
Rn must also be SP
any shift in Operand2 must be limited to a maximum of 3 bits using LSL
Rn can be SP only in ADD and SUB
Rd can be PC only in the ADD{cond} PC, PC, Rm instruction where:
you must not specify the S suffix
Rm must not be PC and must not be SP
if the instruction is conditional, it must be the last instruction in the IT block
with the exception of the ADD{cond} PC, PC, Rm instruction, Rn can be PC only in ADD and
SUB, and only with the additional restrictions:
you must not specify the S suffix
the second operand must be a constant in the range 0 to 4095.
When using the PC for an addition or a subtraction, bits[1:0] of the PC are rounded
to b00 bef ore perf orming the calculation , making the base addr ess fo r the calculation
word-aligned.
I f yo u want to g enerate the address of an inst ruction, yo u hav e to ad just the constant
based on the value of the PC. ARM recommends that you use the ADR instruction
instead of ADD or SUB with Rn equal to the PC, because your assembler
automatically calculates the correct constant for the ADR instruction.
When Rd is PC in the ADD{cond} PC, PC, Rm instruction:
bit[0] of the value written to the PC is ignored
a branch occurs to the address created by forcing bit[0] of that value to 0.
11.12.1.4 Condition flags
If S is specified, these instructions update the N, Z, C and V fla gs according to the result.
11.12.1.5 Examples
ADD R2, R1, R3
SUBS R8, R6, #240 ; Sets the flags on the result
RSB R4, R4, #1280 ; Subtracts contents of R4 from 1280
ADCHI R11, R0, R3 ; Only executed if C flag set and Z
; flag clear
69
6500D–ATARM–29-Feb-12
SAM3S
11.12.1.6 Multiword arithmetic examples
11.12.1.7 64-bit addition
The example below shows two instructions that add a 64-bit integer contained in R2 and R3 to another 64-bit integer con-
tained in R0 and R1, and place the result in R4 and R5.
ADDS R4, R0, R2 ; add the least significant words
ADC R5, R1, R3 ; add the most significant words with carry
11.12.1.8 96-bit subtraction
Multiword values do not have to use consecutive registers. The example below shows instructions that subtract a 96-bit
integer contained in R9, R1, and R11 from another contained in R6, R2, and R8. The example stores the result in R6, R9,
and R2.
SUBS R6, R6, R9 ; subtract the least significant words
SBCS R9, R2, R1 ; subtract the middle words with carry
SBC R2, R8, R11 ; subtract the most significant words with carry
70 6500D–ATARM–29-Feb-12
SAM3S
11.12.2 AND, ORR, EOR, BIC, and ORN
Logical AND, OR, Exclusive OR, Bit Clear, and OR NO T.
11.12.2.1 Syntax op{S}{cond} {Rd,} Rn, Operand2
where:
op is one of:
AND logical AND.
ORR logical OR, or bit set.
EOR logical Exclusive OR.
BIC logical AND NOT, or bit clear.
ORN logical OR NOT.
S is an optional suffix. If S is specified, the condition code flags are updated on the
result of the opera tio n, se e “Conditional execution” on page 46.
cond is an optional condition code, see See “Conditional execution” on page 46..
Rd is the destination register.
Rn is the register holding th e first operand.
Operand2 is a flexible second operand. See “Flexible second operand” on page 42 for
details of the options.
11.12.2.2 Operation The AND, EOR, and ORR instructions perform bitwise AND, Exclusive OR, and OR operations
on the values in Rn and Operand2.
The BIC instruction performs an AND operation on the bits in Rn with the complements of the
corresponding bits in the value of Operand2.
The ORN instruction performs an OR operation on the bits in Rn with the complements of the
corresponding bits in the value of Operand2.
11.12.2.3 Restrictions Do not use SP and do not use PC.
11.12.2.4 Condition flags
If S is specified, thes e instructions:
update the N and Z flags according to the result
can update the C flag during the calculation of Operand2, see “Flexible second operand” on
page 42
do not affect the V flag.
71
6500D–ATARM–29-Feb-12
SAM3S
11.12.2.5 Examples
AND R9, R2, #0xFF00
ORREQ R2, R0, R5
ANDS R9, R8, #0x19
EORS R7, R11, #0x18181818
BIC R0, R1, #0xab
ORN R7, R11, R14, ROR #4
ORNS R7, R11, R14, ASR #32
72 6500D–ATARM–29-Feb-12
SAM3S
11.12.3 ASR, LSL, LSR, ROR, and RRX
Arithmetic Shift Right, Lo gical Shift Lef t, Logical Shift Righ t, Rotate Righ t, and Rotate Rig ht with
Extend.
11.12.3.1 Syntax op{S}{cond} Rd, Rm, Rs
op{S}{cond} Rd, Rm, #n
RRX{S}{cond} Rd, Rm
where:
op is one of:
ASR Arithmetic Shift Right.
LSL Logical Shift Left.
LSR Logical Shift Right.
ROR Rotate Right.
S is an optional suffix. If S is specified, the condition code flags are updated on the
result of the opera tio n, se e “Conditional execution” on page 46.
Rd is the destination register.
Rm is the register holding th e value to be shifted.
Rs is the register holding the shift length to apply to the value in Rm. Only the least
significant byte is used and can be in the range 0 to 255.
n is the shift length. The range of shift length depends on the instruction:
ASR shift length from 1 to 32
LSL shift length from 0 to 31
LSR shift length from 1 to 32
ROR shift length from 1 to 31.
MOV{S}{con d} Rd, Rm is the pr ef er re d syn ta x for LSL{ S}{cond} Rd, Rm, #0.
11.12.3.2 Operation ASR, LSL, LSR, and ROR move the bits in the register Rm to the left or right by the number of
places specified by constant n or register Rs.
RRX moves the bits in register Rm to the right by 1.
In all these instructions, the result is written to Rd, but the value in register Rm remains
unchanged. For details on what result is generated by the different instructions, see “Shift Oper-
ations” on page 43.
11.12.3.3 Restrictions Do not use SP and do not use PC.
11.12.3.4 Condition flags
If S is specified:
these instructions update the N and Z flags according to the result
73
6500D–ATARM–29-Feb-12
SAM3S
the C flag is updated to the last bit shifted out, except when the shift length is 0, see “Shift
Operations” on page 43.
11.12.3.5 Examples
ASR R7, R8, #9 ; Arithmetic shift right by 9 bits
LSLS R1, R2, #3 ; Logical shift left by 3 bits with flag update
LSR R4, R5, #6 ; Logical shift right by 6 bits
ROR R4, R5, R6 ; Rotate right by the value in the bottom byte of R6
RRX R4, R5 ; Rotate right with extend
74 6500D–ATARM–29-Feb-12
SAM3S
11.12.4 CLZ Count Lea din g Z er os .
11.12.4.1 Syntax CLZ{cond} Rd, Rm
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Rm is the operand register .
11.12.4.2 Operation The CLZ instruction counts the number of leading zeros in the value in Rm and returns the result
in Rd. The result value is 32 if no bits are set in the source register, and zero if bit[31] is set.
11.12.4.3 Restrictions Do not use SP and do not use PC.
11.12.4.4 Condition flags
This instruction does not change the flags.
11.12.4.5 Examples
CLZ R4,R9
CLZNE R2,R3
75
6500D–ATARM–29-Feb-12
SAM3S
11.12.5 CMP and CMN Compare and Compare Negative.
11.12.5.1 Syntax CMP{cond} Rn, Operand2
CMN{cond} Rn, Operand2
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rn is the register holding th e first operand.
Operand2 is a flexible second operand. See “Flexible second operand” on page 42 for
details of the options.
11.12.5.2 Operation These instructions compare the value in a register with Operand2. They update the condition
flags on the result, but do not write the result to a register.
The CMP instruction subtracts the value of Operand2 from the value in Rn. This is the same as
a SUBS instruction, except that the result is discarded.
The CMN instruction adds the value of Operand2 to the value in Rn. This is the same as an
ADDS instruction, except that the result is discarded.
11.12.5.3 Restrictions In these instructions:
do not use PC
Operand2 must not be SP.
11.12.5.4 Condition flags
These instructions update the N, Z, C and V flags according to the result.
11.12.5.5 Examples
CMP R2, R9
CMN R0, #6400
CMPGT SP, R7, LSL #2
76 6500D–ATARM–29-Feb-12
SAM3S
11.12.6 MOV and MVN Move and Move NOT .
11.12.6.1 Syntax MOV{S}{cond} Rd, Operand2
MOV{cond} Rd, #imm16
MVN{S}{cond} Rd, Operand2
where:
S is an optional suffix. If S is specified, the condition code flags are updated on the
result of the opera tio n, se e “Conditional execution” on page 46.
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Operand2 is a flexible second operand. See “Flexible second operand” on page 42 for
details of the options.
imm16 is any valu e in th e ra ng e 0- 655 35 .
11.12.6.2 Operation The MOV instruction copies the value of Operand2 into Rd.
When Operand2 in a MOV instruction is a register with a shift other than LSL #0, the preferred
syntax is the corresponding shift instruction:
ASR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, ASR #n
LSL{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, LSL #n if n!= 0
LSR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, LSR #n
ROR{S}{cond} Rd, Rm, #n is the preferred syntax for MOV{S}{cond} Rd, Rm, ROR #n
RRX{S}{cond} Rd, Rm is the preferred syntax for MOV{S}{cond} Rd, Rm, RRX.
Also, the MOV instruction permits additional forms of Operand2 as synonyms for shift
instructions:
MOV{S}{cond} Rd, Rm, ASR Rs is a synonym for ASR{S}{cond} Rd, Rm, Rs
MOV{S}{cond} Rd, Rm, LSL Rs is a synonym for LSL{S}{cond} Rd, Rm, Rs
MOV{S}{cond} Rd, Rm, LSR Rs is a synonym for LSR{S}{cond} Rd, Rm, Rs
MOV{S}{cond} Rd, Rm, ROR Rs is a synonym for ROR{S}{cond} Rd, Rm, Rs
See “ASR, LSL, LSR, ROR, and RRX” on page 72.
The MVN instruction takes the value of Operand2, performs a bitwise logical NOT operation on
the value, and places the result into Rd.
The MOVW instruction provides the same function as MOV, but is restricted to using the imm16
operand.
11.12.6.3 Restrictions You can use SP a nd PC only in the MOV instruction, with the following restrictions:
the second operand must be a register without shift
you must not specify the S suffix.
When Rd is PC in a MOV instruction:
77
6500D–ATARM–29-Feb-12
SAM3S
bit[0] of the value written to the PC is ignored
a branch occurs to the address created by forcing bit[0] of that value to 0.
Though it is possible to use MOV as a branch instruction, ARM strongly recommends the use of
a BX or BLX instruction to branch for software portability to the ARM instruction set.
11.12.6.4 Condition flags
If S is specified, thes e instructions:
update the N and Z flags according to the result
can update the C flag during the calculation of Operand2, see “Flexible second operand” on
page 42
do not affect the V flag.
11.12.6.5 Example
MOVS R11, #0x000B ; Write value of 0x000B to R11, flags get updated
MOV R1, #0xFA05 ; Write value of 0xFA05 to R1, flags are not updated
MOVS R10, R12 ; Write value in R12 to R10, flags get updated
MOV R3, #23 ; Write value of 23 to R3
MOV R8, SP ; Write value of stack pointer to R8
MVNS R2, #0xF ; Write value of 0xFFFFFFF0 (bitwise inverse of 0xF)
; to the R2 and update flags
78 6500D–ATARM–29-Feb-12
SAM3S
11.12.7 MOVT Move Top.
11.12.7.1 Syntax MOVT{cond} Rd, #imm16
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
imm16 is a 16-bit immediate constant.
11.12.7.2 Operation MOVT writes a 16-bit immediate value, imm16, to the top halfword, Rd[31:16], of its de stination
register. The write does not affect Rd[15:0].
The MOV, MOVT instruction pair enables you to generate any 32-bit constant.
11.12.7.3 Restrictions Rd must not be SP and must not be PC.
11.12.7.4 Condition flags
This instruction does not change the flags.
11.12.7.5 Examples
MOVT R3, #0xF123 ; Write 0xF123 to upper halfword of R3, lower halfword
; and APSR are unchanged
79
6500D–ATARM–29-Feb-12
SAM3S
11.12.8 REV, REV16, REVSH, and RBIT
Reverse bytes and Reverse bi ts.
11.12.8.1 Syntax op{cond} Rd, Rn
where:
op is any of:
REV
Reverse byte orde r in a w or d.
REV16
Reverse byte order in each halfword independently.
REVSH
Reverse byte order in the bottom halfword, and sign extend to 32 bits .
RBIT
Reverse the bit ord er in a 32-bit word.
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Rn is the register holding th e operand.
11.12.8.2 Operation Use these instructions to change endianness of data:
REV
converts 32-bit big-endian data into little-endian data or 32-bit little-endian data
into big-end ian data.
REV16
converts 16-bit big-endian data into little-endian data or 16-bit little-endian data
into big-end ian data.
REVSH
converts eithe r:
16-bit signed big-endian data into 32-bit signed little-endian data
16-bit signed little-endian data into 32-bit signed big-endian data.
11.12.8.3 Restrictions Do not use SP and do not use PC
.
11.12.8.4 Condition flags
These instructions do not change the flags.
11.12.8.5 Examples
REV R3, R7 ; Reverse byte order of value in R7 and write it to R3
REV16 R0, R0 ; Reverse byte order of each 16-bit halfword in R0
REVSH R0, R5 ; Reverse Signed Halfword
REVHS R3, R7 ; Reverse with Higher or Same condition
RBIT R7, R8 ; Reverse bit order of value in R8 and write the result to R7
80 6500D–ATARM–29-Feb-12
SAM3S
11.12.9 TST and TEQ Test bits and Test Equivalence.
11.12.9.1 Syntax TST{cond} Rn, Operand2
TEQ{cond} Rn, Operand2
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rn is the register holding th e first operand.
Operand2 is a flexible second operand. See “Flexible second operand” on page 42 for
details of the options.
11.12.9.2 Operation These instructions test the value in a register a gainst Operand2. They update the condition flags
based on the result, but do not write the result to a register.
The TST instruction performs a bitwise AND operation on the value in Rn and the value of
Operand2. This is the same as the ANDS instruction, except that it discards the result.
To test whethe r a bi t of Rn is 0 or 1, use the TST instruction with an Operand2 constant that has
that bit set t o 1 and all other bits cleared to 0.
The TEQ instruction pe rforms a bitwise Exclusive OR operation on the value in Rn and the value
of Operand2. This is the same as the EORS instruction, except that it discards the result.
Use the TEQ instruction to test if two values are equal without affecting the V or C flags.
TEQ is also useful for testing the sign of a value. After the comparison, the N flag is the logical
Exclusive OR of the sign bits of the two operands.
11.12.9.3 Restrictions Do not use SP and do not use PC
.
11.12.9.4 Condition flags
These instructions:
update the N and Z flags according to the result
can update the C flag during the calculation of Operand2, see “Flexible second operand” on
page 42
do not affect the V flag.
11.12.9.5 Examples
TST R0, #0x3F8 ; Perform bitwise AND of R0 value to 0x3F8,
; APSR is updated but result is discarded
TEQEQ R10, R9 ; Conditionally test if value in R10 is equal to
; value in R9, APSR is updated but result is discarded
81
6500D–ATARM–29-Feb-12
SAM3S
11.13 Multiply and divide instructions
Table 11-21 shows the multiply and divide instructions:
Table 11-21. Multiply and divide instructions
Mnemonic Brief description See
MLA Multiply with Accumulate, 32-bit result “MUL, MLA, and MLS” on page 82
MLS Multiply and Subtract, 32-bit result “MUL, MLA, and MLS” on page 82
MUL Multiply, 32-bit result “MUL, MLA, an d MLS” on page 82
SDIV Signed Divide “SDIV and UDIV” on page 84
SMLAL Signed Multiply with Accumulate
(32x32+64), 64-bit result “UMULL, UMLAL, SMULL, and SMLAL” on
page 83
SMULL Signed Multiply (32x32), 64-bit result “UMULL, UMLAL, SMULL, and SMLAL” on
page 83
UDIV Unsigned Divide “SDIV and UDIV” on page 84
UMLAL Unsigned Multiply with Accumulate
(32x32+64), 64-bit result “UMULL, UMLAL, SMULL, and SMLAL” on
page 83
UMULL Unsigned Multiply (32x32), 64-bit
result “UMULL, UMLAL, SMULL, and SMLAL” on
page 83
82 6500D–ATARM–29-Feb-12
SAM3S
11.13.1 MUL, MLA, and MLS
Multiply, Multiply with Accumulate, and Multiply with Subtract, using 32-bit operands, and pro-
ducing a 32-bit result.
11.13.1.1 Syntax MUL{S}{cond} {Rd,} Rn, Rm ; Multiply
MLA{cond} Rd, Rn, Rm, Ra ; Multiply with accumulate
MLS{cond} Rd, Rn, Rm, Ra ; Multiply with subtract
where:
cond is an optional condition code, see “Conditional execution” on page 46.
S is an optional suffix. If S is specified, the condition code flags are updated on the
result of the opera tio n, se e “Conditional execution” on page 46.
Rd is the destination registe r. If Rd is omitted, the destinatio n re gis ter is Rn.
Rn, Rm are registers holding the values to be multiplied.
Ra is a register holding the value to be added or subtracted from.
11.13.1.2 Operation The MUL instruction multiplies the values from Rn and Rm, and places the least significant 32
bits of the result in Rd.
The MLA instruction multiplies the values from Rn and Rm, adds the value from Ra, and places
the least significant 32 bits of the result in Rd.
The MLS instruct ion mu ltiplies the values from Rn and Rm, subtracts the product from the value
from Ra, and places the least significant 32 bits of the result in Rd.
The results of these instructions do not depend on whether the operands are signed or
unsigned.
11.13.1.3 Restrictions In these instructions, do not use SP and do not use PC.
If you use the S suffix with the MUL instruction:
Rd, Rn, and Rm must all be in the range R0 to R7
Rd must be the same as Rm
you must not use the cond suffix.
11.13.1.4 Condition flags
If S is specified, the MUL instruction :
updates the N and Z flags according to the result
does not affect the C and V flags.
11.13.1.5 Examples
MUL R10, R2, R5 ; Multiply, R10 = R2 x R5
MLA R10, R2, R1, R5 ; Multiply with accumulate, R10 = (R2 x R1) + R5
MULS R0, R2, R2 ; Multiply with flag update, R0 = R2 x R2
MULLT R2, R3, R2 ; Conditionally multiply, R2 = R3 x R2
MLS R4, R5, R6, R7 ; Multiply with subtract, R4 = R7 - (R5 x R6)
83
6500D–ATARM–29-Feb-12
SAM3S
11.13.2 UMULL, UMLAL, SMULL, and SMLAL
Signed and Unsigned Long Multiply, with optional Accu mulate, using 32-bit op erands and pro-
ducing a 64-bit result.
11.13.2.1 Syntax op{cond} RdLo, RdHi, Rn, Rm
where:
op is one of:
UMULL Unsigned Long Multiply.
UMLAL Unsigned Long Multiply, with Accumulate.
SMULL Signed Long Multiply.
SMLAL Signed Long Multiply, with Accumulate.
cond is an optional condition code, see “Conditional execution” on page 46.
RdHi, RdLo are the destina tio n re gisters.
For UMLAL and SMLAL they also hold the accumulating value.
Rn, Rm are registers holding the operands.
11.13.2.2 Operation The UMULL instruction interprets the values from Rn and Rm as unsigned integers. It multiplies
these integers and places the least significant 32 bits of the result in RdLo, and the most signifi-
cant 32 bits of the result in RdHi.
The UMLAL instruction interprets the values from Rn and Rm as unsigned integers. It multiplies
these integers, adds the 64-bit result to the 64-bit unsigned integer contained in RdHi and RdLo,
and writes the result back to RdHi and RdLo.
The SMULL instruction interprets the values from Rn and Rm as two’s complement signed inte-
gers. It multiplies the se integers and pl aces the least significant 32 bits of the result in RdLo, and
the most significant 32 bits of the result in RdHi.
The SMLAL instruction interprets the values from Rn and Rm as two’s complement signed inte-
gers. It multiplies these integers, adds the 64-bit result to the 64-bit signed integer contained in
RdHi and RdLo, and writes the result back to RdHi and RdLo.
11.13.2.3 Restrictions In these instructions:
do not use SP and do not use PC
RdHi and RdLo must be different registers.
11.13.2.4 Condition flags
These instructions do not affect the condition code flags.
11.13.2.5 Examples
UMULL R0, R4, R5, R6 ; Unsigned (R4,R0) = R5 x R6
SMLAL R4, R5, R3, R8 ; Signed (R5,R4) = (R5,R4) + R3 x R8
84 6500D–ATARM–29-Feb-12
SAM3S
11.13.3 SDIV and UDIVSign ed Divide and Unsigned Divide.
11.13.3.1 Syntax SDIV{cond} {Rd,} Rn, Rm
UDIV{cond} {Rd,} Rn, Rm
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination registe r. If Rd is omitted, the destinatio n re gis ter is Rn.
Rn is the register holding the value to be divided.
Rm is a register holding the divisor.
11.13.3.2 Operation SDIV performs a signed integer division of the value in Rn by the value in Rm.
UDIV performs an unsigned integer division of the value in Rn by the value in Rm.
For both instructions, if the value in Rn is not divisible by the value in Rm, the result is rounded
towards zero.
11.13.3.3 Restrictions Do not use SP and do not use PC
.
11.13.3.4 Condition flags
These instructions do not change the flags.
11.13.3.5 Examples
SDIV R0, R2, R4 ; Signed divide, R0 = R2/R4
UDIV R8, R8, R1 ; Unsigned divide, R8 = R8/R1
85
6500D–ATARM–29-Feb-12
SAM3S
11.14 Saturating instructions
This section describes the saturating instructions, SSAT and USAT.
11.14.1 SSAT and USAT
Signed Saturate and Unsigned Saturate to any bit position, with optional shift before saturating.
11.14.1.1 Syntax op{cond} Rd, #n, Rm {, shift #s}
where:
op is one of:
SSAT Saturates a signed value to a signed range.
USAT Saturates a signed value to an unsigned range.
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
n specifies the bit position to saturate to:
n ranges from 1 to 32 for SSAT
n ranges from 0 to 31 for USAT.
Rm is the register containing the value to saturate.
shift #s is an optional shift applied to Rm before saturating. It must be one of the following:
ASR #s where s is in the range 1 to 31
LSL #s where s is in the range 0 to 31.
11.14.1.2 Operation These instructions saturate to a signed or unsigned n-bit value.
The SSAT instruction applies the specified shift, then saturates to the signed range 2n
1x2n–11.
The USAT instruction applies the specified shift, then saturates to the unsigned range
0x2n1.
For signed n-bit saturation using SSAT, this means that:
if the value to be saturated is less than 2n1, the result returne d is 2n-1
if the value to be saturated is greater than 2n11, the result returned is 2n-11
otherwise, the result returned is the same as the value to be saturated.
For unsigned n-bit saturation using USAT, this means that:
if the value to be saturated is less than 0, the result returned is 0
if the value to be saturated is greater than 2n1, the result returned is 2n1
otherwise, the result returned is the same as the value to be saturated.
If the returned result is different from the value to be saturated, it is called saturation. If satura-
tion occurs, the instruction sets the Q flag to 1 in the APSR. Otherwise, it leaves the Q flag
unchanged. To clear the Q flag to 0, you must use th e MSR instruction, see “MSR” on page 106.
To read the state of the Q fla g, use th e MRS instruction, se e “MRS” on page 105.
86 6500D–ATARM–29-Feb-12
SAM3S
11.14.1.3 Restrictions Do not use SP and do not use PC
.
11.14.1.4 Condition flags
These instructions do not affect the condition code flags.
If saturation oc cu rs, thes e ins tru ctions set the Q flag to 1.
11.14.1.5 Examples
SSAT R7, #16, R7, LSL #4 ; Logical shift left value in R7 by 4, then
; saturate it as a signed 16-bit value and
; write it back to R7
USATNE R0, #7, R5 ; Conditionally saturate value in R5 as an
; unsigned 7 bit value and write it to R0
87
6500D–ATARM–29-Feb-12
SAM3S
11.15 Bitfield instructions
Table 11-22 shows the instructions that operate on adjacent sets of bits in registers or bitfields:
Table 11-22. Packing and unpacking instructions
Mnemonic Brief description See
BFC Bit Field Clear “BFC and BFI” on page 88
BFI Bit Field Insert “BFC and BFI” on page 88
SBFX Signed Bit Field Extract “SBFX and UBFX” on page 89
SXTB Sign e x te n d a byte “SXT and UXT” on page 90
SXTH Sign extend a halfword “SXT and UXT” on page 90
UBFX Unsigned Bit Field Extract “SBFX and UBFX” on page 89
UXTB Zero e xtend a byte “SXT and UXT” on page 90
UXTH Zero e x te nd a ha l fword “SXT and UXT” on page 90
88 6500D–ATARM–29-Feb-12
SAM3S
11.15.1 BFC and BFI Bit Field Clear and Bit Field Insert.
11.15.1.1 Syntax BFC{cond} Rd, #lsb, #width
BFI{cond} Rd, Rn, #lsb, #width
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Rn is the so urce register.
lsb is the position of the least significant bit of the bitfield.
lsb must be in the range 0 to 31.
width is the width of the bitfield and must be in the range 1 to 32lsb.
11.15.1.2 Operation BFC clears a bitfield in a register. It clears width bits in Rd, starting at the low bit position lsb.
Other bits in Rd ar e unchanged .
BFI copies a bitfield into one regist er from another register. It replaces width bits in Rd starting at
the low bit position lsb, with width bits from Rn starting at bit[0]. Other bits in Rd are unchanged.
11.15.1.3 Restrictions Do not use SP and do not use PC.
11.15.1.4 Condition flags
These instructions do not affect the flags.
11.15.1.5 Examples
BFC R4, #8, #12 ; Clear bit 8 to bit 19 (12 bits) of R4 to 0
BFI R9, R2, #8, #12 ; Replace bit 8 to bit 19 (12 bits) of R9 with
; bit 0 to bit 11 from R2
89
6500D–ATARM–29-Feb-12
SAM3S
11.15.2 SBFX and UBFX
Signed Bit Field Extract and Unsigned Bit Field Extract.
11.15.2.1 Syntax SBFX{cond} Rd, Rn, #lsb, #width
UBFX{cond} Rd, Rn, #lsb, #width
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Rn is the so urce register.
lsb is the position of the least significant bit of the bitfield.
lsb must be in the range 0 to 31.
width is the width of the bitfield and must be in the range 1 to 32lsb.
11.15.2.2 Operation SBFX extracts a bitfield from one register, sign extends it to 32 bits, and writes the result to the
destination register.
UBFX extracts a bitfield from one register, zero extends it to 32 bits, and writes the result to the
destination register.
11.15.2.3 Restrictions Do not use SP and do not use PC
.
11.15.2.4 Condition flags
These instructions do not affect the flags.
11.15.2.5 Examples
SBFX R0, R1, #20, #4 ; Extract bit 20 to bit 23 (4 bits) from R1 and sign
; extend to 32 bits and then write the result to R0.
UBFX R8, R11, #9, #10 ; Extract bit 9 to bit 18 (10 bits) from R11 and zero
; extend to 32 bits and then write the result to R8
90 6500D–ATARM–29-Feb-12
SAM3S
11.15.3 SXT and UXT Sign extend and Zero ext end.
11.15.3.1 Syntax SXTextend{cond} {Rd,} Rm {, ROR #n}
UXTextend{cond} {Rd}, Rm {, ROR #n}
where:
extend is one of:
B Extends an 8-bi t value to a 32-bit value.
H Extends a 16-bit value to a 32-bit value.
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
Rm is the register holding th e value to extend.
ROR #nis one of:
ROR #8 Value from Rm is rotated right 8 bits.
ROR #16 Value from Rm is rotated right 16 bits.
ROR #24 Value from Rm is rotated right 24 bits.
If ROR #n is omitted, no rotation is performed.
11.15.3.2 Operation These instructions do the following:
Rotate the value from
Rm
right by 0, 8, 16 or 24 bits.
Extract bits fr om the resulting value:
SXTB extracts bits[7:0] and sign extends to 32 bits.
UXTB extracts bits[7:0] and zero extends to 32 bits.
SXTH extracts bits[15:0] and sign extends to 32 bits.
UXTH extracts bits[15:0] and zero extends to 32 bits.
11.15.3.3 Restrictions Do not use SP and do not use PC.
11.15.3.4 Condition flags
These instructions do not affect the flags.
11.15.3.5 Examples
SXTH R4, R6, ROR #16 ; Rotate R6 right by 16 bits, then obtain the lower
; halfword of the result and then sign extend to
; 32 bits and write the result to R4.
UXTB R3, R10 ; Extract lowest byte of the value in R10 and zero
; extend it, and write the result to R3
91
6500D–ATARM–29-Feb-12
SAM3S
11.16 Branch and control instructions
Table 11-23 shows the branch and cont rol instructions:
Table 11-23. Branch and control instructions
Mnemonic Brief description See
BBranch “B, BL, BX, and BLX” on page 92
BL Branch with Link “B, BL, BX, and BLX” on page 92
BLX Branch indirect with Link “B, BL, BX, and BLX” on page 92
BX Branch indirect “B, BL, BX, and BLX” on page 92
CBNZ Compare and Branch if Non Zero “CBZ and CBNZ” on page 94
CBZ Compare and Branch if Non Zero “CBZ and CBNZ” on page 94
IT If-Then “IT” on page 95
TBB Table Branch Byte “TBB and TBH” on page 97
TBH Table Branch Halfword “TBB and TBH” on page 97
92 6500D–ATARM–29-Feb-12
SAM3S
11.16.1 B, BL, BX, and BLX
Branch instructions.
11.16.1.1 Syntax B{cond} label
BL{cond} label
BX{cond} Rm
BLX{cond} Rm
where:
B is branch (immediate).
BL is branch with link (immediate).
BX is branch indirect (register).
BLX is branch indirect with link (register).
cond is an optional condition code, see “Conditional execution” on page 46.
label is a PC-relative expression. See “PC-relative expression s” on pa g e 46.
Rm is a register that indicates an address to branch to. Bit[0] of the value in Rm must
be 1, but the address to branch to is created by changing bit[0] to 0.
11.16.1.2 Operation All these instructions cause a branch to label, or to the address indicated in Rm. In addition:
The BL and BLX instructions write the address of the next instruction to LR (the link register,
R14).
The BX and BLX instructions cause a UsageFault exception if bit[0] of Rm is 0.
Bcond label is the only conditional instru ction that can be either inside or outside an IT block. All
other branch instructions must be conditional inside an IT block, and must be unconditional out-
side the IT block, see “IT” on page 95.
Table 11-24 shows the ranges for the various br an ch inst ru ctio ns.
You might have to use the .W suffix to get the maximum branch rang e. See “Instruction width
selection” on page 48.
11.16.1.3 Restrictions The restrictions are:
Table 11-24. Branch ranges
Instruction Branch range
B label 16 MB to +16 MB
B
cond
label
(outside IT block) 1 MB to +1 MB
B
cond
label
(inside IT block) 16 MB to +16 MB
BL{cond} label 16 MB to +16 MB
BX{cond} Rm Any value in register
BLX{cond} Rm Any value in register
93
6500D–ATARM–29-Feb-12
SAM3S
do not use PC in the BLX instruction
f or BX and BLX, bit [0] of Rm must be 1 for correct e x ecut ion bu t a branch occur s to the target
address created by changing bit[0] to 0
when any of these instructions is inside an IT block, it must be the last instruction of the IT
block.
Bcond is the only conditional instruction that is n o t re qu ire d t o be ins ide an IT block. However, it
has a longer branch range when it is inside an IT block.
11.16.1.4 Condition flags
These instructions do not change the flags.
11.16.1.5 Examples
B loopA ; Branch to loopA
BLE ng ; Conditionally branch to label ng
B.W target ; Branch to target within 16MB range
BEQ target ; Conditionally branch to target
BEQ.W target ; Conditionally branch to target within 1MB
BL funC ; Branch with link (Call) to function funC, return address
; stored in LR
BX LR ; Return from function call
BXNE R0 ; Conditionally branch to address stored in R0
BLX R0 ; Branch with link and exchange (Call) to a address stored
; in R0
94 6500D–ATARM–29-Feb-12
SAM3S
11.16.2 CBZ and CBNZCompare and Branch on Zero, Compare and Branch on Non-Zero.
11.16.2.1 Syntax CBZ Rn, label
CBNZ Rn, label
where:
Rn is the register holding th e operand.
label is the branch destination.
11.16.2.2 Operation Use th e CB Z or CBN Z instruc tions to avoid chan ging the cond ition co de fla gs an d to redu ce th e
number of instruction s.
CBZ Rn, label does not chang e condition flags but is otherwise equivalent to:
CMP Rn, #0
BEQ label
CBNZ Rn, label does not change condition flags but is otherwise equivalent to:
CMP Rn, #0
BNE label
11.16.2.3 Restrictions The restrictions are:
Rn must be in the range of R0 to R7
the branch destination must be within 4 to 130 bytes after the in struction
these instructions must not be used inside an IT block.
11.16.2.4 Condition flags
These instructions do not change the flags.
11.16.2.5 Examples
CBZ R5, target ; Forward branch if R5 is zero
CBNZ R0, target ; Forward branch if R0 is not zero
95
6500D–ATARM–29-Feb-12
SAM3S
11.16.3 IT If-Then condition instruction.
11.16.3.1 Syntax IT{x{y{z}}} cond
where:
x specifies the condition swit ch for the second instruction in the IT block.
y specifies the condition swit ch for the third instruction in the IT block.
z specifies the condition swit ch for the fourth instruction in the IT block.
cond specifies the condition for the first instruction in the IT block.
The condition switch for the second, third and fourth instruction in the IT block can be either:
T Then. Applies the condition cond to the instruction.
E Else. Applies the inverse condition of cond to the instruction.
It is possible to use AL (the always condition) for cond in an IT instruction. If this is done, all of
the instructions in the IT block must be unconditional, and ea ch of x, y, and z must be T or omit-
ted but not E.
11.16.3.2 Operation The IT instruction makes up to four following instructio ns conditional. The co nditions can be all
the same, or some of them can be the logical inverse of the others. The conditional instructions
following the IT instruction form the IT block.
The instructio ns in th e IT block, in clud ing any branche s, must spe cify th e c on d ition in th e {cond}
part of their syntax.
Your assembler might be ab le to generate the required IT instructions f or conditional in structions
automatically, so that you do n ot need to write them yourself. See your assembler do cumenta-
tion for details.
A BKPT instruction in an IT block is always executed, even if its condition fails.
Exceptions can be taken between an IT instruction and the corresponding IT bloc k, or within an
IT block. Such an exception results in entry to the appropriate exception handler, with suitable
return informa tio n in LR an d stack ed PSR.
Instructions designed for use for exception returns can be used as normal to return from the
exception, and execution of the IT block resumes correctly. This is the only way that a PC-modi-
fying instruction is permitted to branch to an instructio n in an IT block.
11.16.3.3 Restrictions The following instructions are not permitted in an IT block:
•IT
CBZ and CBNZ
CPSID and CPSIE.
Other restrictions when using an IT block are:
96 6500D–ATARM–29-Feb-12
SAM3S
a branch or an y instruction that modifies the PC must eit her be outside an IT b lock or must be
the last instruction inside the IT block. These are:
ADD PC, PC, Rm
MOV PC , Rm
B, BL, BX, BLX
any LDM, LDR, or POP instruction that writes to the PC
TBB and TBH
do not branch to any instruction inside an IT block, except when returning from an exception
handler
all conditional instructions except Bcond must be inside an IT block. Bcond can be either
outside or inside an IT block but has a larger branch range if it is inside one
each instruction inside the IT block must specify a condition code suffix that is either the
same or logical inverse as for the other instructions in the block.
Your assembler might place extra restrictions on the use of IT blocks, such as prohibiting the
use of assembler directives within them.
11.16.3.4 Condition flags
This instruction does not change the flags.
11.16.3.5 Example
ITTE NE ; Next 3 instructions are conditional
ANDNE R0, R0, R1 ; ANDNE does not update condition flags
ADDSNE R2, R2, #1 ; ADDSNE updates condition flags
MOVEQ R2, R3 ; Conditional move
CMP R0, #9 ; Convert R0 hex value (0 to 15) into ASCII
; ('0'-'9', 'A'-'F')
ITE GT ; Next 2 instructions are conditional
ADDGT R1, R0, #55 ; Convert 0xA -> 'A'
ADDLE R1, R0, #48 ; Convert 0x0 -> '0'
IT GT ; IT block with only one conditional instruction
ADDGT R1, R1, #1 ; Increment R1 conditionally
ITTEE EQ ; Next 4 instructions are conditional
MOVEQ R0, R1 ; Conditional move
ADDEQ R2, R2, #10 ; Conditional add
ANDNE R3, R3, #1 ; Conditional AND
BNE.W dloop ; Branch instruction can only be used in the last
; instruction of an IT block
IT NE ; Next instruction is conditional
ADD R0, R0, R1 ; Syntax error: no condition code used in IT block
97
6500D–ATARM–29-Feb-12
SAM3S
11.16.4 TBB and TBH Table Branch Byte and Table Branch Halfword.
11.16.4.1 Syntax TBB [Rn, Rm]
TBH [Rn, Rm, LSL #1]
where:
Rn is the register containing the address of the table of branch lengths. If Rn is PC,
then the address of the table is the address of the byte immediately following the TBB or TBH
instruction.
Rm is the index register. This contains an index into the table. For halfword tables,
LSL #1 doubles the value in Rm to form the right offset into the table.
11.16.4.2 Operation Thes e instructions cause a PC-relat ive forward branch using a table of single byte offsets for
TBB, or halfword offsets for TBH. Rn provides a pointer to the table, and Rm supplies an index
into the table. For TBB the branch offset is twice the unsigned value of the byte returned from
the table. and for TBH the branch offset is twice the unsigned value of the halfword returned
from the table. The branch occurs to the address at that offset from the address of the byte
immediately after the TBB or TBH instruction.
11.16.4.3 Restrictions The restrictions are:
Rn must not be SP
Rm must not be SP and must not be PC
when an y of these instructions is used inside an IT block, it m u st be the last inst ruction of the
IT block.
11.16.4.4 Condition flags
These instructions do not change the flags.
98 6500D–ATARM–29-Feb-12
SAM3S
11.16.4.5 Examples
ADR.W R0, BranchTable_Byte
TBB [R0, R1] ; R1 is the index, R0 is the base address of the
; branch table
Case1
; an instruction sequence follows
Case2
; an instruction sequence follows
Case3
; an instruction sequence follows
BranchTable_Byte
DCB 0 ; Case1 offset calculation
DCB ((Case2-Case1)/2) ; Case2 offset calculation
DCB ((Case3-Case1)/2) ; Case3 offset calculation
TBH [PC, R1, LSL #1] ; R1 is the index, PC is used as base of the
; branch table
BranchTable_H
DCI ((CaseA - BranchTable_H)/2) ; CaseA offset calculation
DCI ((CaseB - BranchTable_H)/2) ; CaseB offset calculation
DCI ((CaseC - BranchTable_H)/2) ; CaseC offset calculation
CaseA
; an instruction sequence follows
CaseB
; an instruction sequence follows
CaseC
; an instruction sequence follows
99
6500D–ATARM–29-Feb-12
SAM3S
11.17 Miscellaneous instructions
Table 11-25 shows the remaining Cortex-M3 instructions:
Table 11-25. Miscellaneous instructions
Mnemonic Brief description See
BKPT Breakpoint “BKPT” on page 100
CPSID Change Processor State, Disable
Interrupts “CPS” on page 101
CPSIE Change Processor State, Enable
Interrupts “CPS” on page 101
DMB Data Memory Barrier “DMB” on page 102
DSB Data Synchronization Barrier “DSB” on page 103
ISB Instruction Synchronization Barrier “ISB” on page 104
MRS Move from special register to register “MRS” on page 105
MSR Move from register to special register “MSR” on page 106
NOP No Operation “NOP” on page 107
SEV Send Event “SEV” on page 108
SVC Super visor Call “SVC” on page 109
WFE Wait For Event “WFE” on page 110
WFI Wait For Interrupt “WFI” on page 111
100 6500D–ATARM–29-Feb-12
SAM3S
11.17.1 BKPT Breakpoint.
11.17.1.1 Syntax BKPT #imm
where:
imm is an expression evaluatin g to an integer in the range 0-255 (8-bit value).
11.17.1.2 Operation The BKPT instruction causes the processor to enter Debug state. Debug tools can use this to
investigate system state when the instruction at a particular address is reached.
imm is ignored by the processor. If required, a debugger can use it to store additional info rma-
tion about the breakpoint.
The BKPT instruction can be placed inside an IT block, but it executes unconditionally, unaf-
fected by the condition specified by the IT instruction.
11.17.1.3 Condition flags
This instruction does not change the flags.
11.17.1.4 Examples
BKPT 0xAB ; Breakpoint with immediate value set to 0xAB (debugger can
; extract the immediate value by locating it using the PC)
101
6500D–ATARM–29-Feb-12
SAM3S
11.17.2 CPS Change Processor State.
11.17.2.1 Syntax CPSeffect iflags
where:
effect is one of:
IE Clears the special purpose register.
ID Sets the special purpose register.
iflags is a sequence of one or more flags:
i Set or clear PRIMASK.
f Set or clear FAULTMASK.
11.17.2.2 Operation CPS changes the PRIMASK and FAULTMASK special register values. See “Exception mask
registers” on page 10 for more information about these registers.
11.17.2.3 Restrictions The restrictions are:
use CPS only from privileged software, it has no effect if used in unprivileged software
CPS cannot be conditional and so must not be used inside an IT block.
11.17.2.4 Condition flags
This instruction does not change the condition flags.
11.17.2.5 Examples
CPSID i ; Disable interrupts and configurable fault handlers (set PRIMASK)
CPSID f ; Disable interrupts and all fault handlers (set FAULTMASK)
CPSIE i ; Enable interrupts and configurable fault handlers (clear PRIMASK)
CPSIE f ; Enable interrupts and fault handlers (clear FAULTMASK)
102 6500D–ATARM–29-Feb-12
SAM3S
11.17.3 DMB Data Memory Barrier.
11.17.3.1 Syntax DMB{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.3.2 Operation DMB acts as a data memory barrier. It ensures that all explicit memory accesses that appear, in
program order, before the DMB instruction are completed before any explicit memory accesses
that appear, in program order, after the DMB instruction. DMB does not affect the or dering or
execution of instructions th at do not access memory.
11.17.3.3 Condition flags
This instruction does not change the flags.
11.17.3.4 Examples
DMB ; Data Memory Barrier
103
6500D–ATARM–29-Feb-12
SAM3S
11.17.4 DSB Data Synchronization Barrier.
11.17.4.1 Syntax DSB{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.4.2 Operation DSB acts as a special data synchronization memory barrier. Instruction s that come after the
DSB, in program order, do not execute until the DSB instruction completes. The DSB instruction
completes when all explicit memory accesses before it complete.
11.17.4.3 Condition flags
This instruction does not change the flags.
11.17.4.4 Examples
DSB ; Data Synchronisation Barrier
104 6500D–ATARM–29-Feb-12
SAM3S
11.17.5 ISB Instruction Synchronization Barrier.
11.17.5.1 Syntax ISB{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.5.2 Operation ISB acts as an instruction synchroniza tion barrier . It flushe s the pip eline of the pr ocessor, so that
all instructions following the ISB are fetched from memory again, after the ISB instructio n has
been completed.
11.17.5.3 Condition flags
This instruction does not change the flags.
11.17.5.4 Examples
ISB ; Instruction Synchronisation Barrier
105
6500D–ATARM–29-Feb-12
SAM3S
11.17.6 MRS Move the contents of a special register to a general-purpose register.
11.17.6.1 Syntax MRS{cond} Rd, spec_reg
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rd is the destination register.
spec_reg can be any of: APSR, IPSR, EPSR, IEPSR, IAPSR, EAPSR, PSR, MSP, PSP,
PRIMASK, BASEPRI, BASEPRI_MAX, FAULTMASK, or CONTROL.
11.17.6.2 Operation Use MRS in combination with MSR as part of a read-modify-write sequ en ce for up dating a PSR,
for example to clear the Q flag.
In process swap code, the programmers model state of the process being swapped out must be
saved, including relevant PSR contents. Similarly, the state of the process being swapped in
must also be restored. These operations use MRS in the state-saving instruction sequence and
MSR in the state-restoring instruction sequence.
BASEPRI_MAX is an alias of BASEPRI when used with the MRS instruction.
See “MSR” on page 106.
11.17.6.3 Restrictions Rd must not be SP and must not be PC.
11.17.6.4 Condition flags
This instruction does not change the flags.
11.17.6.5 Examples
MRS R0, PRIMASK ; Read PRIMASK value and write it to R0
106 6500D–ATARM–29-Feb-12
SAM3S
11.17.7 MSR Move the contents of a genera l-purpose register into the specified special register.
11.17.7.1 Syntax MSR{cond} spec_reg, Rn
where:
cond is an optional condition code, see “Conditional execution” on page 46.
Rn is the so urce register.
spec_reg can be any of: APSR, IPSR, EPSR, IEPSR, IAPSR, EAPSR, PSR, MSP, PSP,
PRIMASK, BASEPRI, BASEPRI_MAX, FAULTMASK, or CONTROL.
11.17.7.2 Operation The register access operation in MSR depends on the privilege level. Unprivileged software can
only access the APSR, see “Application Program Status Register” on page 8. Privilege d soft-
ware can access all special registers.
In unprivileged software writes to unallocated or execution state bits in the PSR are ignored.
When you write to BASEPRI_MAX, the instruction writes to BASEPRI only if either:
Rn is non-zero and the current BASEPRI value is 0
Rn is non-zero and less than the current BASEPRI value.
See “MRS” on page 105.
11.17.7.3 Restrictions Rn must not be SP and must not be PC.
11.17.7.4 Condition flags
This instruction updates the flags explicitly based on the value in Rn.
11.17.7.5 Examples
MSR CONTROL, R1 ; Read R1 value and write it to the CONTROL register
107
6500D–ATARM–29-Feb-12
SAM3S
11.17.8 NOP No Operation.
11.17.8.1 Syntax NOP{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.8.2 Operation NOP does nothing. NOP is not necessarily a time-consuming NOP. The processor might
remove it from the pipeline before it reaches the execution stage.
Use NOP for padding, for example to place the following instruction on a 64-bit boundary.
11.17.8.3 Condition flags
This instruction does not change the flags.
11.17.8.4 Examples
NOP ; No operation
108 6500D–ATARM–29-Feb-12
SAM3S
11.17.9 SEV Send Event.
11.17.9.1 Syntax SEV{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.9.2 Operation SEV is a hint instruction that causes an event to be signaled to all processors within a multipro-
cessor system. It also sets the local event register to 1, see “Power management” on page 35.
11.17.9.3 Condition flags
This instruction does not change the flags.
11.17.9.4 Examples
SEV ; Send Event
109
6500D–ATARM–29-Feb-12
SAM3S
11.17.10 SVC Supervisor Call.
11.17.10.1 Syntax SVC{cond} #imm
where:
cond is an optional condition code, see “Conditional execution” on page 46.
imm is an expression evaluatin g to an integer in the range 0-255 (8-bit value).
11.17.10.2 Operation The SVC instruction causes the SVC exception .
imm is ignored by the processor. If required, it can be retrieved by the exception handler to
determine what service is being requested.
11.17.10.3 Condition flags
This instruction does not change the flags.
11.17.10.4 Examples
SVC 0x32 ; Supervisor Call (SVC handler can extract the immediate value
; by locating it via the stacked PC)
110 6500D–ATARM–29-Feb-12
SAM3S
11.17.11 WFE Wait For Event.
11.17.11.1 Syntax WFE{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.11.2 Operation WFE is a hint instruction.
If the event register is 0, WFE suspends execution until one of the following events occurs:
an exception, unless mask ed by the exception mask registers or the current priority level
an exception enters the Pending state, if SEVONPEND in the System Control Register is set
a Debug Entry request, if Debug is enabled
an event signaled by a peripheral or another processor in a multiprocessor system using the
SEV instruction.
If the event register is 1, WFE clears it to 0 and returns immediately.
For more information see “Power manag ement” on page 35.
11.17.11.3 Condition flags
This instruction does not change the flags.
11.17.11.4 Examples
WFE ; Wait for event
111
6500D–ATARM–29-Feb-12
SAM3S
11.17.12 WFI Wait for Interrupt.
11.17.12.1 Syntax WFI{cond}
where:
cond is an optional condition code, see “Conditional execution” on page 46.
11.17.12.2 Operation WFI is a hint instruction that suspends execution until one of the following events occurs:
•an exception
a Debug Entry request, regardless of whet her Debug is enab led.
11.17.12.3 Condition flags
This instruction does not change the flags.
11.17.12.4 Examples
WFI ; Wait for interrupt
112 6500D–ATARM–29-Feb-12
SAM3S
11.18 About the Cortex-M3 peripherals
The address map of the Private peripheral bus (PPB) is:
In register descriptions:
the register type is described as follows:
RW Read and write.
RO Read-only.
WO Write-only.
the required privilege gives the privilege level required to access the regist er, as follows:
Privileged Only privileged software can access the register.
Unprivileged Both unprivileged and privileged software can access the register.
Table 11-26. Core peripheral register regions
Address Core peripheral Description
0xE000E008
-
0xE000E00F
System control block Ta ble 11-30 on page 126
0xE000E010
-
0xE000E01F
System timer Table 11-33 on page 153
0xE000E100
-
0xE000E4EF
Nested Vectored Interrupt
Controller Table 11-27 on pa ge 113
0xE000ED00
-
0xE000ED3F
System control block Ta ble 11-30 on page 126
0xE000ED90
-
0xE000EDB8
Memory protection unit Table 11-35 on page 159
0xE000EF00
-
0xE000EF03
Nested Vectored Interrupt
Controller Table 11-27 on pa ge 113
113
6500D–ATARM–29-Feb-12
SAM3S
11.19 Nested Vectored Interrupt Controller
This section describe s the Nest ed Vector ed Inter rupt Cont ro ller (NVIC) and t he reg ister s it uses.
The NVIC supports:
1 to 35 interrupts.
A progr ammable priority le v el of 0-15 f or each interrupt. A higher le v el correspon ds to a lower
priority, so level 0 is the highest interrupt priority.
Level detection of in terrupt signals.
Dynamic reprioritization of interrupts.
Grouping of priority values into group priority and sub priority fields.
Interrupt tail-chaining.
The processor automatically stacks its state on exception entry and unstacks this state on
exception exit, with no instruction overhead. This provides low latency exception handling. The
hardware implementation of the NVIC registers is:
11.19.1 The CMSIS mapping of the Cortex-M3 NVIC registers
To improve software efficiency, the CMSIS sim plifies the NVIC register presentation. In the
CMSIS:
the Set-enable, Clear-enable, Set-pending, Clear-pending and Active Bit registers map to
arrays of 32-bit integers , so that:
the array
ISER[0]
to
ISER[1]
corresponds to the regist ers ISER0-ISER1
the array
ICER[0] to ICER[1]
corresponds to the registers ICER0-ICER1
the array
ISPR[0] to ISPR[1]
corresponds to the registers ISPR0-
ISPR1
the array
ICPR[0] to ICPR[1]
corresponds to the registers ICPR0-
ICPR1
the array
IABR[0] to IABR[1]
corresponds to the registers IABR0-
IABR1
Table 11-27. NVIC register summary
Address Name Type Required
privilege Reset
value Description
0xE000E100
-
0xE000E104
ISER0-
ISER1 RW Privileged 0x00000000 “Interrupt Set-enable Registers” on page 115
0xE000E180-
0xE000E184 ICER0-
ICER1 RW Privileged 0x00000000 “Interrupt Clear-enable Registers” on page 116
0xE000E200-
0xE000E204 ISPR0-
ISPR1 RW Privileged 0x00000000 “Interrupt Set-pending Registers” on page 117
0xE000E280-
0xE000E284 ICPR0-
ICPR1 RW Privileged 0x00000000 “Interrupt Clear-pending Registers” on page 118
0xE000E300-
0xE000E304 IABR0-
IABR1 RO Privileged 0x00000000 “Interrupt Active Bit Registers” on page 119
0xE000E400-
0xE000E41C IPR0-
IPR8 RW Privileged 0x00000000 “Interrupt Priority Registers” on page 120
0xE000EF00 STIR WO Configurable
(1) 0x00000000 “Software Trigger Interrupt Register” on page
123
1. See the register description for more informatio n.
114 6500D–ATARM–29-Feb-12
SAM3S
the 4-bit fields of the Interrupt Priority Registers map to an array of 4-bit integers, so that the
array IP[0] to IP[34] corresponds to the registers IPR0-IPR8, and the array entry IP[n] holds
the interrupt pr io rity for interrupt n.
The CMSIS provides thread -safe code that gives atomic access to th e Interrupt Priority Regis-
ters. For more information see the description of the NVIC_SetPriority function in “NVIC
programming hints” on page 125. Ta ble 11- 28 shows how the interrupts, or IRQ numbers, map
onto the interrupt registers and corresponding CMSIS variables that have one bit per interrupt.
Table 11-28. Mapping of interrupts to the interrupt variables
Interrupts
CMSIS array elements (1)
1. Each array element corresponds to a single NVIC register, for ex ample the element
ICER[0]
corresponds to the ICER0 register.
Set-enable Clear-enable Set-pending Clear-pending Active Bit
0-34 ISER[0] ICER[0] ISPR[0] ICPR[0] IABR[0]
35-63 ISER[1] ICER[1] ISPR[1] ICPR[1] IABR[1]
115
6500D–ATARM–29-Feb-12
SAM3S
11.19.2 Interrupt Set-enab le Registers
The ISER0-ISER1 register enables interrupts, and show which interrupts are enabled. See:
the register summary in Table 11-27 on page 113 for the register attributes
Table 11-28 on page 114 for which interrupts are controlled by each register.
The bit assignments are:
SETENA
Interrupt set -ena b l e bit s.
Write:
0 = no effect
1 = enable interrupt.
Read:
0 = interrupt disabled
1 = interrupt enabled.
If a pending inte rr up t is e na ble d , th e NV IC activates the interr up t b ased on its priority . If a n in te rr up t is n ot en ab led , a ssert-
ing its interrupt signal changes the interrupt state to pending, but the NVIC never activates the interrup t, regardless of its
priority.
31 30 29 28 27 26 25 24
SETENA bits
23 22 21 20 19 18 17 16
SETENA bits
15 14 13 12 11 10 9 8
SETENA bits
76543210
SETENA bits
116 6500D–ATARM–29-Feb-12
SAM3S
11.19.3 Interrupt Clear-enable Registers
The ICER0-ICER1 register disables int errupts, and shows which interrupts are enabled. See:
the register summary in Table 11-27 on page 113 for the register attributes
Table 11-28 on page 114 for which interrupts are controlled by each register
The bit assignments are:
•CLRENA
Interrupt clear-enable bits.
Write:
0 = no effect
1 = disable interrupt.
Read:
0 = interrupt disabled
1 = interrupt enabled.
31 30 29 28 27 26 25 24
CLRENA
23 22 21 20 19 18 17 16
CLRENA
15 14 13 12 11 10 9 8
CLRENA
76543210
CLRENA
117
6500D–ATARM–29-Feb-12
SAM3S
11.19.4 Interrupt Set-pending Registers
The ISPR0-ISPR1 register forces interrupts into the pending state, and shows which interrupts
are pending. See:
the register summary in Table 11-27 on page 113 for the register attributes
Table 11-28 on page 114 for which interrupts are controlled by each register.
The bit assignments are:
SETPEND
Interrupt set -pen d i ng bits.
Write:
0 = no effect.
1 = changes interrupt state to pending.
Read:
0 = interrupt is not pending.
1 = interrupt is pending.
Writing 1 to the ISPR bit corresponding to:
an interrupt that is pending has no effect
a disabled interrupt sets the state of that interrupt to pending
31 30 29 28 27 26 25 24
SETPEND
23 22 21 20 19 18 17 16
SETPEND
15 14 13 12 11 10 9 8
SETPEND
76543210
SETPEND
118 6500D–ATARM–29-Feb-12
SAM3S
11.19.5 Interrupt Clear-pending Regist ers
The ICPR0-ICPR1 register removes the pending state from interrupts, and show which inter-
rupts are pendin g. See:
the register summary in Table 11-27 on page 113 for the register attributes
Table 11-28 on page 114 for which interrupts are controlled by each register.
The bit assignments are:
CLRPEND
Interrupt clear-pending bits.
Write:
0 = no effect.
1 = removes pending state an interrupt.
Read:
0 = interrupt is not pending.
1 = interrupt is pending.
Writing 1 to an ICPR bit does not affect the active state of the corresponding interrupt.
31 30 29 28 27 26 25 24
CLRPEND
23 22 21 20 19 18 17 16
CLRPEND
15 14 13 12 11 10 9 8
CLRPEND
76543210
CLRPEND
119
6500D–ATARM–29-Feb-12
SAM3S
11.19.6 Interrupt Active Bit Registers
The IABR0-IABR1 register indicates which interrupts are active. See:
the register summary in Table 11-27 on page 113 for the register attributes
Table 11-28 on page 114 for which interrupts are controlled by each register.
The bit assignments are:
•ACTIVE
Interrupt act ive flag s:
0 = interrupt not active
1 = interrupt active.
A bit reads as one if the status of the corresponding interrupt is active or active and pending.
31 30 29 28 27 26 25 24
ACTIVE
23 22 21 20 19 18 17 16
ACTIVE
15 14 13 12 11 10 9 8
ACTIVE
76543210
ACTIVE
120 6500D–ATARM–29-Feb-12
SAM3S
11.19.7 Interrupt Priority Registers
The IPR0-IPR8 registers prov ide a 4-bit prior ity fiel d for each interrupt (See the “Peripheral Iden-
tifiers” section of t he datasheet for more details). Thes e registers are byte-a ccessible. See the
register summary in Table 11-27 on page 11 3 for their attr ibutes. Each register holds four priority
fields, that map up to four elements in the CMSIS interrupt priority array
IP[0]
to
IP[34]
, as shown:
11.19.7.1 IPRm
11.19.7.2 IPR4
11.19.7.3 IPR3
31 30 29 28 27 26 25 24
IP[4m+3]
23 22 21 20 19 18 17 16
IP[4m+2]
15 14 13 12 11 10 9 8
IP[4m+1]
76543210
IP[4m]
31 30 29 28 27 26 25 24
IP[19]
23 22 21 20 19 18 17 16
IP[18]
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved
31 30 29 28 27 26 25 24
IP[15]
23 22 21 20 19 18 17 16
IP[14]
15 14 13 12 11 10 9 8
IP[13]
76543210
IP[12]
121
6500D–ATARM–29-Feb-12
SAM3S
11.19.7.4 IPR2
11.19.7.5 IPR1
11.19.7.6 IPR0
Priority, byte offset 3
Priority, byte offset 2
Priority, byte offset 1
Priority, byte offset 0
Each priority field holds a priority value, 0-15. The lower the value, the greater the prio rity of the corresponding interrupt.
The processor implements only bits[7:4] of each field, bits[3:0] read as zero and ignore writes.
See “The CMSIS mapping of the Cortex-M3 NVIC registers” on page 113 for more information about the IP[0] to IP[34]
interrupt pr iority array, that provides the software view of the interrupt priorities.
31 30 29 28 27 26 25 24
IP[11]
23 22 21 20 19 18 17 16
IP[10]
15 14 13 12 11 10 9 8
IP[9]
76543210
IP[8]
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
IP[6]
15 14 13 12 11 10 9 8
IP[5]
76543210
IP[4]
31 30 29 28 27 26 25 24
IP[3]
23 22 21 20 19 18 17 16
IP[2]
15 14 13 12 11 10 9 8
IP[1]
76543210
IP[0]
122 6500D–ATARM–29-Feb-12
SAM3S
Find the IPR number and byte offset for interrupt N as follows:
the corres po nd in g IPR number, M, is given by M = N DIV 4
the byte offset of the required Priority field in this register is N MOD 4, where:
byte offset 0 refers to register bits[7:0]
byte offset 1 refers to register bits[15:8]
byte offset 2 refers to register bits[23:16 ]
byte offset 3 refers to register bits[31:24 ].
123
6500D–ATARM–29-Feb-12
SAM3S
11.19.8 Software Trigger Interrupt Register
Write to the STIR to generate a Software Generated Interrupt (SGI). See the register summary
in Table 11-27 on page 113 for the STIR attributes.
When the USERSETMPEND bit in the SCR is set to 1, unprivileged software can access the
STIR, see “System Control Register” on page 135.
Only privileged software can enable unprivileged access to the STIR.
The bit assignments are:
•INTID
Interrupt ID of the required SGI, in the range 0-239. For example, a value of b000000011 specifies interrupt IRQ3.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved INTID
76543210
INTID
124 6500D–ATARM–29-Feb-12
SAM3S
11.19.9 Level-sensitive interrupts
The processor supports level-sensitive interrupts.
A level-sensitive interrupt is held asserted until th e peripheral deassert s the interrupt signa l. Typ-
ically this happens because the ISR accesses the peripheral, causing it to clear the interrupt
request.
When the processor enters the ISR, it automatically removes the pending stat e from the inter-
rupt, see “Hardware and software control of interrupts”. For a level-sensitive interrupt, if the
signal is not deasserted before the processor returns from the ISR, the interrupt becomes pend-
ing again, and the processor must execute its ISR again. This means that the peripheral can
hold the interrupt signal asserted until it no longer needs servicing.
11.19.9.1 Hardware and software control of interrupts
The Cortex-M3 latches all interrupts. A peripheral interrupt becomes pending for one of the fol-
lowing reasons:
the NVIC detects that the interrupt signal is HIGH and the interrupt is not active
the NVIC detects a rising edge on the interrupt signal
software writes to the correspond ing interrupt set-pending register bit, see “Interrupt Set-
pending Regist ers” on page 117, or to the STIR to make an SGI pending, see “Software
Trigger Interrupt Register” on page 123.
A pending interrupt remains pending until one of the following:
The processor ent ers the ISR for the int errupt. This changes t he state of th e interr upt from pend-
ing to active. Then:
For a level-sensitive interrupt, when the processor returns from the ISR, the NVIC
samples the interrupt signal. If the signal is asserted, the state of the interrupt
changes to pending, which might cause the processor to immediately re-en ter the
ISR. Otherwise, the state of the interrupt changes to inactive.
If the interrupt signal is not pulsed while the processor is in the ISR, when the
processor returns from the ISR the state of the interrupt changes to inactive.
Software writes to the corresponding interrupt clear-pending register bit.
For a level-sensitive interrupt, if the interrupt signal is still asserted, the state of the interrupt
does not change. Otherwise, the state of the interrupt changes to inactive.
125
6500D–ATARM–29-Feb-12
SAM3S
11.19.10 NVIC design hints and tips
Ensure software uses correctly aligned register accesses. The processor does not support
unaligned accesses to NVIC registers. See the individual register descriptions for the supported
access sizes.
A interrupt can ente r pe nd in g sta te eve n it is disab led .
Before programming VTOR to relocate the vector table, ensu re the vector table entries of the
new vector table are setup for fault handlers and all enabled exception like inte rrupts. For more
information see “Vector Table Offset Register” on page 132.
11.19.10.1 NVIC programming hints
Software uses the CPSIE I and CPSID I instructions to enable and disable interrupts. The
CMSIS provides the following intrinsic functions for these instructions:
void __disable_irq(void) // Disable Interrupts
void __enable_irq(void) // Enable Interrupts
In addition, the CMSIS provides a number of functions for NVIC control, including:
For more information about these functions see the CMSIS documentation.
Table 11-29. CMSIS functions for NVIC control
CMSIS interrupt control function Description
void NVIC_SetPriorityGrouping(uint32_t
priority_grouping) Set the priority grouping
void NVIC_EnableIRQ(IRQn_t IRQn) Enable IRQn
void NVIC_DisableIRQ(IRQn_t IRQn) Disable IRQn
uint32_t NVIC_GetPendingIRQ (IRQn_t IRQn) Return true if IRQn is pending
void NVIC_SetPendingIRQ (IRQn_t IRQn) Set IRQn pending
void NVIC_ClearPendingIRQ (IRQn_t IRQn) Clear IRQn pendin g status
uint32_t NVIC_GetActive (IRQn_t IRQn) Return the IRQ number of the active
interrupt
void NVIC_SetPriority (IRQn_t IRQn, uint32_t priority) Set priority for IRQn
uint32_t NVIC_GetPriority (IRQn_t IRQn) Read priority of IRQn
void NVIC_SystemReset (void) Reset the system
126 6500D–ATARM–29-Feb-12
SAM3S
11.20 System control block
The System control block (SCB) provides system implementation information, and system con-
trol. This includes configuration, control, and reporting of the system exceptions. The system
control block registers are:
Notes: 1. See the register description for more information.
2. A subregister of the CFSR.
11.20.1 The CMSIS mapping of the Cortex- M3 SCB registers
To improve software efficiency, the CMSIS simplifies the SCB register presentation. In the
CMSIS, the byte array SHP[0] to SHP[12] corresponds to the registers SHPR1-SHPR3.
Table 11-30. Summary of the system control block registers
Address Name Type Required
privilege Reset
value Description
0xE000E008 ACTLR RW Privileged 0x00000000 “Auxiliary Control Register” on page 127
0xE000ED00 CPUID RO Privileged 0x412FC230 “CPUID Base Register” on page 128
0xE000ED04 ICSR RW(1) Privileged 0x00000000 “Interrupt Control and State Register ” on page 129
0xE000ED08 VTOR RW Privileged 0x00000000 “Vector Table Offset Register” on page 132
0xE000ED0C AIRCR RW(1) Privileged 0xFA050000 “Application Interrupt and Reset Control Register” on page
133
0xE000ED10 SCR RW Privileged 0x00000000 “System Control Register” on page 135
0xE000ED14 CCR RW Privileged 0x00000200 “Configuration and Control Register” on page 136
0xE000ED18 SHPR1 RW Privileged 0x00000000 “System Handler Priority Registe r 1” on page 139
0xE000ED1C SHPR2 RW Privileged 0x00000000 “System Handler Priority Register 2” on page 14 0
0xE000ED20 SHPR3 RW Privileged 0x00000000 “System Handler Priority Registe r 3” on page 140
0xE000ED24 SHCRS RW Privileged 0x00000000 “System Handler Control and State Register” on page 141
0xE000ED28 CFSR RW Privileged 0x00000000 “Configurable Fault Status Register” on page 143
0xE000ED28 MMSR(2) RW Privileged 0x00 “Memory Management Fault Address Register” on page
150
0xE000ED29 BFSR(2) RW Privileged 0x00 “Bus Fault Status Register” on page 145
0xE000ED2A UFSR(2) RW Privileged 0x0000 “Usage Fault Status Register” on page 147
0xE000ED2C HFSR RW Privileged 0x00000000 “Hard Fault Status Register” on page 149
0xE000ED34 MMAR RW Privileged Unknown “Memory Management Fault Address Register” on page
150
0xE000ED38 BFAR RW Privileged Unknown “Bus Fault Address Register” on page 151
127
6500D–ATARM–29-Feb-12
SAM3S
11.20.2 Auxiliary Cont rol Register
The ACTLR provides disable bits for the following processor functions:
IT folding
write buffer use for accesses to the default memory map
interruption of multi-cycle instructions.
See the register summary in Table 11-30 on page 126 for the ACTLR attribu tes. The b it ass ign-
ments are:
•DISFOLD
When set to 1, disables IT folding. see “About IT folding” on page 127 for more information.
•DISDEFWBUF
When set to 1, disables write buffer use during default memory map accesses. This causes all bus faults to be precise bus
faults but decreases performance because any stor e to memory must complete before the processor can execute the next
instruction.
This bit only affects write buffers implemented in the Cortex-M3 processor.
DISMCYCINT
When set to 1, disables interruption of load multiple and store multiple instructions. This increases the interrupt latency of
the processor because any LDM or STM mu st complete before the processor can stack the current state and enter the
interrupt handler.
11.20.2.1 About IT folding
In some situations, the processor can start executing the first instruction in an IT block while it is
still executing the IT instruction. This behavior is called IT folding, and improves performance,
However, IT folding can cause jitter in loo ping. If a task mus t avoid jitter, s et th e DISFOL D bit t o
1 before executing the task, to disable IT folding.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved DISFOLD DISDEFWBUF DISMCYCINT
128 6500D–ATARM–29-Feb-12
SAM3S
11.20.3 CPUID Base Register
The CPUID register contains the processor part number, version, and implementation informa-
tion. See the r egister summ ary in Tabl e 11-30 on page 126 for its att ributes. The bit assignm ents
are:
Implementer
Implementer code:
0x41 = ARM
•Variant
Variant number, the r value in the rnpn product revision identifier:
0x2 = r2p0
Constant
Reads as 0xF
•PartNo
Part number of the pro ces so r:
0xC23 = Cortex-M3
Revision
Revision number, the p value in the rnpn product revision identifier:
0x0 = r2p0
31 30 29 28 27 26 25 24
Implementer
23 22 21 20 19 18 17 16
Variant Constant
15 14 13 12 11 10 9 8
PartNo
76543210
PartNo Revision
129
6500D–ATARM–29-Feb-12
SAM3S
11.20.4 Interrupt Control and State Register
The ICSR:
provides:
set-pending and clear-pending bits for the PendSV and SysTick exceptions
indicates:
the exception number of the exception being processed
whether there are preempted active exceptions
the exception number of the highest priority pending exception
whether an y interrupts are pending.
See the register summar y in Table 11-3 0 on page 1 26, and the T ype descriptions in Table 11-33
on page 153, for the ICSR attributes. The bit assignments are:
PENDSVSET
RW
PendSV set-pending bit.
Write:
0 = no effect
1 = changes PendSV exception state to pending.
Read:
0 = PendSV exception is not pending
1 = PendSV exception is pending.
Writing 1 to this bit is the only way to set the PendSV exception state to pending.
PENDSVCLR
WO
PendSV clear-pending bit.
Write:
0 = no effect
1 = removes the pending stat e from the PendSV exception.
31 30 29 28 27 26 25 24
Reserved Reserved PENDSVSET PENDSVCLR PENDSTSET PENDSTCLR Reserved
23 22 21 20 19 18 17 16
Reserved for
Debug ISRPENDING VECTPENDING
15 14 13 12 11 10 9 8
VECTPENDING RETTOBASE Reserved VECTACTIVE
76543210
VECTACTIVE
130 6500D–ATARM–29-Feb-12
SAM3S
PENDSTSET
RW
SysTick exception set-pending bit.
Write:
0 = no effect
1 = changes SysTick exception state to pending.
Read:
0 = SysTick exception is not pending
1 = SysTick exception is pending.
PENDSTCLR
WO
SysTick exception clear-pending bit.
Write:
0 = no effect
1 = removes the pending stat e from the SysTick exception.
This bit is WO. On a register read its value is Unknown.
Reserved for Debug use
RO
This bit is reserved for Debug use and reads-as-zero when the processor is not in Debug.
ISRPENDING
RO
Interrupt pend in g flag , excluding Fau lts:
0 = interrupt not pending
1 = interrupt pending.
VECTPENDING
RO
Indicates the exception number of the highest priority pending enabled exception:
0 = no pending exceptions
Nonzero = the exception number of the highest priority pending enabled exception.
The value indicated by this field includes the effect of the BASEPRI and FAULTMASK registers, but not any effect of the
PRIMASK register.
131
6500D–ATARM–29-Feb-12
SAM3S
RETTOBASE
RO
Indicates whet he r th er e ar e pr ee m pt ed activ e ex ce ptio n s:
0 = there are preempted active exceptions to execute
1 = there are no active exceptions, or the currently-executing exception is the only active exception.
VECTACTIVE
RO
Contains the active exception number:
0 = Thread mode
Nonzero = The ex cep tio n num b er (1) of the curr en tly active exception.
Subtract 16 from this value to obtain the IRQ number required to index into the Interrupt Clear-Enable, Set-Enable, Clear-
Pending, Set-Pending, or Priority Registers, see “Interrupt Program Status Register” on page 9.
When you write to the ICSR, the effect is Unpredictable if you:
write 1 to the PENDSVSET bit and write 1 to the PENDSVCLR bit
write 1 to the PENDSTSET bit and write 1 to the PENDSTCLR bit.
Note: 1. This is the same value as IPSR bits [8:0] see “Interrupt Program Status Register” on page 9.
132 6500D–ATARM–29-Feb-12
SAM3S
11.20.5 Vector Table Offset Register
The VTOR indicates the offset of the vector table base address from memory address
0x00000000. See the register summary in Table 11-30 on page 126 for its attributes.
The bit assignments are:
•TBLOFF
Vector table base offset field. It contains bits[29:7] of the offset of the table base from the bottom of the memory map.
Bit[29] determines whether the vector table is in the code or SRAM memory region:
0 = code
1 = SRAM.
Bit[29] is sometimes called the TBLBASE bit.
When setting TBLOFF, you must align the off set to the numb er of except ion entrie s in the vect or ta ble. The minimum align-
ment is 32 words, en ough for up t o 16 interru pts. For more interrupts, adjust the alig nment by rou nding up to the next power
of two. For example, if you require 21 interrupts, the alignment must be on a 64 -word boundary because the required table
size is 37 words, and the next power of two is 64.
Table alignment requirements mean that bits[6:0] of the table offset are always zero.
31 30 29 28 27 26 25 24
Reserved TBLOFF
23 22 21 20 19 18 17 16
TBLOFF
15 14 13 12 11 10 9 8
TBLOFF
76543210
TBLOFF Reserved
133
6500D–ATARM–29-Feb-12
SAM3S
11.20.6 Application Interrupt and Reset Control Register
The AIRCR provides priority grouping control for the exception model, endian status for data
accesses, and reset control of the system. See the register summary in T able 11-30 on page
126 and Table 11-33 on page 153 for its attributes.
To write to this register, you must write
0x05FA
to the VECTKEY field, otherwise the processor
ignores the write.
The bit assignments are:
VECTKEYSTAT
Register Key:
Reads as 0xFA05
VECTKEY
Register key:
On writes, write 0x5FA to VECTKEY, otherwise the write is ignored.
ENDIANESS
RO
Data endianness bit:
0 = Little-endian
ENDIANESS is set from the BIGEND configuration signal during reset.
•PRIGROUP
R/W
Interrupt priority grouping field. This field determines the split of group priority from subpriority, see “Binary point” on page
134.
SYSRESETREQ
WO
System reset request:
0 = no effect
1 = asserts a proc_reset_signal.
This is intended to force a large system reset of all major components except for debug.
This bit reads as 0.
31 30 29 28 27 26 25 24
On Read: VECTKEYSTAT, On Write: VECTKEY
23 22 21 20 19 18 17 16
On Read: VECTKEYSTAT, On Write: VECTKEY
15 14 13 12 11 10 9 8
ENDIANESS Reserved PRIGROUP
76543210
Reserved
SYSRESETREQ
VECTCLR-
ACTIVE VECTRESET
134 6500D–ATARM–29-Feb-12
SAM3S
VECTCLRACTIVE
WO
Reserved for Debug u se. This bit reads as 0 . When writing to the register you must write 0 to this bit, otherwise behavior is
Unpredictable.
VECTRESET
WO
Reserved for Debug u se. This bit reads as 0 . When writing to the register you must write 0 to this bit, otherwise behavior is
Unpredictable.
11.20.6.1 Binary point The PRIGROUP field indicates the position of the binary point that splits the PRI_n fields in the
Interrupt Priority Registers into separate group priority and subpriority fields. Table 11 -3 1 shows
how the PRIGROUP value controls this split.
Determining preemption of an ex ception uses only the group priority field, see “Interrupt priority
grouping” on page 30.
Table 11-31. Priority grouping
Interrupt priority level value, PRI_N[7:0] Number of
PRIGROUP Binary
point (1)
1. PRI_n[7:0] field showing the binary point. x denotes a group priority field bit, and y denotes a sub-
priority field bit.
Group priority
bits Subpriority
bits Group
priorities Subpriorities
b011 bxxxx.0000 [7:4] None 16 1
b100 bxxx.y0000 [7:5] [4] 8 2
b101 bxx.yy0000 [7:6] [5:4] 4 4
b110 bx.yyy0000 [7] [6:4] 2 8
b111 b.yyyy0000 None [7:4] 1 16
135
6500D–ATARM–29-Feb-12
SAM3S
11.20.7 System Control Register
The SCR controls features of entry to and exit from low power state. See the register summary
in Table 11-30 on page 126 for its attributes. The bit assignments are:
SEVONPEND
Send Event on Pending bit:
0 = only enabled interrupts or events can wakeup the processor, disabled interr upts are excluded
1 = enabled events and all interrupts, including disabled interrupts, can wakeup the processor.
When an event or interrupt enters pending state, the event signal wakes up the processor fr om WFE. If the p rocesso r is not
waiting for an event, the event is registered and affects the next WFE.
The processor also wakes up on execution of an
SEV
instruction or an external event.
SLEEPDEEP
Controls whether the processor uses sleep or deep sleep as its low power mode:
0 = sleep
1 = deep sleep.
SLEEPONEXIT
Indicate s sleep-on-exit when returning from Handler mode to Thread mode:
0 = do not sleep when returning to Thread mode.
1 = enter sleep, or deep sleep, on return from an ISR.
Setting this bit to 1 enables an int errupt driven application to avoid returning to an empty main application.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved SEVONPEND Reserved SLEEPDEEP SLEEONEXIT Reserved
136 6500D–ATARM–29-Feb-12
SAM3S
11.20.8 Configuration and Control Register
The CCR controls entry to Thread mode and enables:
the handlers for hard fault and faults escalated by FAULTMASK to ignore bus faults
trapping of divide by zero and unaligned accesses
access to the STIR by unprivileged software, see “Software Trigger Interrupt Register” on
page 123.
See the register summ ar y in Table 11-30 on page 126 for the CCR attributes.
The bit assignments are:
STKALIGN
Indicates stack alignment on exception entry:
0 = 4-byte aligned
1 = 8-byte aligned.
On exception entry, t he processor uses bit [9] of th e stacked PSR to in dicate the stack align ment. On re turn from t he excep-
tion it uses this stacked bit to restore the correct stack alignment.
BFHFNMIGN
Enables handlers with priority -1 o r -2 to ignore data bus faults caused by load and store instructions. This app lies to the
hard fault and FAULTMASK e scalated handlers:
0 = data bus faults caused by load and store instructions cause a lock-up
1 = handlers running at priority -1 and -2 ignore data bus faults caused by load and store instructions.
Set this bit to 1 only when the ha ndler and its data are in abso lutely safe memo ry. The norm al use of this b it is to probe sys-
tem devices and bridges to detect control path problems and fix them.
DIV_0_TRP
Enables faulting or halting when the processor executes an SDIV or UDIV instruction with a divisor of 0:
0 = do not trap divide by 0
1 = trap divide by 0.
When this bit is set to 0,a divide by zero returns a quotient o f 0.
UNALIGN_TRP
Enables unaligned access traps:
0 = do not trap unaligned halfword and word accesses
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved STKALIGN BFHFNMIGN
76543210
Reserved DIV_0_TRP UNALIGN_T
RP Reserved USERSETM
PEND NONBASET
HRDENA
137
6500D–ATARM–29-Feb-12
SAM3S
1 = trap unaligned halfw ord and word accesses.
If this bit is set to 1, an unaligned access generates a usage fault.
Unaligned LDM, STM, LDRD, and STRD instructions always fault irrespective of whether UNALIGN_TRP is set to 1.
USERSETMPEND
Enables unprivileged software access to the STIR, see “Software Trigger Interrupt Register” on page 123:
0 = disable
1 = enable.
NONEBASETHRDENA
Indicates how the processor enters Thread mode:
0 = processor can enter Thread mode only when no except ion is active.
1 = processor can enter Thread mode from any level under the control of an EXC_RETURN value, see “Exception return”
on page 32.
138 6500D–ATARM–29-Feb-12
SAM3S
11.20.9 System Handler Priority Registers
The SHPR1-SHPR3 registers set the pr iority level, 0 to 15 of the exception handlers that h ave
configurable priority.
SHPR1-SHPR3 are byte accessible. See the register summary in Table 11-30 on page 126 for
their attribu tes.
The system fault handlers and the priority field and register for each handler are:
Each PRI_N field is 8 bits wide, but the processor implements only bits[7:4] of each field, and
bits[3:0] read as zero and ignore writes.
Table 11-32. System fault handler pr iority fields
Handler Field Register description
Memory management
fault PRI_4
“System Handler Priority Register 1” on page 139
Bus fault PRI_5
Usage fault PRI_6
SVCall PRI_11 “Syste m Handler Priority Register 2” on page 14 0
PendSV PRI_14 “System Handler Priority Register 3” on page 140
SysTick PRI_15
139
6500D–ATARM–29-Feb-12
SAM3S
11.20.9.1 System Handler Prior ity Register 1
The bit assignments are:
•PRI_7
Reserved
•PRI_6
Priority of system ha nd le r 6, us ag e fa ult
•PRI_5
Priority of system ha nd le r 5, bu s fau lt
•PRI_4
Priority of system handler 4, memory management fault
31 30 29 28 27 26 25 24
PRI_7: Reser ved
23 22 21 20 19 18 17 16
PRI_6
15 14 13 12 11 10 9 8
PRI_5
76543210
PRI_4
140 6500D–ATARM–29-Feb-12
SAM3S
11.20.9.2 System Handler Prior ity Register 2
The bit assignments are:
•PRI_11
Priority of system handler 11, SVCall
11.20.9.3 System Handler Prior ity Register 3
The bit assignments are:
•PRI_15
Priority of system handler 15, SysTick exception
•PRI_14
Priority of system handler 14, PendSV
31 30 29 28 27 26 25 24
PRI_11
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved
31 30 29 28 27 26 25 24
PRI_15
23 22 21 20 19 18 17 16
PRI_14
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved
141
6500D–ATARM–29-Feb-12
SAM3S
11.20.10 System Handler Control and State Register
The SHCSR enables the system handlers, and indicat es:
the pending status of the bus fault, memory management fault, and SVC exceptions
the active status of the system handlers.
See the register summary in Table 11-30 on page 126 for the SHCSR attributes. The bit assign-
ments are:
USGFAULTENA
Usage fault enable bit, set to 1 to enable (1)
BUSFAULTENA
Bus fault enable bi t, set to 1 to enable(3)
MEMFAULTENA
Memory management fault enable bit, set to 1 to enable(3)
SVCALLPENDED
SVC call pending bit, reads as 1 if exception is pending (2)
BUSFAULTPENDED
Bus fault exception pending bit, reads as 1 if exception is pending(2)
MEMFAULTPENDED
Memory management fault exception pending bit, reads as 1 if exception is pending(2)
USGFAULTPENDED
Usage fault exception pending bit, reads as 1 if exception is pending(2)
SYSTICKACT
SysTick exception active bit, reads as 1 if exception is active (3)
PENDSVACT
PendSV exception ac tive bit, re ad s as 1 if exc ep tio n is activ e
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved USGFAULTENA BUSFAULTENA MEMFAULTENA
15 14 13 12 11 10 9 8
SVCALLPENDE
DBUSFAULTPEND
ED MEMFAULTPEN
DED USGFAULTPEND
ED SYSTICKACT PENDSVACT Reserved MONITORACT
76543210
SVCALLAVCT Reserved USGFAULTACT Reserved BUSFAULTACT MEMFAULTACT
1. Enable bits, set to 1 to enable the exception, or set to 0 to disable the exception.
2. Pending bits, read as 1 if the e xception is pending, or as 0 if it is not pending. You can write to these bits to change the pending
status of the exceptions.
3. Active bits , read as 1 if the exception is active, or as 0 if it is not activ e . You can write to these bits to change the active status of
the exceptions, but see the Caution in this section.
142 6500D–ATARM–29-Feb-12
SAM3S
MONITORACT
Debug monitor active bit, reads as 1 if Deb ug mo nit or is active
SVCALLACT
SVC call active bit, reads as 1 if SVC call is active
•USGFAULTACT
Usage fault exception active bit, reads as 1 if exception is active
BUSFAULTACT
Bus fault exception active bit, reads as 1 if exception is active
MEMFAULTACT
Memory management fault exception active bit, reads as 1 if exception is active
If you disable a system handler and the corresponding fault occurs, the processor treats the fault as a hard fault.
You can write to this register to change the pending or active status of system exceptions. An OS kernel can write to the
active bits to perform a context switch that changes the current exception type.
Software that changes the value of an active bit in this register without correct adjustment to the stacked content can
cause the processor to generate a fault exception. Ensure software that writes to this register retains and subsequently
restores the current active status.
After y ou ha ve enab led the system hand lers , if y o u ha v e t o change t he v alu e of a b it in th is register y ou m ust use a read-
modify-write procedure to ensure that you change only the required bit.
143
6500D–ATARM–29-Feb-12
SAM3S
11.20.11 Configurable Fault Status Register
The CFSR indicates the cause of a memory m anagement f ault, bus f ault, or usage fault. See the
register summary in Table 11-30 on page 126 for its attrib utes. The bit assignments are:
The following subsections describ e the subregisters that make up the CFSR:
“Memory Management Fault Status Reg ister” on page 144
“Bus Fault Status Register” on page 145
“Usage Fault Status Register” on page 147.
The CFSR is byte accessible. You can access the CFSR or its subregisters as follows:
access the complete CFSR with a word access to 0xE000ED28
access the MMFSR with a byte access to 0xE000ED28
access the MMFSR and BFSR with a halfword access to 0xE000ED28
access the BFS R with a byte access to 0xE00 0ED 29
access the UFSR with a halfword access to 0xE000ED2A.
31 30 29 28 27 26 25 24
Usage Fault Status Register: UFSR
23 22 21 20 19 18 17 16
Usage Fault Status Register: UFSR
15 14 13 12 11 10 9 8
Bus Fault Status Register: BFSR
76543210
Memory Management Fault Status Register: MMFSR
144 6500D–ATARM–29-Feb-12
SAM3S
11.20.11.1 Memor y Management Fault Status Register
The flags in the MMFSR indica te the cause of memory access faults. The bit assignments are:
•MMARVALID
Memory Management Fault Address Register (MMAR) valid flag:
0 = value in MMAR is not a valid fault address
1 = MMAR holds a valid fault address.
If a memory management fault occurs and is escalated to a hard fault because of priority, the hard fault handler must set
this bit to 0. This prevents problems on return to a stacked active memory management fault handler whose MMAR value
has been overwritten.
MSTKERR
Memory manager fault on stacking for exception entry:
0 = no stacking fault
1 = stacking for an exception entry has caused one or more access violat ions.
When this bit is 1, the SP is still adjusted but the values in the context area on the stack might be incorrect. The processor
has not written a fault ad dr e ss to th e MM AR.
MUNSTKERR
Memory manager fault on unstacking for a return from exception:
0 = no unstacking fault
1 = unstack for an exception return has caused one or more access violations.
This fault is chained to the handler. This means that when this bit is 1, the original return stack is still present. The proces-
sor has not adjusted the SP from the failing return, and has not performed a new save. The processor has not written a
fault addr ess to the MMAR.
•DACCVIOL
Data access violation flag:
0 = no data access violation fault
1 = the processor attempted a load or store at a location that does not permit the operation.
When this bit is 1, t he PC value sta cked f or the exception r eturn points to the fa ulting instruct ion. The processor ha s loaded
the MMAR with the address of the attempted access.
IACCVIOL
Instruction ac ces s v iola tio n fla g:
0 = no instruction access violation fault
1 = the processor attempt ed an instruction fetch from a location that does not permit execution.
This fault occurs on any access to an XN region, even when the MPU is disabled or not present.
When this bit is 1 , the PC value stacke d for the exception re turn points to the fa ulting instruction. The processor has not
written a fault addre ss to the MMAR .
76543210
MMARVALID Reserved MSTKERR MUNSTKERR Reserved DACCVIOL IACCVIOL
145
6500D–ATARM–29-Feb-12
SAM3S
11.20.11.2 Bus Fault Status Register
The flags in the BFSR indicate the cause of a bus access fault. The bit assignments are:
•BFARVALID
Bus Fault Address Register (BFAR) valid flag:
0 = value in BFAR is not a valid fault address
1 = BFAR holds a valid fault address.
The processor sets this bit to 1 after a bus fault where the address is known. Other faults can set this bit to 0, such as a
memory management fault occurring later.
If a bus faul t occurs and is esca lated to a hard fau lt because of pr iority, the ha rd fault handle r must set this bit to 0. This pr e-
vents problems if returning to a stacked active bus fault handler whose BFAR value has been overwritten.
STKERR
Bus fault on stacking fo r exception entry:
0 = no stacking fault
1 = stacking for an exception entry has caused one or more bus faults.
When the processor sets this bit to 1, the SP is still adjusted but the values in the context area on the stack might be incor-
rect. The processor does not write a fault address to the BFAR.
UNSTKERR
Bus fault on unstacking for a return from exception:
0 = no unstacking fault
1 = unstack for an exception return has caused one or more bus faults.
This fault is chained to the handler. Th is means that when the processor sets this bit to 1, the original return stack is still
present. The processor does not adjust the SP from the failing return, does not performed a new save, and does not write
a fault addr ess to the BFAR.
IMPRECISERR
Imprecise data bu s error:
0 = no imprecise data bus error
1 = a data bus error has occurred, but the return address in the stack frame is not related to the instruction that caused the
error.
When the processo r se ts th is bit to 1, it does not writ e a fa ult ad d re ss to the BFAR.
This is an asynchronous fault. Therefore, if it is detected when the priority of the current process is higher than the bus fault
priority, the bus fault becomes pending and becomes active only when the processor return s from all higher priority pro-
cesses. If a precise fault occurs before the processor enters the handler for the imprecise bus fault, the handler detects
both IMPRECISERR set to 1 and one of the precise fault status bits set to 1.
76543210
BFRVALID Reserved STKERR UNSTKERR IMPRECISERR PRECISERR IBUSERR
146 6500D–ATARM–29-Feb-12
SAM3S
PRECISERR
Precise data bus error:
0 = no precise data bus error
1 = a data bus error has occurred, and the PC value stacked for the exception return points to the instruction that caus ed
the fault.
When the processor sets this bit is 1, it writes the faulting ad dress to the BFAR.
IBUSERR
Instruction bus error:
0 = no instruction bus error
1 = instruction bus error.
The processor detects the instruction bus error on prefetching an instruction, but it sets the IBUSERR flag to 1 only if it
attempts to issue the faulting instruction.
When the processor sets this bit is 1, it does not write a fault address to the BFAR.
147
6500D–ATARM–29-Feb-12
SAM3S
11.20.11.3 Usage Fault Status Register
The UFSR indicates the cause of a usage fault. The bit assignments are:
•DIVBYZERO
Divide by zero usage fault:
0 = no divide by zero fault, or divide by zero trapping not enabled
1 = the processor has executed an SDIV or UDIV instruction with a divisor of 0.
When the processor sets this bit to 1, the PC value stacked for the exception return points to the instruction that performed
the divide by zero.
Enable trapping of divide by zero by setting the DIV_0_TRP bit in the CCR to 1, see “Configuration and Control Register”
on page 136.
UNALIGNED
Unaligned access usage fault:
0 = no unaligned access fault, or unaligned access trapping not enabled
1 = the processor has made an unaligned memory access.
Enable trapping of unaligned accesses by setting the UNALIGN_TRP bit in the CCR to 1, see “Configuration and Control
Register” o n page 136.
Unaligned LDM, STM, LDRD, and STRD instructions always fault irrespective of the setting of UNALIG N_TRP.
•NOCP
No coprocessor usage fault. The processor does not support coprocessor instructions:
0 = no usage fault caused by attempting to access a coprocessor
1 = the processor has attempted to access a coprocessor.
•INVPC
Invalid PC load usage fault, caused by an invalid PC load by EXC_RETURN:
0 = no invalid PC load usage fault
1 = the processor has attempted an illegal load of EXC_RETURN to the PC, as a result of an invalid context, or an invalid
EXC_RETURN value.
When this bit is set to 1, the PC value stacked for the exception return points to the instruction that tr ied to perform the ille-
gal load of the PC.
•INVSTATE
Invalid state usage fault:
0 = no invalid state usage fault
1 = the processor has attempted to execute an instruction that makes illegal use of the EPSR.
15 14 13 12 11 10 9 8
Reserved DIVBYZERO UNALIGNED
76543210
Reserved NOCP INVPC INVSTATE UNDEFINSTR
148 6500D–ATARM–29-Feb-12
SAM3S
When this bit is set to 1, the PC value stacked for the exception return points to the instruction that attempted the illegal use
of the EPSR.
This bit is not set to 1 if an undefined instruction uses the EPSR.
UNDEFINSTR
Undefined instruction usage fault:
0 = no undefined instruction usage fault
1 = the processor has attempted to execute an undefined instruction.
When this bit is set to 1, the PC value stacked for the exception retur n points to the undefined instruction.
An undefined instruction is an instruction that the processor cannot decode.
The UFSR bits are sticky. This means as one or mo re fault o ccurs, th e associat ed bits a re set to 1. A bit that is se t to 1 is
cleared to 0 only by writing 1 to that bit, or by a reset.
149
6500D–ATARM–29-Feb-12
SAM3S
11.20.12 Hard Fault Status Register
The HFSR gives information about even ts that activate the hard fault handler. See the register
summary in Table 11-30 on page 126 for its attributes.
This register is read, write to clear. This means that bits in the register read normally, but writing
1 to any bit clear s that bit to 0. The bit assignments are:
DEBUGEVT
Reserved for Debug use. When writing to the register you must write 0 to this bit, otherwise behavior is Unpredictable.
•FORCED
Indicates a forced hard fault, generated by escalation of a fault with configurable priority that cannot be handles, either
because of priority or because it is disabled:
0 = no forced hard faul t
1 = forced hard fault.
When this bit is set to 1, the hard fault handler must read the other fault status registers to find the cause of the fault.
VECTTBL
Indicates a bus fa ult on a vect or tab le re ad du rin g exc ep tio n pr o ces sin g:
0 = no bus fault on vector table read
1 = bus fault on vector table read.
This error is always handled by the hard fault handler.
When this bit is set to 1, the PC value stacked for the exception return points to the instruction that was preempted by the
exception.
The HFSR bits are sticky. This means as one or mo re fault o ccurs, th e associat ed bits a re set to 1. A bit that is se t to 1 is
cleared to 0 only by writing 1 to that bit, or by a reset.
31 30 29 28 27 26 25 24
DEBUGEVT FORCED Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved VECTTBL Reserved
150 6500D–ATARM–29-Feb-12
SAM3S
11.20.13 Memory Management Fault Address Register
The MMFAR contains the address of the location that generated a memory management fault.
See the register summar y in Table 11-30 on page 12 6 for its attributes. The bit assignments are:
ADDRESS
When the MMARVALID bit of the MM FSR is set to 1, this fie ld holds the address of the locati on that generated t he memory
management fault
When an unaligned access faults, the address is the actual address that faulted. Because a single read or write instruction
can be split into mu ltiple align ed acce sses, t he faul t addre ss can be any addr ess in th e ran ge of t he reque sted a ccess size.
Flags in the MM FSR indicate the cause o f the fault, an d whethe r the value in th e MMFAR is valid . See “Memory Manage-
ment Fault Status Register” on page 144.
31 30 29 28 27 26 25 24
ADDRESS
23 22 21 20 19 18 17 16
ADDRESS
15 14 13 12 11 10 9 8
ADDRESS
76543210
ADDRESS
151
6500D–ATARM–29-Feb-12
SAM3S
11.20.14 Bus Fault Ad dress Register
The BFAR contains the addr ess of t he loca tio n that gene ra te d a bu s fault . See th e re gister sum-
mary in Table 11-30 on page 126 for its attributes. The bit assignments are:
ADDRESS
When the BFARVALID bit of the BFSR is set to 1, this field holds the address of the location that generated the bus fault
When an unaligned access faults the address in the BFAR is the one requested b y the instruction, even if it is not the
address of the fault.
Flags in the BFSR in dicate th e cause o f the f au lt, a nd whethe r th e value in t he BFAR is valid. Se e “Bus Fa ult St atus Regis-
ter” on page 145.
31 30 29 28 27 26 25 24
ADDRESS
23 22 21 20 19 18 17 16
ADDRESS
15 14 13 12 11 10 9 8
ADDRESS
76543210
ADDRESS
152 6500D–ATARM–29-Feb-12
SAM3S
11.20.15 System control block design hints and tips
Ensure software uses aligned accesses of the correct size to access the system control block
registers:
except for the CFSR and SHPR1-SHPR3, it must use aligned word accesses
for the CFSR and SHPR1-SHPR3 it can use byte or align ed halfword or word accesses.
The processor does not support unaligned accesses to system control block registers.
In a fault handler. to determine the true faulting address:
Read and save the MMFAR or BFAR value.
Read the MMARVALID bit in the MMFSR, or the BFAR VALID bit in the BFSR. The MMFAR or
BFAR address is valid only if this bit is 1.
Software must fo llow this sequence because another higher priority exception might change the
MMFAR or BFAR value. For example, if a higher priority handler p reempts the current fault han-
dler, the other fault might change the MMFAR or BFAR value.
153
6500D–ATARM–29-Feb-12
SAM3S
11.21 System timer, SysTick
The processor has a 24-bit system timer, SysTick, that counts down from the reload value to
zero, reloads (wraps to) the value in the LOAD register on the next clock edge, then counts
down on subsequent clocks.
When the processor is halted for debugging the counter does not decrement.
The system timer reg isters are:
Table 11-33. System timer registers summary
Address Name Type Required
privilege Reset
value Description
0xE000E010 CTRL RW Privileged 0x00000004 “SysTick Control and Status Register” on page 154
0xE000E014 LOAD RW Privileged 0x00000000 “SysTick Reload Value Register” on page 155
0xE000E018 VAL RW Privileged 0x00000000 “SysTick Current Value Register” on pa ge 156
0xE000E01C CALIB RO Privileged 0x0002904 (1) “SysTick Calibration Value Register” on page 157
1. SysTick calibration value.
154 6500D–ATARM–29-Feb-12
SAM3S
11.21.1 SysTick Control and Status Register
The SysTick CTRL register enables t he SysTick features. See th e register summary in Table 11-
33 on page 153 for its attributes. The bit assignments are:
COUNTFLAG
Returns 1 if timer counted to 0 since last time this was read.
CLKSOURCE
Indicates the clock source:
0 = MCK/8
1 = MCK
•TICKINT
Enables SysTick exception req uest:
0 = counting down to zero doe s not assert the SysTick exception request
1 = counting down to zero to asserts the SysTick exception request.
Software can use COUNTFLAG to determine if SysTick has ever counted to zero.
ENABLE
Enables the counter:
0 = counter disabled
1 = counter enabled.
When ENABLE is set to 1, the counter loads the RELOAD value from the LOAD register and then counts down. On reach-
ing 0, it sets the COUNTFLAG to 1 and optionally asserts t he SysTick depending on the value of TICKI NT. It then loads the
RELOAD value again, and begins counting.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved COUNTFLAG
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved CLKSOURCE TICKINT ENABLE
155
6500D–ATARM–29-Feb-12
SAM3S
11.21.2 SysTick Reload Value Register
The LOAD register specifies the start value to load into the VAL register. See the register sum-
mary in Table 11-33 on page 153 for its attributes. The bit assignments are:
•RELOAD
Value to load into the VAL register when the counter is enabled and when it reaches 0, see “Calculating the RELOAD
value”.
11.21.2.1 Calculating the RELOAD value
The RELOAD value can be any value in the range 0x00000001-0x00FFFFFF. A start value of 0
is possible, but has no effect because the Sy sTick except ion r equest a nd COUNTFLAG a re a cti-
vated when count i ng from 1 to 0.
The RELOAD value is calculated according to its use:
To generate a multi-shot timer with a period of N processor clock cycles, use a RELOAD
value of N-1. For example, if the SysTick interrupt is required every 100 clock pulses, set
RELOAD to 99.
To deliver a single SysTic k interrupt after a de lay of N processor clock cycle s, use a RELO AD
of v alue N. F or e xample, if a SysT ick interrupt is required a fter 400 clock pu lses, set RELO AD
to 400.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
RELOAD
15 14 13 12 11 10 9 8
RELOAD
76543210
-RELOAD
156 6500D–ATARM–29-Feb-12
SAM3S
11.21.3 SysTick Cur rent Value Register
The VAL register cont ains the cur rent value of the SysTick cou nter . Se e the r egister summa ry in
Table 11-33 on page 153 for its attributes. The bit assignme nts are:
CURRENT
Reads return the current value of the SysTick counter.
A write of any value clears th e field to 0, and also clears the SysTick CTRL.COUNTFLAG bit to 0.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
CURRENT
15 14 13 12 11 10 9 8
CURRENT
76543210
CURRENT
157
6500D–ATARM–29-Feb-12
SAM3S
11.21.4 SysT ick Calibrat io n Value Re gi st er
The CALIB register indicates the SysTick calibration properties. See the regi ster summary in
Table 11-33 on page 153 for its attributes. The bit assignme nts are:
•NOREF
Reads as zero.
SKEW
Reads as zero
•TENMS
Read as 0x00001F40. The SysTick calibration value is fixed at 0x00001F40 (8000), which allows the generation of a time
base of 1 ms with SysTick clock at 8 MHz (64/8 = 8 MHz)
11.21.5 SysTick design hints and tips
The SysTick counter runs on the processor clock. If this clock signal is stopped for low power
mode, the SysTick counter stops.
Ensure software uses aligned word accesses to access the SysTick registers.
31 30 29 28 27 26 25 24
NOREF SKEW Reserved
23 22 21 20 19 18 17 16
TENMS
15 14 13 12 11 10 9 8
TENMS
76543210
TENMS
158 6500D–ATARM–29-Feb-12
SAM3S
11.22 Memory protection unit
This section describes the Memory protection unit (MPU).
The MPU divides the memory map into a number of regions, and defines the location, size,
access permissions, and memory attributes of each region. It support s:
independent attribute settings for each region
overlapping regions
export of memory attributes to the system.
The memory attributes aff ect the behavior of memory accesses to the region . The Cortex-M3
MPU defines:
eight separate memory regions, 0-7
a background region.
When memory regions overlap, a memory access is affected by the attributes of the region with
the highest number. For example, the attributes for region 7 take pr ecedence over the attributes
of any region that overlaps region 7.
The background region has t he same memory access at tributes as the default memory map, but
is accessible from privileged software only.
The Cortex-M3 MPU memory map is unified. This means instruction accesses and data
accesses have same region settings.
If a program accesses a memory location that is prohibited by the MPU, the proces sor gener ates
a memory management fault. This causes a fault exception, and might cause termination of the
process in an OS environment.
In an OS environment, the kernel can update the MPU region setting dynamically based on the
process to be executed. Typically, an embedded OS uses the MPU for memory protection.
Configuration of MPU regions is based on memory types, see “Memory regions, types and attri-
butes” on page 16.
Table 11-3 4 shows the possible MPU region attributes. These include Share ability and cache
behavior attributes tha t are not relevant to most microcontroller im plementations. See “MPU
configuration for a microcontroller” on page 171 for guidelines for programming such an
implementation.
Table 11-34. Memory attributes summary
Memory
type Shareability Other attributes Description
Strongly-
ordered -- All accesses to Strongly-ordered memory occur
in program order. All Strongly-ordered regions
are assumed to be shared.
Device Shared - Memory-mapped peripherals that several
processors share.
159
6500D–ATARM–29-Feb-12
SAM3S
Use the MPU registers to define the MPU regions and their attributes. The MPU registers are:
Non-shared - Memory-mapped peripherals that only a single
processor uses.
Normal Shared Normal memory th at is shared between several
processors.
Non-shared Normal memory that only a single processor
uses.
Table 11-34. Memory att ributes summary (Continued)
Memory
type Shareability Other attributes Description
Table 11-35. MPU registers summary
Address Name Type Required
privilege Reset
value Description
0xE000ED90 TYPE RO Privileged 0x00000800 “MPU Type Register” on page 160
0xE000ED94 CTRL RW Privileged 0x00000000 “MPU Control Register” on page 161
0xE000ED98 RNR RW Privileged 0x00000000 “MPU Region Number Register” on page 163
0xE000ED9C RBAR RW Privileged 0x00000000 “MPU Region Base Address Register” on page 164
0xE000EDA0 RASR RW Privileged 0x00000000 “MPU Region Attribute and Size Register” on page 165
0xE000EDA4 RBAR_A1 RW Privileged 0x00000000 Alias of RBAR, see “MPU Region Base Address
Register” on page 164
0xE000EDA8 RASR_A1 RW Privileged 0x00000000 Alias of RASR, see “MPU Region Attribute and Size
Register” on page 165
0xE000EDAC RBAR_A2 RW Privileged 0x00000000 Alias of RBAR, see “MPU Region Base Address
Register” on page 164
0xE000EDB0 RASR_A2 RW Privileged 0x00000000 Alias of RASR, see “MPU Region Attribute and Size
Register” on page 165
0xE000EDB4 RBAR_A3 RW Privileged 0x00000000 Alias of RBAR, see “MPU Region Base Address
Register” on page 164
0xE000EDB8 RASR_A3 RW Privileged 0x00000000 Alias of RASR, see “MPU Region Attribute and Size
Register” on page 165
160 6500D–ATARM–29-Feb-12
SAM3S
11.22.1 MPU Type Register
The TYPE register indicates whether the MPU is present, and if so, how many regions it sup-
ports. See the register summary in Table 11-35 on page 159 for its attributes. The bit
assignments are:
IREGION
Indicates the number of supported MPU instruction regions.
Always contains 0x00. The MPU memory map is unified and is described by the DREGION field.
DREGION
Indicates the number of supported MPU data regions:
0x08 = Eight MPU regions.
SEPARATE
Indicate s support for unified or separate instruction and date memory maps:
0 = unified.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
IREGION
15 14 13 12 11 10 9 8
DREGION
76543210
Reserved SEPARATE
161
6500D–ATARM–29-Feb-12
SAM3S
11.22.2 MPU Control Register
The MPU CTRL register:
enables the MPU
enables the default memory map background region
enab les use of the MPU when in the hard fault, Non-maskable Interrupt (NMI), and
FAULTMASK escalated handlers.
See the register summary in Table 11-35 on page 159 for the MPU CTRL attributes. The bit
assignments are:
PRIVDEFENA
Enables privileged softwar e access to the default memory map:
0 = If the MP U is enabled , disables use of the default memory ma p. Any mem ory acces s to a loca tion not cove red by an y
enabled region causes a fault.
1 = If the MPU is enabled, enables use of the default memory map as a background region for privileged software
accesses.
When enabled, the background re gion acts as if it is region number -1. Any region that is defined and enabled has priority
over this default map.
If the MPU is disabled , th e pr oc ess or igno re s th is bit.
•HFNMIENA
Enables the operation of MPU during hard fault, NMI, and FAULTMASK handlers.
When the MPU is enabled:
0 = MPU is disabled during hard fault, NMI, and FAULTMASK handlers, regardless of the value of the ENABLE bit
1 = the MPU is enabled during hard fault, NMI, and FAULTMASK handlers.
When the MPU is disabled, if this bit is set to 1 the behavior is Unpredictable.
ENABLE
Enables the MPU:
0 = MPU disabled
1 = MPU enabled.
When ENABLE and PRIVDEFENA are both set to 1:
For privileged accesses, the def ault me mory ma p is as described in “Memory mode l” on p age 16 . Any access by privileged
software that does not address an enabled memory region behaves as defined by the default memory map.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
Reserved PRIVDEFENA HFNMIENA ENABLE
162 6500D–ATARM–29-Feb-12
SAM3S
Any access by unprivileged softwa re that does not address an e nabled memory region causes a m emory management
fault.
XN and Strongly-ordered rules always apply to the System Control Space regardless of the value of the ENABLE bit.
When the ENABLE bit is set to 1, at least on e region of the memory ma p must be enabled f or the syst em to fu nction unless
the PRIVDEFENA bit is set to 1. If the PRIVDEFENA bit is set to 1 and no regions are enabled, then only privileged soft-
ware can operate.
When the ENABLE bit is set to 0, the system uses the default memory map. This has the same memory attributes as if the
MPU is not implement ed, see Tab le 11-34 on page 15 8. Th e defa ult memor y map ap plies to ac ce sses fr om bo th privile ged
and unprivileged software.
When the MPU is enabled, accesses to the System Control Space and vector table are always permitted. Other areas are
accessible based on regions an d whether PRIVDEFENA is set to 1.
Unless HFNMIENA is set to 1, the MPU is not enabled when the processor is executing the handler for an exception with
priority –1 or –2. These priorities are only possible when handling a hard fault or NMI exception, or when FAULTMASK is
enabled. Setting the HFNMIENA bit to 1 enables the MPU when operating with these two priorities.
163
6500D–ATARM–29-Feb-12
SAM3S
11.22.3 MPU Region Number Register
The RNR selects which memory region is referenced by the RBAR and RASR registers. See the
register summary in Table 11-35 on page 159 for its attrib utes. The bit assignments are:
•REGION
Indicates the MPU region referenced by the RBAR and RASR registers.
The MPU supports 8 memory regions, so the permitted values of this field are 0-7.
Normally, you write the required region number to this register before accessing the RBAR or RASR. However you can
change the region n umber by writ ing to the RBAR with t he VALID bit set t o 1, see “MPU Regio n Base Address Re gister” on
page 164. This writ e updates the value of the REGION field.
31 30 29 28 27 26 25 24
Reserved
23 22 21 20 19 18 17 16
Reserved
15 14 13 12 11 10 9 8
Reserved
76543210
REGION
164 6500D–ATARM–29-Feb-12
SAM3S
11.22.4 MPU Region Base Address Register
The RBAR defines the base address of the MPU region selected by the RNR, and can update
the value of the RNR. See th e register summary in Table 11-35 on page 159 for its attributes.
Write RBAR with the VALID bit set to 1 to change the current region number and update the
RNR. The bit assignments are:
ADDR
Region base address field. The value of N depends on the region size. For more information see “The ADDR field”.
•VALID
MPU Region Number valid bit:
Write:
0 = RNR not changed, and the processor:
updates the base address for the region specified in the RNR
ignores the value of the REGION field
1 = the processor:
updates the value of the RNR to the value of the REGION field
updates the base address for the region specified in the REGION field.
Always reads as zero.
•REGION
MPU region field:
For the behavior on writes, see the description of the VALID field.
On reads, returns the current region number, as specified by the RNR.
11.22.4.1 The ADDR field
The ADDR field is bits[31:N] of the RBAR. The region size, as specified by the SIZE field in the
RASR, defines the value of N:
N = Log2(Region size in bytes),
If the region size is configured to 4GB, in the RASR, there is no valid ADDR field. In this case,
the region occupies the complete memory map, and the base address is 0x00000000.
The base address is aligned to the size of the region. F or example, a 64KB region must be
aligned on a multiple of 64KB, for example, at 0x00010000 or 0x00020000.
31 30 29 28 27 26 25 24
ADDR
23 22 21 20 19 18 17 16
ADDR
15 14 13 12 11 10 9 N
ADDR
N-16543210
Reserved VALID REGION
165
6500D–ATARM–29-Feb-12
SAM3S
11.22.5 MPU Region Attribute and Size Register
The RASR defines the region size and memory attributes of the MPU region specified by the
RNR, and enables that region and any subregions. See the register summary in Table 11-35 on
page 159 for its attributes.
RASR is accessible using word or halfword accesses:
the most significant ha lfword holds the region attributes
the least significant halfword holds the region size and the region and subregion enable bits.
The bit assignments are:
•XN
Instruction access disable bit:
0 = instruction fetches enabled
1 = instruction fetches disabled.
•AP
Access permission field, see Table 11-39 on page 167.
TEX, C, B
Memory access attributes, see Table 11-37 on page 166.
•S
Shareable bit, see Table 11-36 on page 166.
•SRD
Subregion disable bits. For each bit in this field:
0 = corresponding sub-region is enabled
1 = corresponding sub-region is disabled
See “Subregions” on page 170 for more information.
Region sizes of 128 bytes and less do not supp or t subr egions. When writing the attributes for such a region, write the SRD
field as 0x00.
•SIZE
Specifies the size of the MPU protection region. The mini mum permitted value is 3 (b00010), see See “SIZE field values”
on page 166 for more information.
ENABLE
31 30 29 28 27 26 25 24
Reserved XN Reserved AP
23 22 21 20 19 18 17 16
Reserved TEX S C B
15 14 13 12 11 10 9 8
SRD
76543210
Reserved SIZE ENABLE
166 6500D–ATARM–29-Feb-12
SAM3S
Region enable bit.
For information about access permission, see “MPU access permission attributes”.
11.22.5.1 SIZE field values
The SIZE field defines the size of the MPU memory region specified by the RNR. as follows:
(Region size in bytes) = 2(SIZE+1)
The smallest permitt ed region size is 32B, cor responding to a SI ZE value of 4. Table 11-36 gives
example SIZE values, with the corresponding region size and value of N in the RBAR.
11.22.6 MPU access permission attributes
This section describes the MPU access permission attributes. Th e access permission bits, TEX,
C, B, S, AP, and XN, of the RASR, control ac cess to the corresponding mem ory region. If an
access is made to an a rea of memory witho ut the requir ed permissions, then the MPU generates
a permission fault.
Table 11-37 shows the encodings for the TEX, C, B, and S access permission bits.
Table 11-36. Example SIZE field values
SIZE value Region size Value of
N (1)
1. In the RBAR, see “MPU Region Base Address Register” on
page 164.
Note
b00100 (4) 32B 5 Minimum permitted
size
b01001 (9) 1KB 10 -
b10011 (19) 1MB 20 -
b11101 (29) 1GB 30 -
b11111 (31) 4GB b01100 Maximum possible
size
Table 11-37. TEX, C, B, and S encoding
TEX C B S Memory type Shareability Other attributes
b000
0
0 x (1) Strongly-
ordered Shareable -
1 x(1) Device Shareable -
1
0 0Normal Not
shareable Outer and inner write-through. No write
allocate.
1 Shareable
1 0Normal Not
shareable Outer and inner write-back. No write
allocate.
1 Shareable
167
6500D–ATARM–29-Feb-12
SAM3S
Table 11-38 shows the cache policy for memory attribute encodings with a TEX value is in the
range 4-7.
Table 11-39 shows the AP encodings that define the access permissions for privileged and
unprivileged software.
b001
0
0 0Normal Not
shareable
1 Shareable
1 x(1) Reserved encoding -
1
0 x(1) Implementation defined
attributes. -
1 0Normal Not
shareable Outer and inner write-back. Write and
read allocate.
1 Shareable
b010 00 x(1) Device Not
shareable Nonshared Device.
1 x(1) Reserved encoding -
1x
(1) x(1) Reserved encoding -
b1B
BAA0Normal Not
shareable
1 Shareable
1. The MPU ignores the value of this bit.
Table 11-38. Cache policy for memor y att rib ut e en co din g
Encoding, AA or BB Corresponding cach e policy
00 Non-cacheable
01 Write back, write and read allocate
10 W rite through , no write allocate
11 Write back, no write allocate
Table 11-39. AP encoding
AP[2:0] Privileged
permissions Unprivileged
permissions Description
000 No access No access All accesses generate a permission fault
001 RW No access Access from privileged software only
010 RW RO Writes by unpr ivileged software generate a permission
fault
011 RW RW Full access
100 Unpredictable Unpredictable Reserved
Table 11-37. TEX, C, B, and S encoding (Continued)
TEX C B S Memory type Shareability Other attributes
168 6500D–ATARM–29-Feb-12
SAM3S
11.22.7 MPU mismatchWhen an access violates the MPU permissions, the pr ocessor generates a memory manage-
ment fault, see “Exceptions and interrupts” on page 14. The MMFSR indicates the cause of the
fault. See “Memory Management Fault Status Register” on page 144 for more information.
11.22.8 Updating an MPU region
To update the attributes for an MPU region, update the RNR, RBAR and RASR registers. You
can program each register separately, or use a multiple-word write to program all of these regis-
ters. You can use the RBAR and RASR aliase s to program up to four regions simultan eously
using an STM instruction.
11.22.8.1 Updating an MPU region using separate words
Simple code to configure one region:
; R1 = region number
; R2 = size/enable
; R3 = attributes
; R4 = address
LDR R0,=MPU_RNR ; 0xE000ED98, MPU region number register
STR R1, [R0, #0x0] ; Region Number
STR R4, [R0, #0x4] ; Region Base Address
STRH R2, [R0, #0x8] ; Region Size and Enable
STRH R3, [R0, #0xA] ; Region Attribute
Disable a region before writing new region settings to the MPU if you have previously enabled
the region being changed. For example:
; R1 = region number
; R2 = size/enable
; R3 = attributes
; R4 = address
LDR R0,=MPU_RNR ; 0xE000ED98, MPU region number register
STR R1, [R0, #0x0] ; Region Number
BIC R2, R2, #1 ; Disable
STRH R2, [R0, #0x8] ; Region Size and Enable
STR R4, [R0, #0x4] ; Region Base Address
STRH R3, [R0, #0xA] ; Region Attribute
ORR R2, #1 ; Enable
STRH R2, [R0, #0x8] ; Region Size and Enable
Software must use m em o ry ba rr ier instr uc tio ns :
101 RO No access Reads by privileged software only
110 RO RO Read only, by privileged or unprivileged software
111 RO RO Read only, by privileged or unprivileged software
Table 11-39. AP encoding (Continued)
AP[2:0] Privileged
permissions Unprivileged
permissions Description
169
6500D–ATARM–29-Feb-12
SAM3S
before MPU setup if there might be outstanding memory transfers, such as buffered writes,
that might be affected by the change in MPU settings
after MPU setup if it includes memory transfers that must use the new MPU settings.
However, memory barrier instructions are not required if the MPU setup process starts by enter-
ing an exception han dler , or is f ollowe d b y an exception r etur n, be ca use the e xcept ion entr y and
exception return mechan ism cause memory barrier behavior.
Software does not need any memo ry barrier instr uctions during MPU setup, because it accesses
the MPU through the PPB, which is a Strongly-Ordered memory region.
For example, if you want all of the memory access behavior to take effect immediately af ter the
programming sequence , use a DSB instruction and an ISB instruction. A DSB is required after
changing MPU settings, such as at the end of context switch. An ISB is required if the code that
programs the MPU region or regions is entered using a branch or call. If the programming
sequence is ente red using a return fr om exception, or b y taking an exceptio n, then you do not
require an ISB.
11.22.8.2 Updating an MPU region using multi-word writes
You can program directly using multi-word writes, depending on how the information is divided.
Consider the following reprogramming:
; R1 = region number
; R2 = address
; R3 = size, attributes in one
LDR R0, =MPU_RNR ; 0xE000ED98, MPU region number register
STR R1, [R0, #0x0] ; Region Number
STR R2, [R0, #0x4] ; Region Base Address
STR R3, [R0, #0x8] ; Region Attribute, Size and Enable
Use an STM instruction to optimize this:
; R1 = region number
; R2 = address
; R3 = size, attributes in one
LDR R0, =MPU_RNR ; 0xE000ED98, MPU region number register
STM R0, {R1-R3} ; Region Number, address, attribute, size and enable
You can do this in two wor ds for pre-packed info rmation. This means that the RBAR cont ains the
required region number and had the VALID bit set to 1, see “MPU Region Base Address Regis-
ter” on page 164. Use this when the data is statically packed, for example in a boot lo ader:
; R1 = address and region number in one
; R2 = size and attributes in one
LDR R0, =MPU_RBAR ; 0xE000ED9C, MPU Region Base register
STR R1, [R0, #0x0] ; Region base address and
; region number combined with VALID (bit 4) set to 1
STR R2, [R0, #0x4] ; Region Attribute, Size and Enable
Use an STM instruction to optimize this:
; R1 = address and region number in one
; R2 = size and attributes in one
LDR R0,=MPU_RBAR ; 0xE000ED9C, MPU Region Base register
STM R0, {R1-R2} ; Region base address, region number and VALID bit,
170 6500D–ATARM–29-Feb-12
SAM3S
; and Region Attribute, Size and Enable
11.22.8.3 Subregions Regions of 256 bytes o r more ar e divided in to eight equal-si zed subreg ions. Set t he correspon d-
ing bit in the SRD fiel d of the RASR to di sable a su br eg ion, see “MPU Region Attribute and Size
Register” on pag e 16 5. Th e least sig nifica nt bit o f SRD con tr ols the fir st su bre gion, and t he most
significant bit controls the last subregion. Disabling a subregion means another region overlap-
ping the disabled range matches instead. If no other enabled region overlaps the disabled
subregion the MPU issues a fault.
Regions of 32, 64, and 128 bytes do not support subregions, With regions of these sizes, you
must set the SRD field to 0x00, otherwise the MPU behavior is Unpredictable.
11.22.8.4 Example of SRD use
Two regions with the same base address overlap. Region one is 128KB, and region two is
512KB. To ensure the attributes from region one apply to the first128KB region, set the SRD
field for region two to b000 0 00 11 to dis ab le th e first two subregio ns, as Figure 11-9 shows
Figure 11-9. SRD use
11.22.9 MPU design hints and tips
To avoid unexpected b ehavior, disable the interrupts before upda ting the attributes of a region
that the interrupt handlers might access.
Ensure software uses aligned accesses of the correct size to access MPU registers:
except for the RASR, it must use aligned word accesses
for the RASR it can use byte or aligned halfword or word accesses.
The processor does not support unaligned accesses to MPU registers.
When setting up the MPU, and if the MPU has previously been programmed, disable unused
regions to prevent any prev ious region settings from affecting the new MPU setup.
Region 1
Disabled subregion
Disabled subregion
Region 2, with
subregions
Base address of both regions
Offset from
base address
0
64KB
128KB
192KB
256KB
320KB
384KB
448KB
512KB
171
6500D–ATARM–29-Feb-12
SAM3S
11.22.9.1 MPU configuration for a microcontroller
Usually, a microcontroller system has only a single processor and no caches. In such a system,
program the MPU as follows:
In most microcontroller implementations, the share ability and cache policy attributes do not
affect the system b ehavior. However, using these settings for the M PU regions can make the
application code more portable. The values given are for typical situations. In special systems,
such as multiprocessor de signs or designs with a separate DMA engine, the share ability at tri-
bute might be important. In these cases refer to the recommendations of the memory device
manufacturer.
Table 11-40. Memory regio n attributes for a microcontroller
Memory region TEX C B S Memor y type and attributes
Flash memory b000 1 0 0 Normal memory, Non-shareable, write-through
Internal SRAM b000 1 0 1 Normal memory, Shareable, write-through
Exter nal SRAM b000 1 1 1 Normal memory, Shareable, write-back, write-allocate
Peripherals b000 0 1 1 Device memory, Shareable
172 6500D–ATARM–29-Feb-12
SAM3S
11.23 Glossary This glossary describes some of the terms used in technical documents from ARM.
Abort
A mechanism that indicates to a processor that the value associated with a memory access is
invalid. An abort can be caused by the external or internal memory system as a result of
attempting to access invalid instruction or data memory.
Aligned
A data item stored at an address that is divisible by the number of bytes that defines the data
size is said to be aligned. Aligned wor ds and halfwo rds ha ve addr esses that ar e divisible by four
and two respectively. The terms word-aligned and halfword-aligned therefore stipulate
addresses that are divisible by four and two respectively.
Banked register
A register that has multiple physical copies, where the state of the processor determines which
copy is used. The Stack Pointer, SP (R13) is a banked register.
Base register
In instruction descriptions, a register specified by a load or store instruction that is used to hold
the base value for the instruction’s address calculation. Depending on the instruction and its
addressing mode, an offset can be added to or subtracted from the base register value to form
the address that is sent to memory.
See also “Index register”
Breakpoint
A breakpoint is a mechanism provided by debuggers to identify an instruction at which program
execution is to be halted. Breakpoints are inserted by the programmer to enable inspection of
register contents, memory locations, variable values at fixed points in the program execution to
test that the program is operating correctly. Breakpoints are remo ved after the program is suc-
cessfully tested.
Condition field
A four-bit field in an instruction that specifies a condition under which the instruction can
execute.
Conditional execution
If the condition code flags indicate that the corresponding condition is true when the instruction
starts executing, it executes normally. Otherwise, the instruction does nothing.
Context
The environment that each process operate s in for a multitas king operatin g system. In ARM pro-
cessors, this is limited to mean the physical address ran ge that it ca n acce ss in memory and the
associated memory access permissions.
Coprocessor
A processor that supplements the main processor. Cortex-M3 does not support any
coprocessors.
Debugger
173
6500D–ATARM–29-Feb-12
SAM3S
A debugging system that includes a program, used to detect, locate, and correct software faults,
together with custom hardware that supports software debugging.
Direct Memory Access (DMA)
An operation that accesses main memory directly, without the processor performing any
accesses to the data concerned.
Doubleword
A 64-bit data item. The contents are taken as being an unsigned integer unless otherwise
stated.
Doubleword-aligned
A data item having a memory address that is divisible by eight.
Endianness
Byte ordering. The scheme th at determines the order that successive bytes of a data word a re
stored in memory. An aspect of the system’s memory mapping.
See also “Little-endian (LE)”
Exception
An event that inter rupt s prog ram execut io n. When a n exceptio n occur s, th e processor su spends
the normal program flow and starts execution at the address indicated by the corresponding
exception vector. The indicated address contains the first instruction of the handler for the
exception.
An exception can be an interrupt request, a fault, or a software-generated system exception.
Faults include attempting an invalid memory access, attempting to execute an instruction in an
invalid processor state, and attempting to execute an undefined instruction.
Exception servic e ro ut ine
See “Interrupt handler”.
Exception vector
See “Interrupt vector.
Flat address mapping
A system of organizing memory in which each physical address in the memory space is the
same as the corresponding virtual address.
Halfword
A 16-bit data item.
Illegal instruction
An instruction that is architecturally Undefined.
Implementation-defined
The behavior is not architecturally defined, but is defined and documented by individual
implementations.
Implementation-specific
174 6500D–ATARM–29-Feb-12
SAM3S
The behavior is not architecturally defined, and does not have to be documented by individual
implementations. Used when there are a number of impleme ntation options available and the
option chosen does not affect software compatibility.
Index register
In some load and store instruction descriptions, the value of this register is used as an offset to
be added to or subtracted from the base register value to form the address that is sent to mem-
ory. Some addressing modes optionally enable the index register value to be shifted prior to the
addition or subtraction.
See also “Base register”
Instruction cycle count
The number of cycles that an instruction occupies the Execute stage of the pipeline.
Interrupt han dle r
A program that control of the processor is passed to when an interrupt occurs.
Interrupt vecto r
One of a numb er of fixed a ddresses in low mem ory, o r in hig h memory if high vectors are config-
ured, that contains the first instruction of the corresponding interrupt handler.
Little-endian (LE)
Byte ordering scheme in which bytes of increasing significance in a data word are stored at
increasing addresses in memory.
See also, “Condition field”, “Endianness”.
Little-endian memory
Memory in which:
a byte or halfword at a word-a ligned address is the least significant byte or halfword within the
word at that address
a byte at a halfword-aligned address is the least significant byte within the halfword at that
address.
Load/store architecture
A processor architecture where data-processing operations only operate on register contents,
not directly on memory contents.
Memory Protection Unit (MPU)
Hardware that co n tro ls access permissions to blocks of memory. An MPU does not perform any
address translation .
Prefetching
In pipelined processors , the process of fetching instructions from memo ry to fill up the pipeline
before the preceding instructions have finished executing. Prefetching an instruction does not
mean that the instruction has to be executed.
Read
Reads are defined as memory operations that have the semantics of a load. Reads include the
Thumb instructions LDM, LDR, LDRSH, LDRH, LDRSB, LDRB, and POP .
175
6500D–ATARM–29-Feb-12
SAM3S
Region
A partition of memory space.
Reserved
A field in a control register or instruction format is reserved if the field is to be defined by the
implementati on, or produces Unpred ictable results if th e contents of the field are not zero. The se
fields are reser ved for use in f uture extensions of the architecture o r are implementatio n-specific.
All reserved bits not used by the implementation must be written as 0 and read as 0.
Should Be One (SBO)
Write as 1, or all 1s for bit fields, by software. Writing as 0 produces Unpredictable results.
Should Be Zero (SBZ)
Write as 0, or all 0s for bit fields, by software. Writing as 1 produces Unpredictable results.
Should Be Zero or Preserved (SBZP)
Write as 0, or all 0s for bit fields, by software, or preserved by writing the same value back that
has been previously read from the same field on the same processor.
Thread-safe
In a multi-tasking environme nt, thread-s afe functi ons use safegu ard mechan isms when acc ess-
ing shared resources, to en sure correct operation without the risk of shared access conflicts.
Thumb instruction
One or two halfwords that specify an operation for a processor to perform. Thumb instructions
must be halfword-aligned.
Unaligned
A data item stored at an address that is not divisible by the num ber of bytes that defines the da ta
size is said to be unaligned. For example, a word stored at an address that is not divisible by
four.
Undefined
Indicates an instruction that generates an Undefined instruction exception.
Unpredictable (UNP)
You cannot rely on the behavior. Unpredictable behavior must not represent security holes.
Unpredictable behavior must not halt or hang the processor, or any parts of the system.
Warm reset
Also known as a core reset. Initializes th e maj ority of the processor excluding the debug control-
ler and debug logic. This type of reset is useful if you are using the debugging features of a
processor.
Word
A 32-bit data item.
Write
Writes are defined as operations that have the semantics of a store. Writes inclu de the Thumb
instructions STM, STR, STRH, STRB, and PUSH.
176 6500D–ATARM–29-Feb-12
SAM3S
177
6500D–ATARM–29-Feb-12
SAM3S
12. Debug and Test Features
12.1 Description The SAM3 Series Microcontrollers feature a number of complementary debug and test
capabilities. The Serial Wire/JTAG Debug Port (SWJ-DP) combining a Serial Wire Debug Port
(SW-DP) and JTAG Debug (JTAG-DP) port is used for standard debugging functions, such as
downloading code and single-stepping through programs. It also embeds a serial wire trace.
12.2 Embedded Characteristics
Debug access to all memory and registers in the syst em , in clu d i ng Cortex-M3 register bank
when the core is running, halted, or held in reset.
Serial Wire Debug Port (SW-DP) and Serial Wire JTAG Debug Port (SWJ-DP) debug access
Flash Patch and Breakpoint (FPB) unit for implementing breakpoints and code patches
Data Watchpoint and Trace (DWT) unit for implementing wa tchpoints, data tracing, and
system profiling
Instrumentation Trace Macrocell (ITM) for support of printf style debugging
IEEE1149.1 JTAG Boundary-can on All Digital Pins
Figure 12-1. Debug and Test Block Diagram
TST
TMS
TCK/SWCLK
TDI
JTAGSEL
TDO/TRACESWO
Boundary
TAP SWJ-DP
Reset
and
Test
POR
178 6500D–ATARM–29-Feb-12
SAM3S
12.3 Application Examples
12.3.1 Debug Environment
Figure 12-2 shows a complete debug environment example. The SWJ-DP interface is used for
standard debugging functions, such as downloading code and single-stepping through the pro-
gram and viewing core and peripheral registers.
Figure 12-2. Application Debug Environment Example
12.3.2 Test Environment
Figure 12-3 shows a test environment example (JTAG Boundary scan). Test vectors are sent
and interpreted by the tester. In this example, the “board in test” is designed using a number of
JTAG-compliant devices. These devices can be connected to form a single scan chain.
SAM3
Host Debugger
PC
SAM3-based Application Board
SWJ-DP
Connector
SWJ-DP
Emulator/Probe
179
6500D–ATARM–29-Feb-12
SAM3S
Figure 12-3. Application Test Environment Example
12.4 Debug and Test Pin Description
Note: 1. TDO pin is set in input mode when the Cortex-M3 Core is not in debug mode. Thus the internal
pull-up corresponding to this PIO line must be enabled to avoid current consumption due to
floating input.
Chip 2
Chip n
Chip 1
SAM3
SAM3-based Application Board In Test
JTAG
Connector
Tester
Test Adaptor
JTAG
Probe
Table 12-1. Debug and Test Signal List
Signal Name Function Type Active Level
Reset/Test
NRST Microcontroller Reset Input/Output Low
TST Test Select Input
SWD/JTAG
TCK/SWCLK Test Clock/Serial Wire Clock Input
TDI Test Data In Input
TDO/TRACESWO Test Data Out/Trace Asynchronous
Data Out Output (1)
TMS/SWDIO Test Mode Select/Serial Wire
Input/Output Input
JTAGSEL JTAG Selection Input High
180 6500D–ATARM–29-Feb-12
SAM3S
12.5 Functional Description
12.5.1 Test Pin One dedicated pin, TST, is us ed to define the device operating mode. When this pin is at low
level during power-up, the device is in normal operating mode. When at high level, the device is
in test mode or FFPI mode. The TST pin integrates a permanent pull-down resistor of about 15
kΩ, so that it can b e left unco nnecte d for normal o peratio n. Note t hat when set ting the TST pin to
low or high level at power up, it must remain in the same state during the duration of the whole
operation.
12.5.2 Debug Architecture
Figure 12-4 shows the Debug Architectur e used in th e SAM3 . The Cor tex-M3 em beds fo ur func-
tional units for debug:
SWJ-DP (Serial Wire/JTAG Debug Port)
FPB (Flash Patch Breakpoint)
DWT (Data Watchpoint and Trace)
ITM (Instrumentation Trace Macrocell)
TPIU (Trace Po rt Interface Unit)
The debug architecture information that follows is mainly dedicated to developers of SWJ-DP
Emulators/Pro bes and debuggin g tool vendors f or Cortex M3-base d microcontroller s. For fur ther
details on SWJ-DP see the Cortex M3 technical reference manual.
Figure 12-4. Debug Architecture
12.5.3 Se ri al Wire /J TAG Debug Port (S WJ- DP)
The Cortex-M3 embeds a SWJ-DP Debug port which is the standard CoreSight debug port. It
combines Seria l Wire De bug Port ( SW-DP), fr om 2 to 3 pins and JTAG debug Port (JTAG-DP),
5 pins.
By default, the JTAG Debug Port is active. If the host debugger wants to switch to the Serial
Wire Debug Port, it must provide a dedicated JTAG sequence on TMS/SWDIO and
TCK/SWCLK which disables JTAG-DP and enables SW-DP.
4 watchpoints
PC sampler
data address sampler
data sampler
interrupt trace
CPU statistics
DWT
6 breakpoints
FPB
software trace
32 channels
time stamping
ITM
SWD/JTAG
SWJ-DP
SWO trace
TPIU
181
6500D–ATARM–29-Feb-12
SAM3S
When the Serial Wire Debug Port is active, TD O/TRACESWO can be used for trace. The asyn-
chronous TRACE output (TRACESWO) is multiplexed with TDO. So the asynchronous trace
can only be used with SW-DP, not JTAG-DP.
SW-DP or JTAG-DP mode is selected when JTAGSEL is low. It is not possible to switch directly
between SWJ-DP and JTAG boundary scan operations. A chip reset must be performed after
JTAGSEL is changed.
12.5.3.1 SW-DP and JTAG-DP Selection Mechanism
Debug port selection mechanism is done by sending specific SWDIOTMS sequence. The JTAG-
DP is selected by default after reset.
Switch from JTA G-DP to SW-DP. The sequence is:
Send more than 50 SWCLKTCK cycles with SWDIOTMS = 1
Send the 16-bit seque nce on SWDIOTMS = 011110 01111 00111 ( 0x79E7 MSB fir st)
Send more than 50 SWCLKTCK cycles with SWDIOTMS = 1
Switch from SWD to JTAG. The sequence is:
Send more than 50 SWCLKTCK cycles with SWDIOTMS = 1
Send the
16-bit
sequence on
SWDIOTMS
= 0011110011100111 (0x3CE7 MSB first)
Send more than 50 SWCLKTCK cycles with SWDIOTMS = 1
12.5.4 FPB (Flash Patch Breakpoint)
The FPB:
Implements hard ware breakpoints
Patches code and data from code space to system space.
The FPB unit contains:
Two literal compar ators f or mat ching against liter al loads from Code sp ace, and rema pping to
a corresponding area in System space.
Six instruction comparat ors for matching against instruction fetches from Code space and
remapping to a corresponding area in System space.
Alternatively, comparators can also be configured to generate a Breakpoint instruction to the
processor core on a match.
12.5.5 DWT (Data Watchpoint and Trace)
The DWT contains four comparators which can be configured to generate the following:
PC sampling packets at set intervals
PC or Data watchpoint packets
Table 12-2. SWJ-DP Pin List
Pin Name JTA G Port Serial Wire Debug Port
TMS/SWDIO TMS SWDIO
TCK/SWCLK TCK SWCLK
TDI TDI -
TDO/TRACESWO TDO TRACESWO (optional: trace)
182 6500D–ATARM–29-Feb-12
SAM3S
Watchpoint event to halt core
The DWT contains counters for the items that follow:
Clock cycle (CYCCNT)
Folded instructions
Load Store Unit (LSU) operations
Sleep Cycles
CPI (all instruction cycles except for the first cycle)
Interrupt overhead
12.5.6 ITM (Instrumentation Trace Macrocell)
The ITM is an application dr iven trace source that supports printf style debugging to trace Oper-
ating System (O S) and application events, and emits diagnostic system information. The ITM
emits trace information as packets which can be generated by three different sources with sev-
eral priority levels:
Software trace: Software can write directly to ITM stimulus registers. This can be done
thanks to the “printf” function. For more information, refer to Section 12.5.6.1 “How to
Configure the ITM”.
Hardware trace: The ITM emits packets generated by the DWT.
Time stamping: Timestam ps are emitted relative to pack ets. The ITM contains a 21-bit
counter to generate the timestamp.
12.5.6.1 How to Configure the ITM
The following example describes how to output trace data in asynchronous trace mode.
Configure the TPIU for asynchronous trace mode (refer to Section 12.5.6.3 “5.4.3. How to
Configure the TPIU” )
Enable the write accesses into the ITM registers by writing “0xC5ACCE55” into the
Lock Access Register (Address: 0xE0000FB0)
Write 0x00010015 into the Trace Contr ol Register:
–Enable ITM
Enable Synchronization packets
Enable SWO behavior
Fix the ATB ID to 1
Write 0x1 into the Trace Enable Register:
Enable the Stimulus por t 0
Write 0x1 into the Trace Privilege Regi ster:
Stimulus port 0 only accessed in privileged mode (Clearing a bit in this register will
result in the corresponding stimulus port being accessible in user mode.)
Write into the Stimulus port 0 register: TPIU (Trace Port Interface Unit)
The TPIU acts as a bridge between the on-chip trace data and the Instruction Trace Macro-
cell (ITM).
The TPIU formats and transmits tr ace data of f-chip at fr equencies asynchrono us to the cor e.
183
6500D–ATARM–29-Feb-12
SAM3S
12.5.6.2 Asynchronous Mode
The TPIU is configured in asynchronous mode, trace data a re output using the single TRAC-
ESWO pin. The TRACESWO sig nal is multiple xed wit h th e TDO sig nal of t he JTAG Deb ug Po rt.
As a consequence, asynchronous trace mode is only available when the Serial Wire Debug
mode is selected since TDO signal is used in JTAG debug mode.
Two encoding formats are available for the single pin output:
Manchester encoded stream. This is the reset value.
NRZ_based UART byte structure
12.5.6.3 5.4.3. How to Configure the TPIU
This example on ly co nce r ns th e as ynchronous trace mode.
Set the TRCENA bit to 1 into the Debug Exception and Monitor Register (0xE0 00EDFC) to
enable the use of trace and debug blocks.
Write 0x2 into the Selected Pin Protocol Register
Select the Serial Wire Output – NRZ
Write 0x100 into the Formatter and Flush Control Register
Set the suitable clock prescaler value into the Async Clock Prescaler Register to scale the
baud ra te of th e as yn ch ro nous ou tp ut ( thi s can be done au to mat ically by the debugging tool).
12.5.7 IEEE® 1149.1 JTAG Boundary Scan
IEEE 1149.1 JTAG Boun dary Scan allows pin -level access independ ent of the d evice packaging
technology.
IEEE 1149.1 JTAG Boundary Scan is enabled when TST is tied to low while JTAGSEL is high
during power-up and must be kept in this state during the whole boundary scan operation.
VDDCORE must be externally supplied between 1.8V and 1.95V. The SAMPLE, EXTEST and
BYPASS functions are implemented. In SWD/JTAG debug mode, the ARM processor responds
with a non-JTAG chip ID that identifies the processor. This is not IEEE 1149.1 JTAG-compliant.
It is not possible to switch directly betw een JTAG Boundary Scan and SWJ Debug Port opera-
tions. A chip reset must be performed after JTAGSEL is changed.
A Boundary-scan Descriptor Language (BSDL) file is provided on Atmel’s web site to set up th e
test.
12.5.7.1 JTAG Boundary-scan Register
The Boundary-scan Register (BSR) contains a number of bits which correspond to active pins
and associated control signals.
Each SAM3 input/output pin corres ponds to a 3-bit register in the BSR. The OUTPUT bit con -
tains data that can be forced on the pad. The INPUT bit facilitates the observability of data
applied to the pad. The CONTROL bit selects the direction of the pad.
For more information, please refer to BDSL files available for the SAM3 Series.
184 6500D–ATARM–29-Feb-12
SAM3S
12.5.8 ID Code Register
Access: Read-only
VERSION[31:28]: Product Version Number
Set to 0x0.
PART NUMBER[27:12]: Product Part Number
MANUFACTURER IDENTITY[11:1]
Set to 0x01F.
Bit[0] Required b y IEEE Std. 1149.1.
Set to 0x1.
31 30 29 28 27 26 25 24
VERSION PART NUMBER
23 22 21 20 19 18 17 16
PART NUMBER
15 14 13 12 11 10 9 8
PART NUMBER MANUFACTURER IDENTITY
76543210
MANUFACTURER IDENTITY 1
Chip Name Chip ID
SAM3S 0x05B2D
Chip Name JTAG ID Code
SAM3S 0x05B2D03F
185
6500D–ATARM–29-Feb-12
SAM3S
13. Reset Controller (RSTC)
13.1 Description The Reset Controller (RSTC), based on power-on reset cells, handles all the resets of the sys-
tem without any external components. It reports which reset occurred last.
The Reset Controller also dr ives independently or simultaneously th e external reset and the
peripheral and processor resets.
13.2 Block Diagram
Figure 13-1. Reset Controller Block Diagram
13.3 Functional Description
13.3.1 Reset Controller Overvie w
The Reset Controller is made up of an NRST Manager and a Reset State M anager. It runs at
Slow Clock and generates the following reset signals:
proc_nreset: Processor reset line. It also resets the Watchdog Timer.
periph_nreset: Affects the whole set of embedded peripherals.
nrst_out: Drives the NRST pin.
These reset signals are asserted by the Reset Controller, either on external events or on soft-
ware action. The Reset State Manager controls the generation of reset signals and provides a
signal to the NRST Manager when an assertion of the NRST pin is required.
The NRST Manager shapes the NRST assertion during a programmable time, thus controlling
external device resets.
NRST
proc_nreset
wd_fault
periph_nreset
SLCK
Reset
State
Manager
Reset Controller
rstc_irq
NRST
Manager exter_nreset
nrst_out
core_backup_reset
WDRPROC
user_reset
vddcore_nreset
186 6500D–ATARM–29-Feb-12
SAM3S
The Reset Controller Mode Register (RSTC_MR), allowing the configuration of the Reset Con-
troller, is powered with VDDIO, so that its configuration is saved as long as VDDIO is on.
13.3.2 NRS T Manag erAfter power-up, NRST is an output during the ERSTL time period defined in the RSTC_MR.
When ERSTL has elapsed, the pin behaves as an input and all the syste m is held in reset if
NRST is tied to GND by an external signal.
The NRST Manager samp les the NRST input pin and drives this pin low when required by the
Reset State Manager. Figur e 13-2 shows the block diagram of the NRST Manager.
Figure 13-2. NRST Manager
13.3.2.1 NRST Signal or Interrupt
The NRST Manager samples the NRST pin at Slow Clock speed. When th e line is detected low,
a User Reset is reported to the Reset State Manager.
However, the NRST Manager can be programme d to not trigger a reset when an assertion of
NRST occurs. Writing the bit URSTEN at 0 in RSTC_MR disables the User Reset trigger.
The level of the pin NRS T can be read at any ti me in the bit NRSTL (NRS T lev el) in RSTC_SR.
As soon as the pin NRST is asserted, the bit URSTS in RSTC_SR is set. This bit clears only
when RSTC_SR is read.
The Reset Controller can also be programmed to generate an interrupt instead of generating a
reset. To do so, the bit URSTIEN in RSTC_MR must be written at 1.
13.3.2.2 NRST Externa l Rese t Co ntr o l
The Reset State Manager asserts the signal ext_nreset to assert the NRST pin. When this
occurs, the “nrst_out” signal is driven low by the NRST Manager for a time programmed by the
field ERSTL in RSTC_MR. This assertion duration, named EXTERNAL_RESET_LENGTH, lasts
2(ERSTL+1) Slow Clock cycles. This gives the approximate duration of an assertion between 60 µs
and 2 seconds. Note that ERSTL at 0 defines a two-cycle duration for the NRST pulse.
This featur e al lows th e Reset Con trolle r t o shape t he NRST p in le ve l, and thus t o gua ra ntee th at
the NRST line is driven lo w for a time comp liant with poten tial ext ernal de vices connect ed on t he
system reset.
External Reset Timer
URSTS
URSTEN
ERSTL
exter_nreset
URSTIEN
RSTC_MR
RSTC_MR
RSTC_MR
RSTC_SR
NRSTL
nrst_out
NRST
rstc_irq
Other
interrupt
sources
user_reset
187
6500D–ATARM–29-Feb-12
SAM3S
As the ERSTL field is within RSTC_MR register, which is backed-up, it can be used to shape the
system power-up reset for devices requiring a longer startup time than the Slow Clock Oscillator.
13.3.3 Brow nout Manager
The Brownout manager is embedd ed within the Supply Controller, ple ase refer to the product
Supply Controller section for a detailed description.
13.3.4 Reset States The Reset State Manager handles the different reset sources an d generates the internal reset
signals. It reports the reset status in the field RSTTYP of the Status Reg ister (RSTC_SR). The
update of the field RST T YP is perf or m ed when the processor reset is released.
13.3.4.1 Gener al Reset
A general reset occurs when a Power-on-reset is detected, a Brownout or a Vo ltage regulation
loss is detected b y the Supply controlle r. The vddcore_ nreset signal is asserte d by the Supply
Controller when a general reset occurs.
All the reset signals are released and the field RSTTYP in RSTC_SR reports a General Reset.
As the RSTC_MR is reset, the NRST line rises 2 cycles after the vddcore_nreset, as ERSTL
defaults at value 0x0.
Figure 13-3 shows how the General Reset affects the reset signals.
Figure 13-3. General Reset State
SLCK
periph_nreset
proc_nreset
NRST
(nrst_out)
EXTERNAL RESET LENGTH
= 2 cycles
MCK
Processor Startup
= 2 cycles
backup_nreset
Any
Freq.
RSTTYP XXX 0x0 = General Reset XXX
188 6500D–ATARM–29-Feb-12
SAM3S
13.3.4.2 Backup Reset
A Backup reset occurs when th e chip retu rns fr om Backup mode. The core_backup_ rese t signal
is asserted by the Supply Controller when a Backup reset occurs.
The field RSTTYP in RSTC_SR is updated to report a Backup Reset.
13.3.4.3 User Reset The User Reset is entered wh en a low level is dete ct ed on t he NRST pi n an d the b it URSTEN in
RSTC_MR is at 1. The NRST input signal is resynchronized with SLCK to insure proper behav-
ior of the system.
The User Reset is entered as soon as a low level is detected on NRST. The Processor Reset
and the Peripheral Reset are asserted.
The User Reset is left when NRST rises, after a t wo-cycle resy nchron ization t ime an d a 3-cycle
processor startup. The processor clock is re-enabled as soon as NRST is confirmed high.
When the processor reset signal is released, the RSTTYP field of the Status Register
(RSTC_SR) is loaded with the value 0x4, indicati ng a User Reset.
The NRST Manager guarantees that the NRST line is asserted for
EXTERNAL_RESET_LENGTH Slow Clock cycles, as programmed in the field ERSTL. How-
ever, if NRST does not rise after EXTERNAL_RESET_LENGTH because it is driven low
externally, the internal reset lines remain asserted until NRST actually rises.
Figure 13-4. User Reset State
SLCK
periph_nreset
proc_nreset
NRST
NRST
(nrst_out)
>= EXTERNAL RESET LENGTH
MCK
Processor Startup
= 2 cycles
Any
Freq.
Resynch.
2 cycles
RSTTYP Any XXX
Resynch.
2 cycles
0x4 = User Reset
189
6500D–ATARM–29-Feb-12
SAM3S
13.3.4.4 Software Reset
The Reset Controller offers several commands used to assert the different reset signals. These
commands are performed by writing the Control Registe r (RSTC_CR) with the following bits at
1:
PROCRST: Writing PROCRST at 1 resets the processor and the watchdog timer.
PERRST: Writing PERRST at 1 rese ts all the embedded peripherals, including the memory
system, and, in particular, the Remap Command. The Peripheral Reset is generally used for
debug purposes.
Except for debug purposes, PERRST must always be used in conjunction with PROCRST
(PERRST and PROCRST set both at 1 simultaneously).
EXTRST: Writing EXTRST at 1 asserts low the NRST pin during a time defined by the field
ERSTL in the Mode Register (RSTC_MR).
The software reset is entered if at least one of these bits is set by the software. All these com-
mands can be performed independently or simultaneously. The software reset lasts 3 Slow
Clock cycles.
The internal reset signals are asserted as soon as the register write is performed. This is
detected on the Mast er Clock (MCK). They are released whe n the software reset is left , i.e.; syn-
chronously to SLCK.
If EXTRST is set, the nrst_out signal is asserted depending on the programming of the field
ERSTL. However, the resulting falling edge on NRST does not lead to a User Reset.
If and only if th e PRO CRST bit is se t, th e Re set Cont roller r epor ts th e sof tware st atus in t he f ield
RSTTYP of the Status Register (RSTC_SR). Other Software Resets are not reported in
RSTTYP.
As soon as a software operation is det ected, the bit SRCMP (Software Reset Co mmand in Prog-
ress) is set in the Statu s Regis ter (RST C_SR) . It is cleare d as soo n as th e softw are re set is lef t.
No other software reset can be performed while the SRCMP bit is set, and writing any value in
RSTC_CR has no effect.
190 6500D–ATARM–29-Feb-12
SAM3S
Figure 13-5. Software Reset
13.3.4.5 Watchdog Reset
The Watchdog Reset is entered when a watchdog fault occurs. This state lasts 3 Slow Clock
cycles.
When in Watchdog Reset, assertion of the reset signals depends on the WDRPROC bit in
WDT_MR:
If WDRPROC is 0, the Processor Reset and the Peripheral Reset a re asserted. The NRST
line is also asserted, depen ding on the programming of the field ERSTL. However, the
resulting low level on NRST does not result in a User Reset state.
If WDRPROC = 1, only the processor reset is asserted.
The Watchdog Timer is reset by the proc_nreset signal. As the watchdog fault always causes a
processor reset if WDRSTEN is set, the Watchdog Timer is always reset after a Watchdog
Reset, and the Watch do g is enab le d by defa u lt and wi th a perio d set to a maxim u m .
When the WDRSTEN in WDT_M R bit is reset, the watchdog fault has no impact o n the reset
controller.
SLCK
periph_nreset
if PERRST=1
proc_nreset
if PROCRST=1
Write RSTC_CR
NRST
(nrst_out)
if EXTRST=1 EXTERNAL RESET LENGTH
8 cycles (ERSTL=2)
MCK
Processor Startup
= 2 cycles
Any
Freq.
RSTTYP Any XXX 0x3 = Software Reset
Resynch.
1 cycle
SRCMP in RSTC_SR
191
6500D–ATARM–29-Feb-12
SAM3S
Figure 13-6. Watchdog Reset
13.3.5 Reset State Priorities
The Reset State Manager manages the following priorities between the different reset sources,
given in descending order:
General Reset
Backup Reset
Watchdog Reset
Software Reset
User Reset
Particular cases are listed below:
When in User Reset:
A watchdog event is impossible because the Watchdog Timer is being reset by the
proc_nreset signal.
A software reset is impossible, since the processor reset is being activated.
When in Software Reset:
A watchdog event has priority over the current state.
The NRST has no effect.
When in Watchdog Reset:
The processor reset is active and so a Software Reset cannot be programmed.
A User Reset cannot be entered.
Only if
WDRPROC = 0
SLCK
periph_nreset
proc_nreset
wd_fault
NRST
(nrst_out)
EXTERNAL RESET LENGTH
8 cycles (ERSTL=2)
MCK
Processor Startup
= 2 cycles
Any
Freq.
RSTTYP Any XXX 0x2 = Watchdog Reset
192 6500D–ATARM–29-Feb-12
SAM3S
13.3.6 Reset Controller Status Register
The Reset Controller status register (RSTC_SR) provides several status fields:
RSTTYP field: This field gives the type of the last reset, as explained in previous sections.
SRCMP bit: This fiel d indicates that a Software Reset Command is in progress and that no
further software reset should be performed until the end of the current one. This bit is
automatically cle ared at the end of the current software reset.
NRSTL bit: The NRSTL bit of the Status Registe r giv es the le v el of the NRST pin sampled on
each MCK rising edge.
URSTS bit: A high-to-low transition of the NRST pin sets the URSTS bit of the RSTC_SR
register. This transition is also detected on the Mast er Clock (MCK) rising edge (see Figure
13-7). If th e User Reset is disabled (URSTEN = 0) and if the interruption is enabled by the
URSTIEN bit in the RSTC_MR register, the URSTS bit triggers an interrupt. Reading the
RSTC_SR status register resets the URSTS bit and clears the interrupt.
Figure 13-7. Reset Controller Statu s an d In te rru p t
MCK
NRST
NRSTL
2 cycle
resynchronization 2 cycle
resynchronization
URSTS
read
RSTC_SR
Peripheral Access
rstc_irq
if (URSTEN = 0) and
(URSTIEN = 1)
193
6500D–ATARM–29-Feb-12
SAM3S
13.4 Reset Controller (RSTC) User Interface
Table 13-1. Register Mapping
Offset Register Name Access Reset
0x00 Control Register RSTC_CR Write-only -
0x04 Status Register RSTC_SR Read-only 0x0000_0000
0x08 Mode Register RSTC_MR Read-write 0x0000 0001
194 6500D–ATARM–29-Feb-12
SAM3S
13.4.1 Reset Controller Control Register
Name: RSTC_CR
Address: 0x400E1400
Access: Write-only
PROCRST: Processor Reset
0 = No effect.
1 = If KEY is correct, resets t he processor.
PERRST: Peripheral Reset
0 = No effect.
1 = If KEY is correct, resets t he peripherals.
EXTRST: External Reset
0 = No effect.
1 = If KEY is correct, asserts the NRST pin .
•KEY: Password
Should be written at value 0xA5. Writing any other value in this field aborts the write operation.
31 30 29 28 27 26 25 24
KEY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––
76543210
––––EXTRSTPERRSTPROCRST
195
6500D–ATARM–29-Feb-12
SAM3S
13.4.2 Reset Controller Status Register
Name: RSTC_SR
Address: 0x400E1404
Access: Read-only
URSTS: User Reset Status
0 = No high-to- low edge on NRST happened since the last read of RSTC_SR.
1 = At least one high-to-low transition of NRST has been detected since the last read of RSTC_SR.
RSTTYP: Reset Type
Reports the cause of the last processor reset. Reading this RSTC_SR does not reset this field.
NRSTL: NRST Pin Level
Registers the NRST Pin Level at Master Clock (MCK).
SRCMP: Software Reset Command in Progress
0 = No software command is bein g performed by the reset cont roller. The reset controller is ready for a software co mmand.
1 = A software reset command is being performed by the reset controller. The reset controller is busy.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––SRCMPNRSTL
15 14 13 12 11 10 9 8
––––– RSTTYP
76543210
–––––––URSTS
RSTTYP Reset Type Comments
0 0 0 Gen eral Reset First power-up Reset
0 0 1 Ba ckup Reset Return from Backup mode
0 1 0 Watchdog Reset Watchdog fault occurred
0 1 1 Software Reset Processor reset required b y the software
1 0 0 User Reset NRST pin detected low
196 6500D–ATARM–29-Feb-12
SAM3S
13.4.3 Reset Controller Mode Register
Name: RSTC_MR
Address: 0x400E1408
Access: Read-write
URSTEN: User Reset Enable
0 = The detection of a low level on the pin NRST does not generate a User Reset.
1 = The detection of a low level on the pin NRST triggers a User Reset.
URSTIEN: User Reset Interrupt Enable
0 = USRTS bit in RSTC_SR at 1 has no effect on rstc_irq.
1 = USRTS bit in RSTC_SR at 1 asserts rstc_irq if URSTEN = 0.
ERSTL: External Reset Length
This field de fines the exter nal reset le ngth. The external re set is asserted during a time of 2(ERSTL+1) Slow Clock cycles. This
allows assertion du ration to be programmed between 60 µs and 2 second s.
•KEY: Password
Should be written at value 0xA5. Writing any other value in this field aborts the write operation.
31 30 29 28 27 26 25 24
KEY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––– ERSTL
76543210
URSTIEN URSTEN
197
6500D–ATARM–29-Feb-12
SAM3S
14. Real-time Timer (RTT)
14.1 Description The Real-time Timer is built around a 32-bit counter used to count roll-over events of the 16-bit
prescaler which size enables to co unt elapse d se conds from a 32 kHz slow clock source. It gen-
erates a periodic interrupt and/or triggers an alarm on a programmed value.
14.2 Embedded Characteristics
Real-time Timer, allowing backup of time with different accuracies
32-bit free-running back-up counter
Integrates a 16-bit programmable prescaler running on s low clock
Alarm register capable to generate a wake-up of the system through the Shut Down Controller
14.3 Block Diagram
Figure 14-1. Real-time Timer
SLCK
RTPRES
RTTINC
ALMS
16-bit
Divider
32-bit
Counter
ALMV =
CRTV
RTT_MR
RTT_VR
RTT_AR
RTT_SR
RTTINCIEN
RTT_MR
0
10
ALMIEN
rtt_int
RTT_MR
set
set
RTT_SR
read
RTT_SR
reset
reset
RTT_MR
reload
rtt_alarm
RTTRST
RTT_MR
RTTRST
198 6500D–ATARM–29-Feb-12
SAM3S
14.4 Functional Description
The Real-time Timer can be used to count elapsed seconds. It is built around a 32-bit counter
fed by Slow Clock divided by a progra mmable 16-bit valu e. The value can be programme d in the
field RTPRES of the Real-time Mode Register (RTT_MR).
Programming RTPRES at 0x00008000 corresponds to feeding the real-time counter with a 1 Hz
signal (if the Slow Clock is 32.768 kHz). The 32-bit counter can count up to 232 seconds, corre-
sponding to more than 136 years, then roll over to 0.
The Real-time Timer can also be used as a free-running timer with a lower time-base. The best
accuracy is achieved by writing RTPRES to 3. Programming RTPRES to 1 or 2 is possible, but
may result in losing status events because the status register is cleared two Slow Clock cycles
after read. Thus if the RTT is co nfigured to t rigger an in terrupt, the interru pt occurs du ring 2 Slow
Clock cycles after reading RTT_SR. To prevent several executions of the interrupt handler, the
interrupt must be disabled in the interrupt handler and re-enabled when the status register is
clear.
The Real-time Timer value (CRTV) can be read at any time in the registe r RTT_VR (Real-time
Value Register). As this value can be updat ed asynchronously from th e Master Clock, it is advis-
able to read this register twice at the same value to improve accuracy of the returned value.
The current value of the counter is compared with the value written in the alarm register
RTT_AR (Real-time Alarm Register). If the coun ter value matches the ala rm, the bit ALMS in
RTT_SR is set. The alarm register is set to its maximum value, corresponding to 0xFFFF_FFFF,
after a reset.
The bit RTTINC in RTT_ SR is set each time the Real-time Time r counter is in cremented. This bit
can be used t o s tar t a p er iod ic in te rr up t, th e period being one second when the RTPRES is pro-
grammed with 0x8000 and Slow Clock equal to 32.768 Hz.
Reading the RTT_SR status register re sets the RTTINC and ALMS fields.
Writing the bit RTTRST in RTT_MR immediately reloads and restarts the clock divider with th e
new programmed value. This also resets the 32-bit counter.
Note: Because of the asynchronism between the Slow Clock (SCLK) and the System Clock (MCK):
1) The restart of the counter and the reset of the R TT_VR current v alue register is effective only 2
slow clock cycles after the write of the RTTRST bit in the RTT_MR register.
2) The status register flags reset is taken into account only 2 slow clock cycles after the read of the
RTT_SR (Status Register).
199
6500D–ATARM–29-Feb-12
SAM3S
Figure 14-2. RTT Counting
Prescaler
ALMVALMV-10 ALMV+1
0
RTPRES - 1
RTT
APB cycle
read RTT_SR
ALMS (RTT_SR)
APB Interface
SCLK
RTTINC (RTT_SR)
ALMV+2 ALMV+3
...
APB cycle
200 6500D–ATARM–29-Feb-12
SAM3S
14.5 Real-time Timer (RTT) User Interface
Table 14-1. Register Mapping
Offset Register Name Access Reset
0x00 Mode Register RTT_MR Read-write 0x0000_8000
0x04 Alarm Register R TT_AR Read-write 0xFFFF_FFFF
0x08 Value Register RTT_VR Read-only 0x0000_0000
0x0C Status Register RTT_SR Read-only 0x0000_0000
201
6500D–ATARM–29-Feb-12
SAM3S
14.5.1 Real-time Timer Mode Register
Name: RTT_MR
Address: 0x400E1430
Access Type: Read/Write
RTPRES: Real-time Timer Prescaler Value
Defines the number of SLCK periods required to increment the Real-time timer. RTPRES is defined as follows:
RTPRES = 0: The prescaler period is equal to 216 * SCLK period.
RTPRES 0: The prescaler period is equal to RTPRES * SCLK period.
ALMIEN: Alarm Interrupt Enable
0 = The bit ALMS in RTT_SR has no effect on interrupt.
1 = The bit ALMS in RTT_SR asserts interrupt.
RTTINCIEN: Real-time Timer Increment Interrupt Enable
0 = The bit RTTINC in RTT_SR ha s no effect on interrupt.
1 = The bit RTTINC in RTT_SR asserts interrupt.
RTTRST: Real-ti me T ime r Re st art
0 = No effect.
1 = Reloads and restarts the clock divider with the new programmed value. This also resets the 32-bit counter.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––RTTRSTRTTINCIENALMIEN
15 14 13 12 11 10 9 8
RTPRES
76543210
RTPRES
202 6500D–ATARM–29-Feb-12
SAM3S
14.5.2 Real-time Timer Alarm Register
Name: RTT_AR
Address: 0x400E1434
Access Type: Read/Write
ALMV: Alarm Value
Defines the alarm value (ALMV+1) compared with the Real-time Timer.
31 30 29 28 27 26 25 24
ALMV
23 22 21 20 19 18 17 16
ALMV
15 14 13 12 11 10 9 8
ALMV
76543210
ALMV
203
6500D–ATARM–29-Feb-12
SAM3S
14.5.3 Real-time Timer Value Register
Name: RTT_VR
Address: 0x400E1438
Access Type: Read-only
CRTV: Current Real-time Value
Returns the current value of the Real-time Timer.
31 30 29 28 27 26 25 24
CRTV
23 22 21 20 19 18 17 16
CRTV
15 14 13 12 11 10 9 8
CRTV
76543210
CRTV
204 6500D–ATARM–29-Feb-12
SAM3S
14.5.4 Real-time Timer Status Register
Name: RTT_SR
Address: 0x400E143C
Access Type: Read-only
ALMS: Real-time Alarm Stat us
0 = The Real-time Alarm has not occurred since the last read of RTT_SR.
1 = The Real-time Alarm occurred since the last read of RTT_SR.
RTTINC: Real-time Timer Increment
0 = The Real-time Timer has not been incremented since the last read of the RTT_SR.
1 = The Real-time Timer has been incremented since the last read of the RTT_SR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––––RTTINCALMS
205
6500D–ATARM–29-Feb-12
SAM3S
15. Real-time Clock (RTC)
15.1 Description The Real-time Clock (RTC) peripheral is designed for very low powe r consumption.
It combines a complete time- of-day clock with alarm and a two-hundred- year Gregorian calen-
dar, complemented by a programmable periodic interrupt. The alarm and calendar registers are
accessed by a 32-bit data bus.
The time and calendar values are coded in binary-coded decimal (BCD) format. The time format
can be 24-hour mode or 12 -hour mode with an AM/PM indicator.
Updating time and calendar fields and configuring the alarm fields are performed by a parallel
capture on the 32-bit data bus. An entry control is performed to avoid loading registers with
incompatible BCD format data or with an incompatible date according to the current
month/year/century.
15.2 Embedded Characteristics
Low Power Consumpt ion
Full Asynchronous Design
Two Hundred Year Gregorian Calendar
Programmable Periodic Interrupt
Time, Date and Alarm 32-bit Parallel Load
206 6500D–ATARM–29-Feb-12
SAM3S
15.3 Block Diagram
Figure 15-1. RTC Block Diagram
15.4 Product Dependencies
15.4.1 Power Management
The Real-time Clock is continuously clocked at 32768 Hz. The Power Management Controller
has no effect on RTC behavior.
15.4.2 Interrupt RTC interrupt line is connected on one of the interna l sources of the interrupt controller. RTC
interrupt requires the interrupt controller to be programmed first.
Bus Interface
32768 Divider TimeSlow Clock: SLCK
Bus Interface
Date
RTC Interrupt
Entry
Control Interrupt
Control
207
6500D–ATARM–29-Feb-12
SAM3S
15.5 Functional Description
The RTC provides a full binary-coded decimal (BCD) clock that includes century (19/20), year
(with leap years), month , date, day, hours, minutes and seconds.
The valid year range is 1900 to 2099 in Gregorian mode, a two-hundred-year calendar.
The RTC can operate in 24-hour mode or in 12-hour mode with an AM/PM indicator.
Corrections for leap years are included (all years divisible by 4 being leap years). This is correct
up to the year 2099.
15.5.1 Reference Clock
The refere nce clock is Slow C lock (SLCK). It c an be driven internally or by an external 32.768
kHz crystal.
During low power modes of the processor, the oscillator runs and power consumption is critical.
The crystal selection has to take into account the current consumption for power saving and the
frequency drift du e to tem pe r atu r e eff ec t on the circ uit for tim e ac cur a cy.
15.5.2 Timing The RTC is updated in real time at one-second intervals in normal mode for the counters of sec-
onds, at one-minute intervals for the counter of minutes and so on.
Due to the asynchronous operation of the RTC with respect to the rest of the chip, to be certain
that the value re ad in the RTC registers (centur y, year, month, date, da y, hours, minutes, sec -
onds) are valid and stable, it is necessary to read these registers twice. If the data is the same
both times, then it is valid. Therefore, a minimum of two and a maximum of three accesses are
required.
15.5.3 Alarm The RTC has five programmable fields: month, date, hours, minutes and seconds.
Each of these fields can be enabled or disabled to match the alarm condition:
If all the fields are enabled, an alarm flag is generated (the corresponding flag is asserted
and an interrupt generated if enabled) at a given month, date, hour/minute/second.
If only the “seconds” field is enabled, then an alarm is generated every minute.
Depending on the combination of fields enabled, a large number of possibilities are available to
the user rang ing from minutes to 365/366 days.
15.5.4 Error CheckingVerification on user interface data is performed when accessing the century, year, month, date,
day, hours, minutes, seconds and alarms. A check is performed on illegal BCD entries such as
illegal date of the month with regard to the year and century configured.
If one of th e time fields is n ot corre ct, the data is not lo aded int o the r egister/co unter a nd a f lag is
set in the validity register. The user can not reset this flag. It is reset as soon as an acceptable
value is programmed. This avoids any further side effects in the hardware. The same procedure
is done for the alarm.
The following checks are performed:
1. Century (check if it is in range 19 - 20)
2. Year (BCD entry check)
208 6500D–ATARM–29-Feb-12
SAM3S
3. Date (check range 01 - 31)
4. Month (check if it is in BCD range 01 - 12, check validity regarding “date”)
5. Day (check range 1 - 7)
6. Hour (BCD ch ecks: in 24-hour mode , chec k range 00 - 23 and chec k that AM/PM f lag is
not set if RTC is set in 24-hour mode; in 12-hour mode check r ange 01 - 12)
7. Minute (check BCD and range 0 0 - 59)
8. Second (check BCD and range 00 - 59)
Note: If the 12-hour mode is selected by means of the RTC_MODE register, a 12-hour value can be pro-
grammed and the returned value on RTC_TIME wi ll be the corresponding 24-hour value. The
entry control checks the value of the AM/PM indicator (bit 22 of RTC_TIME register) to determine
the range to be checked.
15.5.5 Updating Time/Calendar
To update any of the time/calendar fields, the user must first stop the RTC by setting the corre-
sponding field in the Control Register. Bit UPDTIM must be set to update time fields (hour,
minute, second) and bit UPDCAL must be set to update calendar fields (century, year, month,
date, day).
Then the user must poll or wait for the interrupt (if enabled) of bit ACKUPD in the Status Regis-
ter. Once the bit reads 1, it is mandatory to clear this flag by writing the corresponding bit in
RTC_SCCR. The user can now write to the appropriate Time and Calendar register.
Once the update is finished, the user must reset (0) UPDTIM and/or UPDCAL in the Control
When entering programming mode of the calendar fields, the time fields remain enabled. When
entering the prog ramming mode of the time fields, bo th time and calendar fields are stoppe d.
This is due to the location of the calendar logic circuity (downstream for low-power consider-
ations). It is highly recommended to prepare all the fields to be updated before entering
programming mode. In successive update operations, the user must wait at least one second
after resetting the UPDTIM/UPDCAL bit in the RTC_CR (Control Register) before setting these
bits again. This is done by waiting for the SEC flag in the Status Register before setting
UPDTIM/UPDCAL bit. After resetting UPDTIM/UPDCAL, the SEC flag must also be cleared.
209
6500D–ATARM–29-Feb-12
SAM3S
Figure 15-2. Update Sequence
Prepare TIme or Calendar Fields
Set UPDTIM and/or UPDCAL
bit(s) in RTC_CR
Read RTC_SR
ACKUPD
= 1 ?
Clear ACKUPD bit in RTC_SCCR
Update Time and/or Calendar values in
RTC_TIMR/RTC_CALR
Clear UPDTIM and/or UPDCAL bit in
RTC_CR
No
Yes
Begin
End
Polling or
IRQ (if enabled)
210 6500D–ATARM–29-Feb-12
SAM3S
15.6 Real Time Clock (RTC) User Interface
Note: if an offset is not listed in the table it must be considered as reser ved.
Table 15-1. Register Mapping
Offset Register Name Access Reset
0x00 Control Register RT C_CR Read-write 0x0
0x04 Mode Register RTC_MR Read-write 0x0
0x08 Time Register RTC_TIMR Read-wr ite 0x0
0x0C Calendar Register RTC_CALR Read-write 0x01810720
0x10 Time Alarm Register RT C_TIMALR Read-write 0x0
0x14 Calendar Alarm Register RTC_CALALR Read-write 0x01010000
0x18 Status Register RT C_SR Read-only 0x0
0x1C Status Clear Command Register RTC_SCCR Write-only
0x20 Interrupt Enable Register RTC_IER Write-only
0x24 Interrupt Disable Register RTC_IDR Write-only
0x28 Interrupt Mask Register RTC_IMR Read-only 0x0
0x2C Valid Entry Register RT C_VER Read-only 0x0
0x30–0xF8 Reserved Register
0xFC Reserved Register
211
6500D–ATARM–29-Feb-12
SAM3S
15.6.1 RTC Control Register
Name: RTC_CR
Address: 0x400E1460
Access: Read-write
UPDTIM: Update Request Time Register
0 = No effect.
1 = Stops the RTC time counting.
Time counting consists of second, minu te and hour counters. Time counters can be programmed once this bit is set and
acknowledged by the bit ACKUPD of the Status Register.
UPDCAL: Update Request Calendar Register
0 = No effect.
1 = Stops the RTC calendar counting.
Calendar counting consists of day, date, month, year and century counters. Calendar counters can be programmed once
this bit is set.
TIMEVSEL: Time Event Selection
The event that generates the flag TIMEV in RTC_SR (Status Register) depends on the value of TIMEVSEL.
CALEVSEL: Calendar Event Selection
The event that generates the flag CALEV in RTC_SR depends on the value of CALEVSEL
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––– CALEVSEL
15 14 13 12 11 10 9 8
–––––– TIMEVSEL
76543210
––––––UPDCALUPDTIM
Value Name Description
0 MINUTE Minute change
1 HOUR Hour change
2 MIDNIGHT Every day at midnight
3 NOON Every day at noon
Value Name Description
0 WEEK Week change (every Monday at time 00:00:00)
1 MONTH Month change (every 01 of each month at time 00:00:00)
2 YEAR Year change (every January 1 at time 00:00:00)
3–
212 6500D–ATARM–29-Feb-12
SAM3S
15.6.2 RTC Mode Register
Name: RTC_MR
Address: 0x400E1464
Access: Read-write
HRMOD: 12-/24-hour Mode
0 = 24-hour mode is selected.
1 = 12-hour mode is selected.
All non-significant bits read zero.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––HRMOD
213
6500D–ATARM–29-Feb-12
SAM3S
15.6.3 RTC Time Register
Name: RTC_TIMR
Address: 0x400E1468
Access: Read-write
SEC: Current Second
The range that can be set is 0 - 59 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
MIN: Current Minute
The range that can be set is 0 - 59 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
HOUR: Current Hour
The range that can be set is 1 - 12 (BCD) in 12-hour mode or 0 - 23 (BCD) in 24-hour mode.
AMPM: Ante Merid iem Post Meridiem Indicator
This bit is the AM/PM indicator in 12-hour mode.
0 = AM.
1 = PM.
All non-significant bits read zero.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–AMPM HOUR
15 14 13 12 11 10 9 8
–MIN
76543210
–SEC
214 6500D–ATARM–29-Feb-12
SAM3S
15.6.4 RTC Calendar Register
Name: RTC_CALR
Address: 0x400E146C
Access: Read-write
CENT: Current Century
The range that can be set is 19 - 20 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
YEAR: Current Year
The range that can be set is 00 - 99 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
MONTH: Current Month
The range that can be set is 01 - 12 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
DAY: Current Day in Current Week
The range that can be set is 1 - 7 (BCD).
The coding of the number (which number represents which day) is user-defined as it has no effect on the date counter.
DATE: Current Day in Current Month
The range that can be set is 01 - 31 (BCD).
The lowest four bits encode t he units. The higher bits encode the tens.
All non-significant bits read zero.
31 30 29 28 27 26 25 24
–– DATE
23 22 21 20 19 18 17 16
DAY MONTH
15 14 13 12 11 10 9 8
YEAR
76543210
–CENT
215
6500D–ATARM–29-Feb-12
SAM3S
15.6.5 RTC Time Alarm Register
Name: RTC_TIMALR
Address: 0x400E1470
Access: Read-write
SEC: Second Alarm
This field is the alarm field corresponding to the BCD-coded second counter.
SECEN: Second Alarm Enable
0 = The second-matching alarm is disabled.
1 = The second-matching alarm is enabled.
MIN: Minute Alarm
This field is the alarm field corresponding to the BCD-coded minute counter.
MINEN: Minute Alarm Enable
0 = The minute-matching alarm is disabled.
1 = The minute-matching alarm is enabled.
HOUR: Hour Alarm
This field is the alarm field corresponding to the BCD-coded hour counter.
AMPM: AM/PM Indicator
This field is the alarm field corresponding to the BCD-coded hour counter.
HOUREN: Hour Alarm Enable
0 = The hour-matching alarm is disabled.
1 = The hour-matching alarm is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
HOUREN AMPM HOUR
15 14 13 12 11 10 9 8
MINEN MIN
76543210
SECEN SEC
216 6500D–ATARM–29-Feb-12
SAM3S
15.6.6 RTC Calendar Alarm Register
Name: RTC_CALALR
Address: 0x400E1474
Access: Read-write
MONTH: Month Alarm
This field is the alarm field corresponding to the BCD-coded month counter.
MTHEN: Month Alarm Enab le
0 = The month-matchin g alarm is disabled.
1 = The month-matching alarm is enabled.
•DATE: Date Alarm
This field is the alarm field corresponding to the BCD-coded date counter.
DATEEN: Date Alarm Enable
0 = The date-matching alarm is disabled.
1 = The date-matching alar m is enabled.
31 30 29 28 27 26 25 24
DATEEN DATE
23 22 21 20 19 18 17 16
MTHEN MONTH
15 14 13 12 11 10 9 8
––––––––
76543210
––––––––
217
6500D–ATARM–29-Feb-12
SAM3S
15.6.7 RTC Status Register
Name: RTC_SR
Address: 0x400E1478
Access: Read-only
ACKUPD: Acknowledge for Update
0 = Time and calendar registers cannot be updated.
1 = Time and calendar registers can be updated.
ALARM: Alarm Flag
0 = No alarm matching condition occurred.
1 = An alarm matching condition has occurred.
SEC: Second Event
0 = No second event has occurred since the la st clear.
1 = At least one se cond event has occurred since the last cle ar.
TIMEV: Time Event
0 = No time event has occurred since the last clear.
1 = At least one time event has occurred since the last clear.
The time event is selected in the TIMEVSEL field in RTC_CR (Control Register) and can be any one of the following
events: minute change, hour change, noon, midnight (day change).
CALEV: Calendar Event
0 = No calendar event has occurred since the last clear.
1 = At least one calendar event has occurred since the last clear .
The calendar event is selected in the CALEVSEL field in RTC_CR and can be any one of the following events: week
change, month change and year change.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CALEV TIMEV SEC ALARM ACKUPD
218 6500D–ATARM–29-Feb-12
SAM3S
15.6.8 RTC Status Clear Command Register
Name: RTC_SCCR
Address: 0x400E147C
Access: Write-only
ACKCLR: Acknowledge Clear
0 = No effect.
1 = Clears corresponding status flag in the Status Register (RTC_SR).
ALRCLR: Alarm Clear
0 = No effect.
1 = Clears corresponding status flag in the Status Register (RTC_SR).
SECCLR: Second Clear
0 = No effect.
1 = Clears corresponding status flag in the Status Register (RTC_SR).
TIMCLR: Time Clear
0 = No effect.
1 = Clears corresponding status flag in the Status Register (RTC_SR).
CALCLR: Calendar Clear
0 = No effect.
1 = Clears corresponding status flag in the Status Register (RTC_SR).
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CALCLR TIMCLR SECCLR ALRCLR ACKCLR
219
6500D–ATARM–29-Feb-12
SAM3S
15.6.9 RTC Interrupt Enable Register
Name: RTC_IER
Address: 0x400E1480
Access: Write-only
ACKEN: Acknowledge Update Interrupt Enable
0 = No effect.
1 = The acknowledge for update interrupt is enabled.
ALREN: Alarm Interrupt Enable
0 = No effect.
1 = The alarm interrupt is enabled.
SECEN: Second Event Interrupt Enable
0 = No effect.
1 = The second periodic interrupt is enabled.
TIMEN: Time Event Interrupt Enable
0 = No effect.
1 = The selected time event interrupt is enabled.
CALEN: Calendar Event Interrupt Enable
0 = No effect.
1 = The selected calendar event interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CALEN TIMEN SECEN ALREN ACKEN
220 6500D–ATARM–29-Feb-12
SAM3S
15.6.10 RTC Interrupt Disable Register
Name: RTC_IDR
Address: 0x400E1484
Access: Write-only
ACKDIS: Acknowledge Update Interrupt Disable
0 = No effect.
1 = The acknowledge for update interrupt is disabled.
ALRDIS: Alarm Interrupt Disable
0 = No effect.
1 = The alarm interrupt is disabled.
SECDIS: Second Event Interrupt Disable
0 = No effect.
1 = The second periodic interrupt is disabled.
TIMDIS: Time Event Interrupt Disable
0 = No effect.
1 = The selected time event interrupt is disabled.
CALDIS: Calendar Event Interrupt Disa ble
0 = No effect.
1 = The selected calendar event interrupt is disabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CALDIS TIMDIS SECDIS ALRDIS ACKDIS
221
6500D–ATARM–29-Feb-12
SAM3S
15.6.11 RTC Interrupt Mask Register
Name: RTC_IMR
Address: 0x400E1488
Access: Read-only
ACK: Acknowledge Update Interrupt Mask
0 = The acknowledge for update interrupt is disabled.
1 = The acknowledge for update interrupt is enabled.
ALR: Alarm Interrupt Mask
0 = The alarm interrupt is disabled.
1 = The alarm interrupt is enabled.
SEC: Second Event Interrupt Mask
0 = The second periodic interrupt is disabled.
1 = The second periodic interrupt is enabled.
TIM: Time Even t In te rru p t Ma s k
0 = The selected time event interrupt is disabled.
1 = The selected time event interrupt is enabled.
CAL: Calendar Event Interrupt Mask
0 = The selected calendar event interrupt is disabled.
1 = The selected calendar event interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––CALTIMSECALRACK
222 6500D–ATARM–29-Feb-12
SAM3S
15.6.12 RTC Valid Entry Register
Name: RTC_VER
Address: 0x400E148C
Access: Read-only
NVTIM: Non-valid Time
0 = No invalid data has been detected in RTC_TIMR (Time Register).
1 = RTC_TIMR has contained invalid data since it was last programmed.
NVCAL: Non-valid Calendar
0 = No invalid data has been detected in RTC_CALR (Calendar Register) .
1 = RTC_CALR has containe d invalid data since it was last programmed.
NVTIMALR: Non-valid Time Alarm
0 = No invalid data has been detected in RTC_TIMALR (Time Alarm Register).
1 = RTC_TIMALR has contained invalid data since it was last programmed.
NVCALALR: Non-valid Calendar Alarm
0 = No invalid data has been detected in RTC_CALALR (Calendar Alarm Register).
1 = RTC_CALALR has contained invalid data since it was last programmed.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––NVCALALRNVTIMALRNVCALNVTIM
223
6500D–ATARM–29-Feb-12
SAM3S
16. Watchdog Timer (WDT)
16.1 Description The Watchdog Timer can be used to prevent syste m lock-up if the software becomes trapped in
a deadlock. It features a 12-bit down counter that allows a watchdog period of up to 16 seconds
(slow clock at 3 2.768 kHz). It can generat e a ge neral re set or a p rocessor reset only. In add ition,
it can be stopped while the processo r is in debug mode or idle mode.
16.2 Embedded Characteristics
16-bit key-protected only-once-Programmable Counter
Windowed, prevents the processor to be in a dead-lock on the watchdog access.
16.3 Block Diagram
Figure 16-1. Watchdog Timer Block Diagram
=0
10
set
reset
read WDT_SR
or
reset
wdt_fault
(to Reset Controller)
set
reset
WDFIEN
wdt_int
WDT_MR
SLCK
1/128
12-bit Down
Counter
Current
Value
WDD
WDT_MR
<= WDD
WDV
WDRSTT
WDT_MR
WDT_CR
reload
WDUNF
WDERR
reload
write WDT_MR
WDT_MR
WDRSTEN
224 6500D–ATARM–29-Feb-12
SAM3S
16.4 Functional Description
The Watchdog Timer can be used to prevent system lock-up if the software becomes trapped in
a deadlock. It is supplied with VDDCORE. It restarts with initial values on processor reset.
The Watchdog is built around a 12-bit down counter, which is loaded with the value defined in
the field WDV of the Mode Register (WDT_MR). The Watchdog Timer uses the Slow Clock
divided by 128 to establish the maximum Watchdo g period to be 1 6 seconds (with a typical Slow
Clock of 32.768 kHz).
After a Processor Reset, the value of WDV is 0xFFF, corresponding to the maximum value of
the counter with the external reset generation enabled (field WDRSTEN at 1 after a Backup
Reset). This means that a default Watchd og is running at reset , i.e. , at powe r- up. The user must
either disable it (by setting the WDDIS bit in WDT_MR) if he does not ex pect to use it or must
reprogram it to meet the maximum Watchdog period the application requires.
The Watchdog Mode Register (WDT_MR) can be written only once. Only a processor reset
resets it. Writing the WDT_MR register reloads the timer with the newly programmed mode
parameters.
In normal operation, the user reloads the Watchdog at regular intervals before the timer under-
flow occurs, by writing the Control Register (WDT_CR) with the bit WDRSTT to 1. The
Watchdog counter is the n immediately reloaded from WDT_MR and resta rted, and the Slow
Clock 128 divider is reset and restarted. The WDT_CR register is write-protected. As a result,
writing WDT_CR without the correct hard-coded key has no effect. If a n underflow does occur,
the “wdt_fault” signal to the Reset Controller is asserted if the bit WDRSTEN is set in the Mode
Register (WDT_MR). Moreover, the bit WDUNF is set in the Watchdog Status Register
(WDT_SR).
To prevent a software deadlock tha t continuously triggers the Watchdog, the reload of the
Watchdog must occur while the Watchdog counter is within a window between 0 and WDD,
WDD is defined in the WatchDo g Mode Register WDT_MR.
Any attempt to restart the Watc hdog while the Watch dog counter is betw een WDV and WDD
results in a Watchdog error, even if the Watchdog is disabled. The bit WDERR is updated in the
WDT_SR and the “wdt_fault” signal to the Reset Controller is asserted.
Note that this featur e can be disab led by program ming a WDD value greate r than o r equal t o the
WDV value. In such a configuration, restarting the Watchdog Timer is permitted in the whole
range [0; WDV] and does not generate an error. This is the default configuration on reset (the
WDD and WDV values are equal).
The status bits WDUNF (Watchdog Underflow) and WDERR (Watchdog Error) trigger an inter-
rupt, provided the bit WDFIEN is set in the mode register. The signal “wdt_fault” to the reset
controller causes a Watchdog reset if the WDRSTEN bit is set as already explained in the reset
controller progr ammer Datasheet. In that case, the processor and the Watchd og Timer are
reset, and the WDERR and WDUNF flag s are reset.
If a reset is generated or if WDT_SR is read, the status bits are reset, the interrupt is cleared,
and the “wdt_fault” signal to the reset controller is deasserted.
Writing the WDT_MR reloads and restarts the down counter.
While the processor is in de bug st ate or in idle mo de, th e counte r may be stopped depe nding on
the value programmed for the bits WDIDLEHLT and WDDBGHLT in th e WDT_MR.
225
6500D–ATARM–29-Feb-12
SAM3S
Figure 16-2. Watchdog Behavior
0
WDV
WDD
WDT_CR = WDRSTT
Watchdog
Fault
Normal behavior
Watchdog Error Watchdog Underflow
FFF if WDRSTEN is 1
if WDRSTEN is 0
Forbidden
Window
Permitted
Window
226 6500D–ATARM–29-Feb-12
SAM3S
16.5 Watchdog Timer (WDT) User Interface
Table 16-1. Register Mapping
Offset Register Name Access Reset
0x00 Control Register WDT_CR Write-only -
0x04 Mode Register WDT_MR Read-write Once 0x3FFF_2FFF
0x08 Status Register WDT_SR Read-only 0x0000_0000
227
6500D–ATARM–29-Feb-12
SAM3S
16.5.1 Watchdog Timer Contr ol Register
Register: WDT_CR
Address: 0x400E1450
Access: Write-only
WDRSTT: Watchdog Restart
0: No effect.
1: Restarts the Watchdog.
•KEY: Password
Should be written at value 0xA5. Writing any other value in this field aborts the write operation.
31 30 29 28 27 26 25 24
KEY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––WDRSTT
228 6500D–ATARM–29-Feb-12
SAM3S
16.5.2 Watchdog Timer Mode Register
Name: WDT_MR
Address: 0x400E1454
Access: Read-write Once
WDV: Watchdog Counter Value
Defines the value loaded in the 12-bit Watchdog Counter.
WDFIEN: Watchdog Fault Interrupt Enable
0: A Watchdog fault ( underflow or error) has no effect on interrupt.
1: A Watchdog fault (underflow or error) assert s interrupt.
WDRSTEN: Watchdog Reset Enable
0: A Watchdog fault (underflow or error) has no effect on the resets.
1: A Watchdog fault (underflow or error) triggers a Watchdog reset.
WDRPROC: Watchdog Reset Processor
0: If WDRSTEN is 1, a Watchdog fa ult (underflow or error) activates all resets.
1: If WDRSTEN is 1, a Watchdog fa ult (underflow or error) activates the proce ssor reset.
WDD: Watchdog Delta Value
Defines the permitted range for reloading the Watchdog Timer.
If the Watchdog Timer value is less than or equal to WDD, writing WDT_CR with WDRSTT = 1 restarts the timer.
If the Watchdog Timer valu e is greater than WDD, writing WDT_CR with WDRSTT = 1 causes a Watchdog error.
WDDBGHLT: Watchdog Debug Halt
0: The Watchdog runs when the processor is in debug state.
1: The Watchdog stops when the processor is in debug state.
WDIDLEHLT: Watchdog Idle Halt
0: The Watchdog runs when the system is in idle mode.
1: The Watchdog stops when the system is in idle state.
WDDIS: Watchdog Disable
0: Enables the Watchdog Timer.
1: Disables the Watchdog Timer.
31 30 29 28 27 26 25 24
WDIDLEHLT WDDBGHLT WDD
23 22 21 20 19 18 17 16
WDD
15 14 13 12 11 10 9 8
WDDIS WDRPROC WDRSTEN WDFIEN WDV
76543210
WDV
229
6500D–ATARM–29-Feb-12
SAM3S
16.5.3 Watchdog Timer Status Register
Name: WDT_SR
Address: 0x400E1458
Access: Read-only
WDUNF: Watchdog Underflow
0: No Watchdog underflow occurred since the last read of WDT_SR.
1: At least one Watchdog underflow occurred since the last read of WDT_SR.
WDERR: Watchdog Error
0: No Watchdog error occurred since the last read of WDT_SR.
1: At least one Watchdog error occurred since the last read of WDT_SR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––––WDERRWDUNF
230 6500D–ATARM–29-Feb-12
SAM3S
231
6500D–ATARM–29-Feb-12
SAM3S
231
6500D–ATARM–29-Feb-12
SAM3S
17. Supply Controller (SUPC)
17.1 Description The Supply Controller (SUPC) controls the supply voltage of the Core of the system and man-
ages the Backup Low Power Mode. In this mode, the current consumption is reduced to a few
microamps for Backup power retention. Exit from this mode is possible on multiple wake-up
sources including events on WKUP pins, or a Clock alarm. The SUPC also generates the Slow
Clock by selecting either the Low Power RC oscillator or the Low Power Crystal oscillator.
17.2 Embedded Characteristics
Manages the Core Power Supply VDDCORE and the Backup Low Power Mode by
Controlling the Embedded Voltage Regulator
Generates the Slow Cloc k SLCK, b y Selecting Either the 22-42 kHz Low P o wer RC Oscillator
or the 32 kHz Low Power Crystal Oscillator
Supports Multiple Wake Up Sources, for Exit from Backup Low Power Mode
Force Wake Up Pin, with Programmable Debouncing
16 Wake Up Inputs, with Programmable Debouncing
Real Time Clock Alarm
Real Time Timer Alarm
Supply Monitor Detection on VDDIO, with Programmable Scan Period and Voltage
Threshold
A Supply Monitor Detectio n on VDDIO or a Brownout Detection on VDDCORE can Trigger a
Core Reset
Embeds:
One 22 to 42 kHz Low Power RC Oscillator
One 32 kHz Low Power Crystal Oscillator
One Zero-Power Power-On Reset Cell
One Softw are Pr og r ammable Supply Monitor, on VDDIO Located in Bac kup Section
One Brownout Detector on VDDCORE Located in the Core
232 6500D–ATARM–29-Feb-12
SAM3S
232 6500D–ATARM–29-Feb-12
SAM3S
17.3 Block Diagram
Figure 17-1. Supply Controller Block Diagram
Software Controlled
Voltage Regulator
Matrix
SRAM
Watchdog
Timer
Flash
Peripherals
Peripheral
Bridge
Zero-Power
Power-on Reset
Supply
Monitor
(Backup)
RTC
Power
Management
Controller
Embedded
32 kHz RC
Oscillator
Xtal 32 kHz
Oscillator
Supply
Controller
Brownout
Detector
(Core)
Reset
Controller
Backup Power Supply
Core Power Supply
PLLA
vr_on
vr_mode
ON
out
rtc_alarm
SLCK rtc_nreset
proc_nreset
periph_nreset
ice_nreset
Master Clock
MCK
SLCK
NRST
MAINCK PLLACK
FSTT0 - FSTT15
XIN32
XOUT32
osc32k_xtal_en
Slow Clock
SLCK
osc32k_rc_en
VDDIO
VDDCORE
VDDOUT
ADVREF
ADx
WKUP0 - WKUP15
bod_core_on
lcore_brown_out
RTT
rtt_alarm
SLCK rtt_nreset
XIN
XOUT
VDDIO
VDDIN
PIOx
USB
Transceivers
VDDIO
DDP
DDM
MAINCK
DAC Analog
Circuitry
DACx
PLLB PLLBCK
Embedded
12 / 8 / 4 MHz
RC
Oscillator
Main Clock
MAINCK
SLCK
3 - 20 MHz
XTAL Oscillator
FSTT0 - FSTT15 are possible Fast Startup Sources, generated by WKUP0-WKUP15 Pins,
but are not physical pins.
VDDIO
XTALSEL
General Purpose
Backup Registers
vddcore_nreset
vddcore_nreset
PIOA/B/C
Input/Output Buffers
ADC Analog
Circuitry
Analog
Comparator
Cortex-M
Processor
233
6500D–ATARM–29-Feb-12
SAM3S
233
6500D–ATARM–29-Feb-12
SAM3S
17.4 Supply Controller Functional Description
17.4.1 Supply Controller Overview
The device can be divided into two power supply areas:
The VDDIO P o w er Supply: including the Supply Cont roller, a part of the Reset Controller, the
Slow Cloc k s witch, th e General Purpose Back up Registers , the Supply Monitor and the Cloc k
which includes the Real Time Timer and the Real Time Clock
The Core Power Supply: including the other part of the Reset Cont roller, the Brownout
Detector, the Pro c e ssor, the SRAM memory, the FLASH mem ory and the Peripherals
The Supply Controller (SUPC) controls the supply voltage of the core power supply. The SUPC
intervenes when the VDDIO power supply rises (when the system is starting) or when the
Backup Low Power Mode is entered.
The SUPC also integrates the Slow Clock generator which is bas ed on a 32 kHz crystal oscilla-
tor and an embedded 32 kHz RC oscillator. The Slow Clock defaults to the RC oscillator, but the
software can enable the crystal oscillator and select it as the Slow Clock source.
The Supply Controller and the VDDIO power supply have a reset circuitry based on a zero-
power power-on reset cell. The zero-power power-on reset allows the SUPC to start properly as
soon as the VDDIO voltag e be co m es valid.
At startup of the system, once the voltage VDDIO is valid and the embedded 32 kHz RC oscilla-
tor is stabilized, the SUPC starts up th e core by sequentially enabling the internal Voltage
Regulator, waiti ng that th e core voltage VDDCORE is valid , then rele asing the reset signal of the
core “vddcore_nreset” signal.
Once the system has started, the user can program a supply monitor and/or a brownout detec-
tor. If the supply monitor det ects a voltage on VDDIO that is too low, the SU PC can assert the
reset signal of the core “vddcore_nreset” signal until VDDIO is valid. Likewise, if the brownout
detector detects a core voltage VDDCORE that is too low, the SUPC can assert the reset signal
“vddcore_nreset” until VDDCORE is valid.
When the Backup Low Power Mode is entered, the SUPC sequentially asserts the reset signal
of the core p o w er su p ply “v dd co re _n r ese t” a nd d isa ble s th e voltage re gu la to r, in or de r to su pp ly
only the VDDIO power supply. In this mode the current consumption is reduced to a few micro-
amps for Backup part retention. Exit from this mode is possible on multiple wake-up sources
including an event on WKUP pins, or a Clock alarm. To exit this mode, the SUPC operates in the
same way as system startup.
234 6500D–ATARM–29-Feb-12
SAM3S
234 6500D–ATARM–29-Feb-12
SAM3S
17.4.2 Slow Clock Generator
The Supply Controller embeds a slow clock generator that is supplied with the VDDIO power
supply. As soon a s the VDDIO is supplied, both the crystal oscillator an d the embedded RC
oscillator are powered up, but only the embedded RC os cillator is enabled. This allows the slow
clock to be valid in a short time (about 100 µs).
The user can select th e crystal oscil lator to be t he source of the slow clock, as it provides a more
accurate frequency. The command is made by writing the Supply Controller Control Register
(SUPC_CR) with the XTALSEL bit at 1.This results in a sequence which first configures the PIO
lines multiplexed with XIN32 and XOUT32 to be driven by the oscillator, then enables the crystal
oscillator. then waits for 32,768 slow clock cycles, then switches the s low clock on the output of
the crystal oscillator and then disables the RC oscillator to save power. The switch of the slow
clock source is glitch free. The OSCSEL bit of the Supply Controller Status Register (SUPC_SR)
allows knowing when the switch sequen ce is done.
Coming back on the RC oscillator is only possible by shutting down the VDDIO power supply.
If the user does not need the crystal oscillator, the XIN32 and XOUT32 pins should be left
unconnected.
The user can also set the crysta l oscillator in bypass mode instead of co nnecting a crystal. In
this case, the user has to provide the external clock signal on XIN32. The inpu t char acterist ics of
the XIN32 pin are given in the product electrical characteristics section. In order to set the
bypass mode, the OSCBYPASS bit of the Supply Controller Mode Register (SUPC_MR) needs
to be set at 1.
17.4.3 Voltage Regulat or Control/Backup Low Power Mode
The Supply Controller can be used to control the embedded 1.8V voltage regulator.
The voltage regulator automatically adapts its quiescent current depending on the required load
current. Please refer to the electrical characteristics section.
The programmer can switch off the voltage regulator, and thus put the device in Backup mode,
by writing the Supply Controller Control Register (SUPC_CR) with the VROFF bit at 1.
This can be done also by using WFE (Wait for Event) Cortex-M processor instruction with the
deep mode bit set to 1.
The Bac kup mode can also be ent ered by ex ecu ting the WFI (W ai t f or Interrupt) or WFE (W ait f or
Event) Corte x-M Processor instructions. To select the Backup mode entry mechanism, two
options are available, depending on the SLEEPONEXIT bit in the Cortex-M processor System
Control register:
Sleep-no w: if the SLEEPONEXIT bit is clea red, the device enters Backup mode as soon as
the WFI or WFE instruction is executed.
Sleep-on-e xit: if the SLEEPONEXIT bit is set when the WFI instruction is executed, the
de vice enters Backup mode as soon as it exits the lowest priority ISR.
This asserts the vddcore_nreset signal after the write resynchronization time which lasts, in the
worse case, two slow clock c ycles. Once the vddcore_nreset signal is assert ed, the proces sor
and the peripherals are stopped one slow cloc k cycle before the core power supply shuts off.
When the user does n ot use t he inter nal voltage regu lator a nd want s to supply VDDCO RE by an
external supply, it is possible to disable the voltage regulator. Note that it is different from the
Backup mode. Depending on the application, disabling the vo ltage regulator can reduce power
235
6500D–ATARM–29-Feb-12
SAM3S
235
6500D–ATARM–29-Feb-12
SAM3S
consumption as the voltage regulator input (VDDIN) is shared with the ADC and DAC. This is
done through ONREG bit in SUPC_MR.
17.4.4 Supply Monitor
The Supply Controller embeds a supply monitor which is located in the VDDIO Powe r Supply
and which monitors VDDIO power supply.
The supply monitor can be used to prevent the processor from falling into an unpredictable state
if the Main power supply drops below a certain level.
The threshold of the supply monitor is programmable. It can be selected from 1.9V to 3.4V by
steps of 100 mV. This threshold is programmed in the SMTH field of the Supply Controller Sup-
ply Monitor Mode Register (SUPC_SMMR).
The supply monitor ca n also be e na bled du ring on e slow clock period on every o ne of either 32,
256 or 2048 slow clock periods, according to the choice of the u ser. This can be configu red by
programming the SMSMPL field in SUPC_SMMR.
Enabling the supply monitor for such reduced times allows to divide the typical supply monitor
power consumption re spectively by factors of 32, 256 or 2048, if the user does not need a con-
tinuous monitoring of the VDDIO power supply.
A supply monitor detection can either generate a reset of the core power supply or a wake up of
the core power supply. Generating a core reset when a supply monitor detection occurs is
enabled by writing the SMRSTEN bit to 1 in SUPC_SMMR.
Waking up the core po wer supply when a su pply monitor detection occurs can be enabled by
programming the SMEN bit to 1 in the Supply Controller Wake Up Mode Register
(SUPC_WUMR).
The Supply Controller provides two status bits in the Supply Controller Status Register for the
supply monitor which allows to determine whether the last wake up was due to the supply
monitor:
The SMOS bit provides real time information, which is updated at each measurement cycle
or updated at each Slow Clock cycle, if the measurement is continuous.
The SMS bit pro vides saved information and shows a supply monitor d etectio n h as occurred
since the last read of SUPC_SR.
The SMS bit can gene rate an int errupt if the SMIEN bit is set to 1 in th e Supply Controller Supply
Monitor Mode Register (SUPC_SMMR).
236 6500D–ATARM–29-Feb-12
SAM3S
236 6500D–ATARM–29-Feb-12
SAM3S
Figure 17-2. Supply Monitor Status Bit and Associated Interrupt
17.4.5 Power Supply Reset
17.4.5.1 Raising the Power Supply
As soon as the voltage VDDIO rises, the RC oscillator is powered up and the zero-power power-
on reset cell maintains its output low as long as VDDIO has not reached its target voltage. Dur-
ing this time, the Supply Con troller is ent irely reset. When the VDDIO voltage be comes valid and
zero-power power- on reset signal is r eleased, a coun ter is started for 5 slow clock cycles. This is
the time it takes for the 32 kHz RC oscillator to stabilize.
After this time, the voltage regulator is enabled. The core power supply rises and the brownout
detector provides the bodcore_in signal as soon as the core voltage VDDCORE is valid. This
results in releasing the vd dcor e_nre se t sign al to th e Reset Co nt roller afte r t he bodcor e_ in signa l
has been confirmed as being valid for at least one slow clock cycle.
Supply Monitor ON
3.3 V
0 V
Threshold
SMS and SUPC interrupt
Read SUPC_SR
Periodic Sampling
Continuous Sampling (SMSMPL = 1)
237
6500D–ATARM–29-Feb-12
SAM3S
237
6500D–ATARM–29-Feb-12
SAM3S
Figure 17-3. Raising the VDDIO Power Supply
17.4.6 Core Rese t The Supply Controller manages the vddcore_nreset signal to the Reset Controller, as described
previously in Section 17.4.5 ”Power Supply Reset”. The vddcore_nreset signal is normally
asserted before shutting down the core power supp ly and released as soon as the core power
supply is correctly regu lated.
There are two additional sources which can be programmed to activate vddcore_nreset:
a supply monitor detection
a brownout detection
17.4.6.1 Supply Monitor Reset
The supply monitor is capable of generating a reset of the system. This can be enabled by set-
ting the SMRSTEN bit in the Supply Controller Supply Monitor Mode Register (SUPC_SMMR).
If SMRSTEN is set and if a supply monitor detection occurs, the vddcore_nreset signal is imme-
diately activated for a minimum of 1 slow clock cycle.
Zero-Power Power-On
Reset Cell output
22 - 42 kHz RC
Oscillator output
Fast RC
Oscillator output
Backup Power Supply
vr_on
bodcore_in
vddcore_nreset
NRST
proc_nreset
Note: After proc_nreset rising, the core starts fecthing instructions from Flash at 4 MHz.
periph_nreset
7 x Slow Clock Cycles3 x Slow Clock
Cycles3 x Slow Clock
Cycles6.5 x Slow Clock
Cycles
TON V oltage
Regulator
Zero-Power POR
Core Power Supply
238 6500D–ATARM–29-Feb-12
SAM3S
238 6500D–ATARM–29-Feb-12
SAM3S
17.4.6.2 Brownout Detector Reset
The brownout de tect or provide s th e bodcore_ in sign al to the SUPC which indicates th at the vo lt-
age regulation is operating as programmed. If this signal is lost for longer than 1 slow clock
period while the voltage regulator is enabled, the Supply Controller can assert vddcore_nreset.
This featur e is e na b led b y w ritin g th e bit , BODRSTEN (Brownout Detector Reset Enable) to 1 in
the Supply Controller Mode Register (SUPC_MR).
If BODRSTEN is set and the voltage regulation is lost (output voltag e of the regulator too low),
the vddcore_nreset signal is asserted for a minimum of 1 slow clock cycle and then released if
bodcore_in has been reactivated. The BODRSTS bit is set in the Supply Controller Status Reg-
ister (SUPC_SR) so that the user can know the source of the last reset.
Until bodcore_in is deactivated, the vddcore_nreset signal remains active.
17.4.7 Wake Up Sources
The wake up events allow the device to exit backup mode. When a wake up event is detected,
the Supply Controller performs a sequence which automatically reenables the core power
supply.
Figure 17-4. Wake Up Sources
17.4.7.1 Wake Up Inputs
The wake up inputs, WKUP0 to WKUP15, can be programmed to perform a wake up of the core
power supply. Each inpu t can be enabled by writing to 1 the corresponding bit, WKUPEN0 to
WKUPEN 15, in the Wake Up Inputs Register (SUPC_WUIR). The wake up level can be
selected with the corresponding polarity bit, WKUPPL0 to WKUPPL15, also located in
SUPC_WUIR.
All the resulting signals are wired-ORed to trigger a debounce counter, which can be pro-
grammed with the WKUPDBC field in the Supply Controller Wake Up Mode Register
WKUP15
WKUPEN15
WKUPT15
WKUPEN1
WKUPEN0
Debouncer
SLCK
WKUPDBC
WKUPS
RTCEN
rtc_alarm
SMEN
sm_out
Core
Supply
Restart
WKUPIS0
WKUPIS1
WKUPIS15
Falling/Rising
Edge
Detector
WKUPT0
Falling/Rising
Edge
Detector
WKUPT1
Falling/Rising
Edge
Detector
WKUP0
WKUP1
RTTEN
rtt_alarm
239
6500D–ATARM–29-Feb-12
SAM3S
239
6500D–ATARM–29-Feb-12
SAM3S
(SUPC_WUMR). The WKUPDBC field can select a debouncing period of 3, 32, 5 12, 4,096 or
32,768 slow clock cycles. This corr esponds respectively to about 100 µs, about 1 ms, about
16 ms, about 128 ms and about 1 second (for a typical slow clock freq uency of 32 kHz). Pro-
gramming WKUPDBC to 0x 0 selects an immediat e wake up, i.e., an enabled WKUP pin must be
active according to its polarity during a minimum of one slow clock period to wake up the core
power supply.
If an enabled WKUP pin is asserted for a time longer than the debouncing period, a wake up of
the core power supply is started and the signals, WKUP0 to WKUP15 as shown in Figure 17-4,
are latched in the Supply Controller Status Register (SUPC_SR). This allows the user to identify
the source of the wake up, however, if a new wake up condition occurs, the primary information
is lost. No new wake up can be detected since the primary wake up condition has disappeared.
17.4.7.2 Clock Alarms
The RTC and the RTT a larms can generate a wake up of the core power supply. T his can be
enabled by writing respectively, the bits RTCEN and RTTEN to 1 in the Supply Controller Wake
Up Mode Register (SUPC_WUMR).
The Supply Controller does not provide any status as the information is available in the User
Interface of either the Real Time Timer or the Real Time Clock.
17.4.7.3 Supply Monitor Detection
The supply monitor can generate a wakeup of the core power supply. See Section 17.4.4 ”Sup-
ply Monitor”.
AT91SAM
WKUP0
WKUP1
RTCOUT0
Pull-Down
Resistors
GND GND
GND
240 6500D–ATARM–29-Feb-12
SAM3S
240 6500D–ATARM–29-Feb-12
SAM3S
17.5 Supply Controller (SUPC) User Interface
The User Interface of the Supply Controller is part of the System Controlle r Use r Inter fa c e .
17.5.1 System Controller (SYSC) User Interface
17.5.2 Supply Controller (SUPC) User Interface
Table 17-1. System Controller Registers
Offset System Controller Peripheral Name
0x00-0x0c Reset Controller RSTC
0x10-0x2C Supply Controller SUPC
0x30-0x3C Real Time Timer RTT
0x50-0x5C Watchdog Tiler WDT
0x60-0x7C Real Time Clock RTC
0x90-0xDC General Purpose Backup Register GPBR
Table 17-2. Register Mapping
Offset Register Name Access Reset
0x00 Supply Controller Control Register SUPC_CR Write-only N/A
0x04 Supply Contro ller Supply Monitor Mode Register SUPC_SMMR Read -write 0x0000_0 000
0x08 Supply Contro ller Mode Register SUPC_MR Read-write 0x0000_5A00
0x0C Supply Controller Wake Up Mode Registe r SUPC_WUMR Read-write 0x0000_0000
0x10 Supply Controller W ake Up Inputs Register SUPC_WUIR Read-write 0x0000_0000
0x14 Supply Contro ller Status Register SUPC_SR Read-only 0x0000_0800
0x18 Reserved
241
6500D–ATARM–29-Feb-12
SAM3S
241
6500D–ATARM–29-Feb-12
SAM3S
17.5.3 Supply Controller Control Register
Name: SUPC_CR
Address: 0x400E1410
Access: Write-only
VROFF: Voltage Regulator Off
0 (NO_EFFECT) = no effect.
1 (STOP_VREG) = if KEY is correct, asserts vddcore_nreset and stops the voltage regulator.
XTALSEL: Crystal Oscillator Select
0 (NO_EFFECT) = no effect.
1 (CRYSTAL_SEL) = if KEY is correct, switches the slow clock on the crystal oscillator output.
•KEY: Password
Should be written to value 0xA5. Writing any other value in this field aborts the write operation.
31 30 29 28 27 26 25 24
KEY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––
76543210
––––XTALSELVROFF––
242 6500D–ATARM–29-Feb-12
SAM3S
242 6500D–ATARM–29-Feb-12
SAM3S
17.5.4 Supply Controller Supply Monitor Mode Register
Name: SUPC_SMMR
Address: 0x400E1414
Access: Read-write
SMTH: Supply Monitor Threshold
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
SMIEN SMRSTEN SMSMPL
76543210
–––– SMTH
Value Name Description
0x0 1_9V 1.9 V
0x1 2_0V 2.0 V
0x2 2_1V 2.1 V
0x3 2_2V 2.2 V
0x4 2_3V 2.3 V
0x5 2_4V 2.4 V
0x6 2_5V 2.5 V
0x7 2_6V 2.6 V
0x8 2_7V 2.7 V
0x9 2_8V 2.8 V
0xA 2_9V 2.9 V
0xB 3_0V 3.0 V
0xC 3_1V 3.1 V
0xD 3_2V 3.2 V
0xE 3_3V 3.3 V
0xF 3_4V 3.4 V
243
6500D–ATARM–29-Feb-12
SAM3S
243
6500D–ATARM–29-Feb-12
SAM3S
SMSMPL: Supply Monitor Sampling Period
SMRSTEN: Suppl y Monitor Reset Enable
0 (NOT_ENABLE) = the core reset signal “v ddcore_nreset” is not affected when a supply monitor detection occurs.
1 (ENABLE) = the core reset signal, vddcore_nreset is asserted when a supply monitor detection occurs.
SMIEN: Supply Monitor Interrupt Enable
0 (NOT_ENABLE) = the SUPC interrupt signal is not affected when a supply monitor detection occurs.
1 (ENABLE) = the SUPC interrupt signal is asserted when a supply monitor detection occurs.
Value Name Description
0x0 SMD Supply Monitor disabled
0x1 CSM Continuous Supply Monitor
0x2 32SLCK Supply Monitor enabled one SLCK period every 32 SLCK periods
0x3 256SLCK Supply Monitor enabled one SLCK period every 256 SLCK periods
0x4 2048SLCK Supply Monitor enabled one SLCK period every 2,048 SLCK peri ods
0x5-0x7 Reserved Reserved
244 6500D–ATARM–29-Feb-12
SAM3S
244 6500D–ATARM–29-Feb-12
SAM3S
17.5.5 Supply Controller Mode Register
Name: SUPC_MR
Address: 0x400E1418
Access: Read-write
BODRSTEN: Brownout Detector Reset Enable
0 (NOT_ENABLE) = the core reset signal “v ddcore_nreset” is not affected when a brownout detection occurs.
1 (ENABLE) = the core reset signal, vddcore_nreset is asserted when a brownout detection occurs.
BODDIS: Brownout Detector Disable
0 (ENABLE) = the core brownout detector is enabled.
1 (DISABLE) = the core brownout detector is disabled.
ONREG: Voltage Regulator enable
0 (ONREG_UNUSED) = Voltage Regulator is not used
1 (ONREG_USED) = Voltage Regulator is used
OSCBYPASS: Oscillator Bypass
0 (NO_EFFECT) = no effect. Clock selection depends on XTALSEL value.
1 (BYPASS) = the 32-KHz XTAL oscillator is selected and is put in bypass mode.
•KEY: Password Key
Should be written to value 0xA5. Writing any other value in this field aborts the write operation.
31 30 29 28 27 26 25 24
KEY
23 22 21 20 19 18 17 16
–––OSCBYPASS––––
15 14 13 12 11 10 9 8
ONREGBODDISBODRSTEN––––
76543210
––––––––
245
6500D–ATARM–29-Feb-12
SAM3S
245
6500D–ATARM–29-Feb-12
SAM3S
17.5.6 Supply Controller Wake Up Mode Register
Name: SUPC_WUMR
Address: 0x400E141C
Access: Read-write
SMEN: Supply Monitor Wake Up Enable
0 (NOT_ENABLE) = the supply monitor detection has no wake up effect.
1 (ENABLE) = the supply monitor detection forces the wa ke up of the core power supply.
RTTEN: Real Time Timer Wake Up Enable
0 (NOT_ENABLE) = the RTT alarm signal has no wake up effect.
1 (ENABLE) = the RTT alarm signal forces the wake up of the core power supply.
RTCEN: Real Time Clock Wake Up Enable
0 (NOT_ENABLE) = the RTC alarm signal has no wake up effect.
1 (ENABLE) = the RTC alarm signal forces the wake up of the core power supply.
WKUPDBC: Wake Up Inputs Debouncer Period
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WKUPDBC ––––
76543210
––––RTCENRTTENSMEN
Value Name Description
0 IMMEDIATE Immediate, no debouncing, detected active at least on one Slow Clock edge.
1 3_SCLK WKUPx shall be in its active state for at least 3 SLCK periods
2 32_SCLK WKUPx shall be in its active state for at least 32 SLCK periods
3 512_SCLK WKUPx shall be in its active state for at least 512 SLCK periods
4 4096_SCLK WKUPx shall be in its active state for at least 4,096 SLCK periods
5 32768_SCLK WKUPx shall be in its active state for at least 32,768 SLCK periods
6 Reserved Reserved
7 Reserved Reserved
246 6500D–ATARM–29-Feb-12
SAM3S
246 6500D–ATARM–29-Feb-12
SAM3S
17.5.7 System Controller Wake Up Inputs Register
Name: SUPC_WUIR
Address: 0x400E1420
Access: Read-write
WKUPEN0 - WKUPEN15: Wake Up Input Enable 0 to 15
0 (DISABLE) = the corresponding wake-up input has no wake up effect.
1 (ENABLE) = the corresponding wake-up input forces the wake up of the core power supply.
WKUPT0 - WKUPT15: Wake Up Input Type 0 to 15
0 (HIGH_TO_LOW) = a high to low level transition for a period defined by WKUPDBC on the corres ponding wake-up input
forces the wake up of th e cor e po we r su pp ly.
1 (LOW_TO_HIGH) = a low to high level transition for a period defined by WKUPDBC on the corresponding wake-up input
forces the wake up of th e cor e po we r su pp ly.
31 30 29 28 27 26 25 24
WKUPT15 WKUPT14 WKUPT13 WKUPT12 WKUPT11 WKUPT10 WKUPT9 WKUPT8
23 22 21 20 19 18 17 16
WKUPT7 WKUPT6 WKUPT5 WKUPT4 WKUPT3 WKUPT2 WKUPT1 WKUPT0
15 14 13 12 11 10 9 8
WKUPEN15 WKUPEN14 WKUPEN13 WKUPEN12 WKUPEN11 WKUPEN10 WKUPEN9 WKUPEN8
76543210
WKUPEN7 WKUPEN6 WKUPEN5 WKUPEN4 WKUPEN3 WKUPEN2 WKUPEN1 WKUPEN0
247
6500D–ATARM–29-Feb-12
SAM3S
247
6500D–ATARM–29-Feb-12
SAM3S
17.5.8 Supply Controller Status Register
Name: SUPC_SR
Address: 0x400E1424
Access: Read-write
Note: Because of the asynchronism between the Slow Clock (SCLK) and the System Clock (MCK), the status register flag reset is
taken into account only 2 slow clock cycles after th e read of the SUPC_SR.
WKUPS: WKUP Wake Up Status
0 (NO) = no wake up due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR.
1 (PRESENT) = at least one wake up due to the assertion of the WKUP pins has occurred since the last read of SUPC_SR.
SMWS: Suppl y Monitor Detection Wake Up Status
0 (NO) = no wake up due to a supply monitor detection has occurred since the last read of SUPC_SR.
1 (PRESENT) = at least one wake up due to a supply monitor detection has occurred since the last read of SUPC_SR.
BODRSTS: Brownout Detector Reset Status
0 (NO) = no core brownout rising edge event has been detected since the last read of the SUPC_SR.
1 (PRESENT) = at least one brownout output rising edge event has been detected since the last read of the SUPC_SR.
When the voltage remains below the defined threshold, there is no rising edge event at the output of the brownout detec-
tion cell. The rising edge event occurs only when there is a voltage transition below the threshold.
SMRSTS: Supply Monitor Reset Status
0 (NO) = no supply monitor detection has generated a core reset since the last read of the SUPC_SR.
1 (PRESENT) = at least one supply monitor detection has generated a core reset since the last read of the SUPC_SR.
SMS: Suppl y Monitor Status
0 (NO) = no supply monitor detection since the last read of SUPC_SR.
1 (PRESENT) = at least one supply monitor detection since the last read of SUPC_SR.
SMOS: Supply Monitor Output Status
0 (HIGH) = the supply monitor det ected VDDIO higher than its threshold at its last measurement.
1 (LOW) = the supply monitor detected VDDIO lower than its threshold at its last measurement.
31 30 29 28 27 26 25 24
WKUPIS15 WKUPIS14 WKUPIS13 WKUPIS12 WKUPIS11 WKUPIS10 WKUPIS9 WKUPIS8
23 22 21 20 19 18 17 16
WKUPIS7 WKUPIS6 WKUPIS5 WKUPIS4 WKUPIS3 WKUPIS2 WKUPIS1 WKUPIS0
15 14 13 12 11 10 9 8
––––––––
76543210
OSCSEL SMOS SMS SMRSTS BODRSTS SMWS WKUPS
248 6500D–ATARM–29-Feb-12
SAM3S
248 6500D–ATARM–29-Feb-12
SAM3S
OSCSEL: 32-kHz Oscillator Selection Status
0 (RC) = the slow clock, SLCK is generated by the embedded 32-kHz RC oscillator.
1 (CRYST) = the slow clock, SLCK is generated by the 32-kHz crystal oscillator.
WKUPIS0-WKUPIS15: WKUP Input Status 0 to 15
0 (DIS) = the corresponding wake-up input is disabled, or was inactive at the time the debouncer triggered a wake up
event.
1 (EN) = the corresponding wake-up input was active at the time the debouncer triggered a wake up event.
249
6500D–ATARM–29-Feb-12
SAM3S
18. General Purpose Backup Registers (GPBR)
18.1 Description The System Controller embeds Eight general-purpose backup registers.
18.2 Embedded Features
Eight 32-bit General Purpose Backup Registers
18.3 General Purpose Backup Registers (GPBR) User Interface
Table 18-1. Register Mapping
Offset Register Name Access Reset
0x0 General Purpose Backup Register 0 SYS_GPBR0 Read-write
... ... ... ... ...
0x1C General Purpose Backup Register 7 SYS_GPBR7 Read-write
250 6500D–ATARM–29-Feb-12
SAM3S
18.3.1 General Purpose Backup Register x
Name: SYS_GPBRx
Addresses: 0x400E1490 [0] .. 0x400E14AC [7]
Access: Read-write
GPBR_VALUEx: Value of GPBR x
31 30 29 28 27 26 25 24
GPBR_VALUEx
23 22 21 20 19 18 17 16
GPBR_VALUEx
15 14 13 12 11 10 9 8
GPBR_VALUEx
76543210
GPBR_VALUEx
251
6500D–ATARM–29-Feb-12
SAM3S
19. Enhanced Embedded Flash Controller (EEFC)
19.1 Description The Enhanced Embedded Flash Controller (EEFC) ensures the interface of the Flash block with
the 32-bit intern al bus.
Its 128-bit or 64-bit wide m emory interface increases performance. It also m anages the pro-
gramming, erasing, locking and unlocking sequences of the Flash using a full set of commands.
One of the commands returns the embedded Flash descriptor definition that informs the system
about the Flas h or ga niz at ion , thu s ma king the so ftware gener ic.
19.2 Product Dependencies
19.2.1 Power Management
The Enhanced Embedded Flas h Contro ller (EEFC) is continuously clocked. The Power Man-
agement Controller has no effect on its behavior.
19.2.2 Interrupt Sources
The Enhanced Embedded Flash Controller (EEFC) interrupt line is connected to the Nested
Vectored Interrup t Controller (NVIC). Using the Enha nced Embedded Flash Con troller (EEFC)
interrupt requires the NVIC to be programmed first. The EEFC interrupt is generated only on
FRDY bit rising.
19.3 Functional Description
19.3.1 Embedded Flash Organization
The embedded Flash interfaces directly with the 3 2-bit internal bus. The embedd ed Flash is
composed of:
One memory plane organized in several pages of the same size.
Two 128-bit or 64-bit read buffers used for code read optimization.
One 128-bit or 64-bit read buffer used for data read optimization.
One write buffer that manages page programming. The write buffer size is equal to the page
size. This buffer is write-only and accessible all along the 1 MByte address space, so that
each word can be written to its final address.
Several lock bits used to protect write/erase operation on several pages (lock region). A lock
bit is associated with a lock region composed of several pages in the memory plane.
Several bits that may be set and cleared through the Enhanced Embedded Flash Controller
(EEFC) interface, called General Purpose Non Vo latile Memory bits (GPNVM bits).
The embedded Flas h size, the page size, the lock regions organization and GPNVM bits defini-
tion are described in the product definition section . The Enhanced Embedde d Flash Controller
(EEFC) return s a descr iptor of the Flash con trolled aft er a get descript or command issue d by the
application (see “Getting Embedded Flash Descriptor” on page 256).
Table 19-1. Peripheral IDs
Instance ID
EFC 6
252 6500D–ATARM–29-Feb-12
SAM3S
Figure 19-1. Embedded Flash Organization
Start Address
Page 0
Lock Region 0
Lock Region 1
Memory Plane
Page (m-1)
Lock Region (n-1)
Page (n*m-1)
Start Address + Flash size -1
Lock Bit 0
Lock Bit 1
Lock Bit (n-1)
253
6500D–ATARM–29-Feb-12
SAM3S
19.3.2 Read Ope ratio ns
An optimized controller manag es embedded Flash read s, thus increasin g perform ance when the
processor is running in Thumb2 mode by means of the 128- or 64- bit wide memory interface.
The Flash memory is accessible through 8-, 16- and 32-bit reads.
As the Flash block size is smaller than the address space reserved for the inte rnal memory a rea,
the embedded Flash wraps around the address space and appears to be repeated within it.
The read operations can be performed with or without wait states. Wait states must be pro-
grammed in the field FWS (Flash Read Wait State) in the Flash Mode Register (EEFC_FMR).
Defining FWS to b e 0 enables th e single-cycle access of the embedded Flash. Refe r to t he Elec-
trical Characteristics for more details.
19.3.2.1 128-bit or 64-bit Access Mode
By default the read a ccesses of the Flash are performed through a 128-bit wide memory inter-
face. It enables better system performance especially when 2 or 3 wait state needed.
For systems requiring only 1 wait state, or to privilege current consumption rather than perfor-
mance, the user can select a 64-bit wide memory access via the FAM bit in the Flash Mode
Register (EEFC_FMR)
Please refer to the electrical characteristics section of the product datasheet for more details.
19.3.2.2 Code Read Optimization
A system of 2 x 128-bit or 2 x 64- bit bu ffer s is a dded in o rd er to optimize seq uen tial Code Fet ch.
Note: Immediate consecutive code read accesses are not mandatory to benefit from this optimization.
Figure 19-2. Code Read Optimization for FWS = 0
Note: When FWS is equal to 0, all the accesses are perf ormed in a single-cycle access.
Flash Access
Buffer 0 (128bits)
Master Clock
ARM Request
(32-bit)
XXX
Data To ARM
Bytes 0-15 Bytes 16-31 Bytes 32-47
Bytes 0-15
Buffer 1 (128bits)
Bytes 32-47
Bytes 0-3 Bytes 4-7 Bytes 8-11 Bytes 12-15 Bytes 16-19 Bytes 20-23 Bytes 24-27
XXX
XXX Bytes 16-31
@Byte 0 @Byte 4 @Byte 8 @Byte 12 @Byte 16 @Byte 20 @Byte 24 @Byte 28 @Byte 32
Bytes 28-31
254 6500D–ATARM–29-Feb-12
SAM3S
Figure 19-3. Code Read Optimization for FWS = 3
Note: When FWS is included between 1 and 3, in case of sequential reads, the first access takes (FWS+1) cycles, the other ones only
1 cycle.
19.3.2.3 Data Read Optimization
The organizatio n of the Flash in 128 bits (or 64 bits) is associated with two 128- bit (or 64-bit)
prefetch buffers a nd one 128-bit (or 64-bit ) data read buffer, thus p roviding maximum system
performance. This b uffer is added in ord er to sto re the requested data plus all th e data contained
in the 128-bit ( 64- bit ) align ed data . T his speeds up sequential data reads if, for examp le, FWS is
equal to 1 (see Figure 19-4).
Note: No consecutive data read accesses are mandatory to benefit from this optimization.
Figure 19-4. Data Read Optimization for FWS = 1
Flash Access
Buffer 0 (128bits)
Master Clock
ARM Request
(32-bit)
Data To ARM
Buffer 1 (128bits)
0-3
XXX
XXX
Bytes 16-31
@Byte 0 @4 @8
Bytes 0-15 Bytes 16-31 Bytes 32-47 Bytes 48-63
XXX Bytes 0-15
4-7 8-11 12-15
@12 @16 @20
24-27 28-31 32-35 36-3916-19 20-23 40-43 44-47
@24 @28 @32 @36 @40 @44 @48 @52
Bytes 32-47
48-51
Flash Access
Buffer (128bits)
Master Clock
ARM Request
(32-bit)
XXX
Data To ARM
Bytes 0-15 Bytes 16-31
Bytes 0-15
Bytes 0-3 4-7 8-11 12-15 16-19 20-23
XXX
Bytes 16-31
@Byte 0 @ 4 @ 8 @ 12 @ 16 @ 20 @ 24 @ 28 @ 32 @ 36
XXX Bytes 32-47
24-27 28-31 32-35
255
6500D–ATARM–29-Feb-12
SAM3S
19.3.3 Flash Commands
The Enhanced Embedded Flash Controller (EEFC) offers a set of commands such as program-
ming the memory Flash, locking and unlocking lock regions, consecutive programming and
locking and full Flash er asing, etc.
Commands and read operations can be performed in parallel only on different memory planes.
Code can be fetched from one memory plane while a write or an erase o peration is performed
on another.
In order to perform one of these commands, the Flash Command Register (EEFC_FCR) has to
be written with the correct command using the FCMD field. As soon as the EEFC_FCR register
is written, the FRDY flag and the FVALUE fiel d in the EEFC_FRR regist er are auto mat ical ly
cleared. Once the current command is achieved, then the FRDY flag is automatically set. If an
interrupt has bee n enabled by setting the FRDY bit in EEFC_FMR, the corr esponding interrupt
line of the NVIC is activated. (Note that this is tr ue for all commands except for the STUI Com-
mand. The FRDY flag is not set when the STUI command is achieved.)
All the commands are protected by the same keyword, which has to be written in the 8 highest
bits of the EEFC_FCR register.
Writing EEFC_FCR with data that does not contain the corre ct key and/or with an invalid com-
mand has no effect on the whole memory plane, but the FCMDE flag is set in the EEFC _FSR
register. This flag is automatically cleared by a read access to the EEFC_FSR register.
When the current command write s or erases a page in a locked region, the command has no
effect on the whole memory plane, but the FL OCKE flag is set in the EEFC_FSR register. Th is
flag is automatically cleared by a read access to the EEFC_FSR register.
Table 19-2. Set of Commands
Command Value Mnemonic
Get Flash Descriptor 0x00 GETD
Write page 0x01 WP
Write page and lock 0x02 WPL
Erase page and write page 0x03 EWP
Erase page and write page th en lock 0x04 EWPL
Erase al l 0x05 EA
Set Lock Bit 0x08 SLB
Clear Lock Bit 0x09 CLB
Get Lock Bit 0x0A GLB
Set GPNVM Bit 0x0B SGPB
Clear GPNVM Bit 0x0C CGPB
Get GPNVM Bit 0x0D G GPB
Start Read Unique Identifier 0x0E STUI
Stop Read Unique Identifier 0x0F SPUI
Get CALIB Bit 0x10 GCALB
256 6500D–ATARM–29-Feb-12
SAM3S
Figure 19-5. Command State Char t
19.3.3.1 Getting Embedded Flash Descriptor
This command allows the system to learn about the Flash orga nization. The system can take full
advantage of this information. For instance, a device could be replaced by one with more Flash
capacity, and so the software is able to adapt itself to the new configuration.
To get the embedded Flash descriptor, the application writes the GETD command in the
EEFC_FCR register. The first word of the descriptor can be read by the software application in
the EEFC_FRR regis ter as soon as the FRDY flag in th e EEFC_FSR register rises. T he next
reads of the EEFC_FRR register provide the following word of the descriptor. If extra read oper-
Check if FRDY flag Set No
Yes
Read Status: MC_FSR
Write FCMD and PAGENB in Flash Command Register
Check if FLOCKE flag Set
Check if FRDY flag Set No
Read Status: MC_FSR
Yes
Yes Locking region violation
No
Check if FCMDE flag Set Yes
No
Bad keyword violation
Command Successfull
257
6500D–ATARM–29-Feb-12
SAM3S
ations to the EEFC_FRR register are done after the last word of the descriptor has been
returned, then the EEFC_FRR register value is 0 until the next valid command.
19.3.3.2 Write Commands
Several commands can be used to program the Flash.
Flash techno logy requires tha t an erase is d one before programming. The full memory plane can
be erased at the same time, or several pages can be erased at the same time (ref er to Section
”The Partial Programming mo de works only with 128-bit (or higher) boundaries. It cannot be
used with boundaries lower t han 128 bit s (8 , 16 o r 32- bit f or ex amp le) .”). Also, a page erase can
be automatically done before a page write using EWP or EWPL commands.
After programming, the page (the whole lock region) can be locked to prevent miscellaneous
write or erase sequences. The lock bit can be automatically set after page programming using
WPL or EWPL commands.
Data to be written are stored in an internal latch buffer. The size of the latch buffer corresponds
to the page size. The latch buffer wraps around within the inte rnal memory area address space
and is repeated as many times as the number of pages within this address space.
Note: Writing of 8-bit and 16-bit data is not allowed and may lead to unpredictable data corruption.
Write operations are performed in a number of wait states equal to the number of wait states for
read operations.
Data are written to the latch buffe r before the programming command is writt en to the Flash
Command Register EEFC_FCR. The sequence is as follows:
Write the full page, at any page address, within the internal memory area address space.
Progr amming starts as soon as the page n umber and the prog ramming command are written
to the Flash Command Register. The FRDY bit in the Flash Programming Status Register
(EEFC_FSR) is automatically cleared.
When programming is completed, the FRDY bit in the Flash Programming Status Register
(EEFC_FSR) rises . If an interrupt has been enabled by setting the bit FRDY in EEFC_FMR,
the corresponding interrupt line of the NVIC is activated.
Table 19-3. Flash Descriptor Definition
Symbol Word Index Descriptio n
FL_ID 0 Flash Interface Description
FL_SIZE 1 Flash size in b y tes
FL_PAGE_SIZE 2 Page size in bytes
FL_NB_PLANE 3 Number of plane s.
FL_PLANE[0] 4 Number of bytes in the first plane.
...
FL_PLANE[FL_NB_PLANE-1] 4 + FL_NB_PLANE - 1 Number of bytes in the last plane.
FL_NB_LOCK 4 + FL_NB_PLANE
Number of lock bits. A bit is associated
with a lock region. A lock bit is used to
prevent write or erase operations in the
loc k region.
FL_LOCK[0] 4 + FL_NB_PLANE + 1 Number of bytes in the first lock region.
...
258 6500D–ATARM–29-Feb-12
SAM3S
Two errors can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
a Lock Error: the page to be programmed belongs to a locked region. A command must be
previously run to unlock the corresponding region.
By using the WP command, a page can be programmed in several steps if it has been erased
before (see Figure 19-6).
Figure 19-6. Example of Partial Page Programming
The Partial Prog ramming mo de works only with 1 28-bit (or higher) bound aries. It cannot be used
with boundaries lower than 128 bits (8, 16 or 32-bit for example).
19.3.3.3 Erase Command s
Erase commands are allowed only on unlocked regions.
The erase sequence is:
Erase starts as soon as one of the erase commands and the FARG field are written in the
Flash Comma nd Reg iste r.
When the programming completes, the FRDY bit in the Flash Programming Status Register
(EEFC_FSR) rises. If an interrupt has been enabled by setting the FRDY bit in EEFC_FMR,
the interrupt line of the NVIC is activated.
Two errors can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
a Loc k Error: at least one page to be era sed belongs to a loc ke d region. The er ase command
has been refused, no page has been erased. A command must be run previously to unlock
the corresponding region.
19.3.3.4 Lock Bit Pro tectio n
Lock bits are associated with several pages in the embedded Flash memory plane. This defines
lock regions in the embedded Flash memory plane. They prevent writing/erasing protected
pages.
Erase All Flash Programming of the second part of Page Y Programming of the third part of Page Y
32-bit wide 32-bit wide 32-bit wide
X words FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
...
CA FE CA FE
CA FE CA FE
CA FE CA FE
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF CA FE CA FE
CA FE CA FE
CA FE CA FE
DE CA DE CA
DE CA DE CA
DE CA DE CA
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
FF FF FF FF
Step 1. Step 2. Step 3.
...
...
...
...
...
...
...
...
...
...
...
X words
X words
X words
So Page Y erased
259
6500D–ATARM–29-Feb-12
SAM3S
The lock sequence is:
The Set Lock command (SLB) and a page number to be pr otected are written in the Flash
Command Register.
When the locking completes, the FRDY bit in the Flash Programming Status Register
(EEFC_FSR) rises. If an interrupt has been enabled by setting the FRDY bit in EEFC_FMR,
the interrupt line of the NVIC is activated.
If the lock bit number is greater than the total number of lock bits, then the command has no
effect. The result of the SLB command can be checked running a GLB (Get Lock Bit)
command.
One error can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
It is possible to clear lock bits previously set. Then the locked region can be erased or pro-
grammed. The unlock sequence is:
The Clear Lock command (CLB) and a page number to be unprotecte d are written in the
Flash Comma nd Reg iste r.
When the unlock completes, the FRDY bit in the Flash Programming Status Register
(EEFC_FSR) rises. If an interrupt has been enabled by setting the FRDY bit in EEFC_FMR,
the interrupt line of the NVIC is activated.
If the lock bit number is greater than the total number of lock bits, then the command has no
effect.
One error can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
The status of lock bits ca n be returned by the Enhanced Embedded Flash Controller (EEFC).
The Get Lock Bit status sequence is:
The Get Lock Bit command (GLB) is written in the Flash Command Register, FARG field is
meaningless.
Loc k bits can be read by the software application in the EEFC_FRR register. The first word
read corresponds t o the 32 first lock bits, ne xt reads p roviding the ne xt 32 loc k bit s as long as
it is meaningful. Extra reads to the EEFC_FRR register return 0.
For example, if the third bit of the first word read in the EEFC_FRR is set, then the third lock
region is locked.
One error can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
Note: Access to the Flash in read is permitted when a set, clear or get lock bit command is performed.
19.3.3.5 GPNVM Bit GPNVM bits do no t inte rfer e with th e em bedde d Fl ash memory plane. Refer to the product defi-
nition section for information on the GPNVM Bit Action.
The set GPNVM bit sequence is:
Start the Set GPNVM Bit command (SGPB) by writing the Flash Command Register with the
SGPB command and the number of the GPNVM bit to be set.
260 6500D–ATARM–29-Feb-12
SAM3S
When the GPVNM bit is set, the bit FRDY in the Flash Progr amming Status Register
(EEFC_FSR) rises. If an interrupt was enabled by setting the FRDY bit in EEFC_FMR, the
interrupt line of the NVIC is activated.
If the GPNVM bit number is g reater th an the tota l number of GPNVM bits , then t he command
has no effect. The result of the SGPB command can be checked by running a GGPB (Get
GPNVM Bit) command.
One error can be detected in the EEFC_FSR register after a programming sequence:
A Command Error: a bad keyword has been written in the EEFC_FCR register.
It is possible to clear GPNVM bits previously set. The clear GPNVM bit sequence is:
Start the Clear GPNVM Bit comma nd (CG PB) by writin g th e Fla sh Comm an d Re gis ter w ith
CGPB and the number of the GPNVM bit to be cleared.
When the clear completes, the FRDY bit in the Flash Programming Status Register
(EEFC_FSR) rises. If an interrupt has been enabled by setting the FRDY bit in EEFC_FMR,
the interrupt line of the NVIC is activated.
If the GPNVM bit number is g reater th an the tota l number of GPNVM bits , then t he command
has no effect.
One error can be detected in the EEFC_FSR register after a programming sequence:
A Command Error: a bad keyword has been written in the EEFC_FCR register.
The status of GPNVM bits can be returned by the Enhanced Embedded Flash Controller
(EEFC). The sequence is:
Start the Get GPNVM bit command b y writing the Flash Command Register with GG PB . The
FARG field is meaningless.
GPNVM bits can be read by the software application in the EEFC_FRR register. The first
word read corresp on d s to the 32 firs t GPNVM bits, following read s pr ovide the next 32
GPNVM bits as long as it is meaningful. Extra reads to the EEFC_FRR register return 0.
For example, if the thir d bit of t he fi rst word re ad in the EEFC_FRR is set , then t he th ird GPNVM
bit is active.
One error can be detected in the EEFC_FSR register after a programming sequence:
a Command Error: a bad keyword has been written in the EEFC_FCR register.
Note: Access to the Flash in read is permitted when a set, clear or get GPNVM bit command is
performed.
19.3.3.6 Calibration Bit
Calibration bits do not interfere with the embedded Flash memory plane.
It is impossible to modify the calibration bits.
The status of calibration bits can be returned by the Enhanced Embedded Fla sh Controller
(EEFC). The sequence is:
Issue the Get CALIB Bit command b y writing the Flash Command Registe r with GCALB (see
Table 19-2). The FARG field is meaningless.
Calibration bits can be read by the software application in the EEFC_FRR register. The first
word read co rresponds to the 32 first calibration bits, following reads provid e the next 32
calibration bits as long as it is meaningful. Ext ra reads to the EEFC_FRR register return 0.
261
6500D–ATARM–29-Feb-12
SAM3S
The 4/8/12 MHz Fa st RC oscillator is calibrated in production. This calibration can be read
through the Get CALIB Bit command. The table below shows the bit implementation for each
frequency:
The RC calibration for 4 MHz is set to 1,000,000.
19.3.3.7 Security Bit Protection
When the security is enabled, access to the Flash, either through the JTAG/SWD interface or
through the Fast Flash Progra mming Interface, is forbidde n. This ensures the confidentiality of
the code programmed in the Flash.
The security bit is GPNVM0.
Disabling the security bit can only be achieved by asserting the ERASE pin at 1, and after a full
Flash erase is performed. When the security bit is deactivated, all accesses to the Flash are
permitted.
19.3.3.8 Unique Identifier
Each part is programmed with a 128-bit Unique Identifier. It can be used to generate keys for
example.
To read the Unique Identifier the sequence is:
Send the Start Read unique Identifier command (STUI) by writing the Flash Command
Register with the STUI command.
When the Uniqu e Identifier is ready to be read, the FRDY bit in the Flash Programming
Status Register (EEFC_FSR) falls.
The Unique Identifier is located in the first 128 bits of the Flash memory mapping. So, at the
address 0x80000-0x8000F.
To stop the Unique Identifier mode, the user needs to send the Stop Read unique Identifier
command (SPUI) by writing the Flash Command Register with the SPUI co mm a nd .
When the Stop read Uniqu e Identifier command (SPUI) has been pe rformed, the FRDY bit in
the Flash Programming Status Register (EEFC_FSR) rises. If an interrupt was enabled by
setting the FRDY bit in EEFC_FMR, the interrupt line of th e NVIC is activated.
Note that during the sequence, the software can not run out of Flash (or the second plane in
case of dual plane).
RC Calibration Frequency EEFC_FRR Bits
8 MHz output [28 - 22]
12 MHz output [38 - 32]
262 6500D–ATARM–29-Feb-12
SAM3S
19.4 Enhanced Embedded Flash Controller (EEFC) User Interface
The User Interface of the Enhanced Emb edded Flash Controller (EEFC) is integrated within the System Controller with
base address 0x400E0800.
Table 19-4. Register Mapping
Offset Register Name Access Reset State
0x00 EEFC Flash Mode Register EEFC_FMR Read-write 0x0
0x04 EEFC Flash Command Register EEFC_FCR Write-only
0x08 EEFC Flash Status Register EEFC_FSR Read-only 0x00000001
0x0C EEFC Flash Result Register EEFC_FRR Read-only 0x0
0x10 Reserved
263
6500D–ATARM–29-Feb-12
SAM3S
19.4.1 EEFC Flash Mode Register
Name: EEFC_FMR
Address: 0x400E0A00
Access: Read-write
Offset:0x00
FRDY: Ready Interru pt Enable
0: Flash Ready does not generate an interrupt.
1: Flash Ready (to accept a new command) generates an interrupt.
FWS: Flash Wait State
This field defines the number of wait states for read and write operations:
Number of cycles for Read/Write operations = FWS+1
FAM: Flash Access Mode
0: 128-bit access in re ad Mode only, to enhance access speed.
1: 64-bit access in read Mode only, to enhance power consumption.
No Flash read should be done during change of this register.
31 30 29 28 27 26 25 24
–––––––FAM
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––– FWS
76543210
–––––FRDY
264 6500D–ATARM–29-Feb-12
SAM3S
19.4.2 EEFC Flas h Command Register
Name: EEFC_FCR
Address: 0x400E0A04
Access: Write-only
Offset:0x04
FCMD: Flash Command
This field defines the flash commands. Refer to “Flash Commands” on page 255.
FARG: Flash Command Argument
FKEY: Flash Writing Protection Key
This field shou ld be writte n with the va lue 0x5A to enable the command defined b y the bit s of th e register . If th e field is writ-
ten with a different value, the write is not performed and no action is started.
31 30 29 28 27 26 25 24
FKEY
23 22 21 20 19 18 17 16
FARG
15 14 13 12 11 10 9 8
FARG
76543210
FCMD
Erase command For erase all command, this field is meaningless.
Programming command FARG defines the page number to be programmed.
Lock command FARG defines the page number to be locked.
GPNVM command FARG defines the GPNVM number.
Get commands Field is meaningless.
Unique Identifier commands Field is meaningless.
265
6500D–ATARM–29-Feb-12
SAM3S
19.4.3 EEFC Flash Status Register
Name: EEFC_FSR
Address: 0x400E0A08
Access: Read-only
Offset:0x08
FRDY: Flash Ready Status
0: The Enhanced Embedded Flash Controller (EEFC) is busy.
1: The Enhanced Embedded Flash Controller (EEFC) is ready to start a new command.
When it is set, this flags triggers an interrupt if the FRDY flag is set in the EEFC_FMR register.
This flag is automatically cleared when the Enhanced Embedded Flash Controller (EEFC) is busy.
FCMDE: Flash Command Error Status
0: No invalid commands and no bad keywords were written in the Flash Mode Register EEFC_FMR.
1: An invalid command and/or a bad keyword was/were written in the Flash Mode Register EEFC_FMR.
This flag is automatically cleare d when EEFC_FSR is read or EEFC_FCR is written.
FLOCKE: Flash Lock Error Status
0: No programming/erase of at least one locked re gion has happened since the last read of EEFC_FSR.
1: Programming/er ase of at least one locked region has happened since the last read of EEFC_FSR.
This flag is automatically cleare d when EEFC_FSR is read or EEFC_FCR is written.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––FLOCKEFCMDEFRDY
266 6500D–ATARM–29-Feb-12
SAM3S
19.4.4 EEFC Flash Result Register
Name: EEFC_FRR
Address: 0x400E0A0C
Access: Read-only
Offset:0x0C
FVALUE: Flash Result Value
The result of a Flash comm and is return ed in this register. If the size of the result is greater than 32 bits, then the next
resulting value is ac ce ssib le at the ne xt reg iste r re ad .
31 30 29 28 27 26 25 24
FVALUE
23 22 21 20 19 18 17 16
FVALUE
15 14 13 12 11 10 9 8
FVALUE
76543210
FVALUE
267
6500D–ATARM–29-Feb-12
SAM3S
20. Fast Flash Programming Interface (FFPI)
20.1 Description The Fast Flash Programming Int erface provide s para llel high-volu me programm ing using a stan-
dard gang programmer. The parallel interface is fully handshaked and the device is considered
to be a standard EEPROM. Additionally, the parallel protocol offers an optimized access to all
the embedded Flash fun ctionalities.
Although the Fast Flash Prog ra mming Mod e is a dedica te d mode f or hi gh volume p rogra mmin g,
this mode is not designed for in-situ programming.
20.2 Parallel Fast Flash Programming
20.2.1 Device Configuration
In Fast Flash Progr amming Mode, t he device is in a spe cific test mode . Only a certain set o f pins
is significant. The rest of the PIOs are used as inputs with a pull-up. The crystal oscillator is in
bypass mode. Other pins must be left unconnected.
Figure 20-1. SAM3SxA (48 bits) Parallel Programming Interface
Figure 20-2. SAM3SxB/C (64/100 pins) Pa rallel Programming Interface
NCMD PGMNCMD
RDY PGMRDY
NOE PGMNOE
NVALID PGMNVALID
MODE[3:0] PGMM[3:0]
DATA[15:0] PGMD[15:0]
XIN
TST
VDDIO PGMEN0
PGMEN1
0 - 50MHz
VDDIO
VDDCORE
VDDIO
VDDPLL
GND
GND
VDDIO
PGMEN2
NCMD PGMNCMD
RDY PGMRDY
NOE PGMNOE
NVALID PGMNVALID
MODE[3:0] PGMM[3:0]
DATA[7:0] PGMD[7:0]
XIN
TST
VDDIO PGMEN0
PGMEN1
0 - 50MHz
VDDIO
VDDCORE
VDDIO
VDDPLL
GND
GND
VDDIO
PGMEN2
268 6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. DATA[7 :0] pertai ns to the SAM3SxA (48 bits).
2. PGMD[7:0] pertains to the SAM3SxA (48 bits).
Table 20-1. Signal Description List
Signal Name Function Type Active
Level Comments
Power
VDDIO I/O Lines Power Supply Power
VDDCORE Core Power Supply Power
VDDPLL PLL Power Supply Power
GND Ground Ground
Clocks
XIN Main Clock Input.
This input can be tied to GND. In this case, the
device is clocke d by the internal RC oscillator. Input 32KHz to 50MHz
Test
TST Test Mode Select Input High Must be connected to VDDIO
PGMEN0 Test Mode Select Input High Must be connected to VDDIO
PGMEN1 Test Mode Select Input High Must be connected to VDDIO
PGMEN2 Test Mode Select Input Low Must be connected to GND
PIO
PGMNCMD Valid command av ailable Input Low Pulled-up input at reset
PGMRDY 0: Device is busy
1: Device is ready for a new command Output High Pulled-up input at reset
PGMNOE Output Enable (active high) Input Low Pulled-up input at reset
PGMNVALID 0: DATA[15:0] or DATA[7:0](1) is in input mode
1: DATA[15:0] or DATA[7:0](1) is in output mode Output Low Pulled-up input at reset
PGMM[3:0] Specifies D ATA type (See Table 20-2) Input Pulled-up input at reset
PGMD[15:0 ] or [7:0](2) Bi-directional data bus Input/Output Pulled-up input at reset
269
6500D–ATARM–29-Feb-12
SAM3S
20.2.2 Signal Names Depending on the MODE settings, DATA is latched in different internal registers.
When MODE is equal to CMDE, then a new command (strobed on DATA[15:0] or DATA[7:0]
signals) is stored in the command register.
Note: DATA[7:0] pertains to SAM3SxA (48 pins).
Table 20-2. Mode Coding
MODE[3:0] Symbol Data
0000 CMDE Command Register
0001 ADDR0 Address Register LSBs
0010 ADDR1
0011 ADDR2
0100 ADDR3 Address Register MSBs
0101 DATA Data Register
Default IDLE No register
Table 20-3. Command Bit Coding
DATA[15:0] Symbol Command Executed
0x0011 READ Read Flash
0x0012 WP Write Page Flash
0x0022 WPL Write Page and Lock Flash
0x0032 EWP Erase Page and Write Page
0x0042 EWPL Erase Page and Write Page then Lock
0x0013 EA Er ase All
0x0014 SLB Set Lock Bit
0x0024 CLB Clear Lock Bit
0x0015 GLB Get Lock Bit
0x0034 SGPB Set General Purpose NVM bit
0x0044 CGPB Clear General Purpose NVM bit
0x0025 GGPB Get General Purpose NVM bit
0x0054 SSE Set Security Bit
0x0035 GSE Get Security Bit
0x001F WRAM Write Memory
0x001E GVE Get Version
270 6500D–ATARM–29-Feb-12
SAM3S
20.2.3 Entering Progra mming Mode
The following algorithm puts the device in Parallel Programming Mode:
Apply GND, VDDIO, VDDCORE and VDDPLL.
Apply XIN clock within TPOR_RESET if an external clock is available.
•Wait for T
POR_RESET
Start a read or write handshaking.
Note: After reset, the device is clocked by the internal RC oscillator. Before clearing RDY signal, if an
exter nal clock (> 32 kHz) is connected to XIN, then the device switches on the exter nal clock.
Else, XIN input is not considered. A higher frequency on XIN speeds up the programmer
handshake.
20.2.4 Programmer Handshaking
An handshake is defined for read and write operations. When the device is ready to start a new
operation (RDY signal set), the programmer starts the handshake by clearing the NCMD signal.
The handshaking is achieved once NCMD signal is high and RDY is high.
20.2.4.1 Write Handshaking
For details on the write handshaking sequence, refer to
Figure 20-3
,
Figure 20-4
and
Table 20-4
.
Figure 20-3. SAM3SxB/C (64/100 pins) Parallel Programming Timing, Write Sequence
NCMD
RDY
NOE
NVALID
DATA[7:0]
MODE[3:0]
1
2
3
4
5
271
6500D–ATARM–29-Feb-12
SAM3S
Figure 20-4. SAM3SxA (48 pins) Parallel Programming Timing, Write Sequence
20.2.4.2 Read Handshaking
For details on the read hands haking sequence, refer to
Figure 20-5
,
Figure 20-6
and
Table 20-5
.
Figure 20-5. SAM3SxB/C (64/100 pins) Pa rallel Programming Timing, Read Sequence
NCMD
RDY
NOE
NVALID
DATA[15:0]
MODE[3:0]
1
2
3
4
5
Table 20-4. Write Handshake
Step Programmer Action Device Action Data I/O
1 Sets MODE and DATA signals Waits for NCMD low Input
2 Clears NCMD signal Latches MODE and D ATA Input
3 Waits for RDY low Clears RDY signal Input
4 Releases MODE and DATA signals Executes command and polls NCMD high Input
5 Sets NCMD signal Executes command and polls NCMD high In put
6 Waits for RDY high Sets RDY Input
NCMD
RDY
NOE
NVALID
DATA[7:0]
MODE[3:0]
1
2
3
4
5
6
7
9
8
ADDR
Adress IN Z Data OUT
10
11
XIN
12
13
272 6500D–ATARM–29-Feb-12
SAM3S
Figure 20-6. SAM3SxA (48 pins) Parallel Programming Timing, Read Sequence
NCMD
RDY
NOE
NVALID
DATA[15:0]
MODE[3:0]
1
2
3
4
5
6
7
9
8
ADDR
Adress IN Z Data OUT
10
11
XIN
12
13
Table 20-5. Read Handshake
Step Programmer Action Device Acti on DATA I/O
1 Sets MODE and DATA signals Waits for NCMD low Input
2 Clears NCMD signal Latch MOD E an d DATA Input
3 Waits for RDY low Clears RDY signal Input
4 Sets DATA signal in tristate Waits for NOE Low Input
5 Clears NOE signal Tristate
6 Waits for NVALID low Sets DATA bus in output mode and outputs
the flash contents. Output
7 Clears NVALID signal Output
8 Reads value on DATA Bus Waits f or NOE high Output
9 Sets NOE signal Output
10 Waits for NVALID high Sets DATA bus in input mode X
11 Sets DATA in output mode Sets NVALID signal Input
12 Sets NCMD signal Waits for NCMD high Input
13 Waits for RDY high Sets RDY sign al Input
273
6500D–ATARM–29-Feb-12
SAM3S
20.2.5 Device Operations
Several commands on the Flash memory are available. These command s are summarized in
Table 20-3 on page 269. Each co mm a nd is dr ive n by the prog ra m me r th roug h th e parallel int er -
face running several read/ write handshaking sequences.
When a new command is executed, the previous one is automatically achieved. Thus, chaining
a read command after a write automatically flushes the load buffer in the Flash.
In the following tables, Table 20-6 through Table 20-17
DATA[15:0] pertains to ASAM3SxB/C (64/100 pins)
DATA[7:0] pertains to SAM3SxA (48 pins)
20.2.5.1 Flash Read Command
This command is used to read the contents of the Flash memory. The read command can start
at any valid address in the memory plane and is optimized for consecutive reads. Read hand-
shaking can be chained; an internal address buffer is automatically increased.
Table 20-6. Read Command
Step Handshake Sequence MODE[3:0] DATA[15:0]
1 Write handshaking CMDE READ
2 Write handshaking ADDR0 Memor y Address LSB
3 Write handshaking ADDR1 Memor y Address
4 Read handshaking DATA *Memory Address++
5 Read handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memor y Address LSB
n+1 Write handshaking ADDR1 Memory Address
n+2 R ead handshaking DATA *Memory Addre ss++
n+3 R ead handshaking DATA *Memory Addre ss++
... ... ... ...
Table 20-7. Read Command
Step Handshake Sequence MODE[3:0] DATA[7:0]
1 Write handshaking CMDE READ
2 Write handshaking ADDR0 Memor y Address LSB
3 Write handshaking ADDR1 Memor y Address
4 Write handshaking ADDR2 Memor y Address
5 Write handshaking ADDR3 Memor y Address
6 Read handshaking DATA *Memory Address++
7 Read handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memor y Address LSB
274 6500D–ATARM–29-Feb-12
SAM3S
20.2.5.2 Flash Write Command
This command is used to write the Fl ash contents.
The Flash memory plane is organized into several pages. Data to be written are stored in a load
buffer that corresponds to a Flash memory page. The load buffer is automatically flushed to the
Flash:
before access to any page other th an the curr ent one
when a new command is validat ed (MODE = CMDE)
The Write P age command (WP) is optimized for consecutive writes. Write handshaking can be
chained; an internal address buffer is automatically increased.
n+1 Write handshaking ADDR1 Memory Address
n+2 Write handshaking ADDR2 Memory Address
n+3 Write handshaking ADDR3 Memory Address
n+4 R ead handshaking DATA *Memory Addre ss++
n+5 R ead handshaking DATA *Memory Addre ss++
... ... ... ...
Table 20-7. Read Command (Continued)
Step Handshake Sequence MODE[3:0] DATA[7:0]
Table 20-8. Write Command
Step Handshake Sequence MODE[3:0] DATA[15:0]
1 Write handshaking CMDE WP or WPL or EWP or EWPL
2 Write handshaking ADDR0 Memory Address LSB
3 Write handshaking ADDR1 Memory Address
4 Write handshaking DATA *Memory Address++
5 Write handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memory Address LSB
n+1 Write handshaking ADDR1 Memory Address
n+2 Write handshaking DATA *Memory Address++
n+3 Write handshaking DATA *Memory Address++
... ... ... ...
Table 20-9. Write Command
Step Handshake Sequence MODE[3:0] DATA[7:0]
1 Write handshaking CMDE WP or WPL or EWP or EWPL
2 Write handshaking ADDR0 Memory Address LSB
3 Write handshaking ADDR1 Memory Address
4 Write handshaking ADDR2 Memory Address
275
6500D–ATARM–29-Feb-12
SAM3S
The Flash command Write Page and Lock (WPL) is equivalent to th e Flash Write Comm and.
However, the lock b it is automa tically set at the end of t he Flash write ope ration. As a lo ck region
is composed of several pages, the programmer writes to the first pages of the lock region using
Flash write command s and wr ites to the last pa ge of t he lock reg ion using a Flash write an d lock
command.
The Flash command Erase Page and Write (EWP) is equivalent to the Flash Write Command.
However, before progr amming the load buffer, the page is erased.
The Flash command Erase Page and Write the Lock (EWPL) combines EWP and WPL
commands.
20.2.5.3 Flash Full Erase Command
This command is used to erase the Flash memory planes.
All lock regions must be unlocked before the Full Erase command by using the CLB command.
Otherwise, the erase command is aborted and no page is erased.
20.2.5.4 Flash Lock Command s
Lock bits can be set using WPL or EWPL commands. They can also be set by using the Set
Lock command (SLB). With this command, several lock bits can be activated . A Bit Mask is pro-
vided as argument to the command. When bit 0 of the bit mask is set, then the first lo ck bit is
activated.
5 Write handshaking ADDR3 Memory Address
6 Write handshaking DATA *Memory Address++
7 Write handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memory Address LSB
n+1 Write handshaking ADDR1 Memory Address
n+2 Write handshaking ADDR2 Memory Address
n+3 Write handshaking ADDR3 Memory Address
n+4 Write handshaking DATA *Memory Address++
n+5 Write handshaking DATA *Memory Address++
... ... ... ...
Table 20-9. Write Command (Continued)
Step Handshake Sequence MODE[3:0] DATA[7:0]
Table 20-10. Full Erase Command
Step Handshake Sequence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE EA
2 Write handshaking DATA 0
276 6500D–ATARM–29-Feb-12
SAM3S
In the same way, the Clear Lock command (CLB) is used to clear lock bits.
Lock bits can be read using Get Lock Bit command (GLB). The nth lock bit is active when the bit
n of the bit mask is set..
20.2.5.5 Flash General-purpose NVM Comm a nd s
General-purpose NVM bits (GP NVM bits) can be set using the Set GPNVM command (SGPB).
This command also activates GP NVM bits. A bit mask is provided as argument to the com-
mand. When bit 0 of the bit mask is set, then the first GP NVM bit is activated.
In the same way, the Clear GPNVM command (CG PB) is used to clear general-purpose NVM
bits. The gen eral-purpose NVM bit is dea ctivated when t he correspond ing bit in the p attern value
is set to 1.
General-purpose NVM bits can be read using the Get GPNVM Bit command (GGPB). The nth
GP NVM bit is active when bit n of the bit mask is set..
Table 20-11. Set and Clear Lock Bit Command
Step Handshake Sequence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE SLB or CLB
2 Write handshaking DATA Bit Mask
Table 20-12. Get Lock Bit Command
Step Handshake Sequence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE GLB
2 Read handshaking D ATA Lock Bit Mask Status
0 = Lock bit is cleared
1 = Lock bit is set
Table 20-13. Set/Clear GP NVM Command
Step Handshake Se quence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE SGPB or CGPB
2 Wri te handshaking DATA GP NVM bit pattern value
Table 20-14. Get GP NVM Bit Command
Step Handshake Se quence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE GGPB
2 Read handshaking DATA GP NVM Bit Mask Status
0 = GP NVM bit is cleared
1 = GP NVM bit is set
277
6500D–ATARM–29-Feb-12
SAM3S
20.2.5.6 Flash Security Bit Command
A security bit can be set using the Set Security Bit command (SSE). Once the security bit is
active, the Fast Flash programming is disabled. No other command can be run. An event on the
Erase pin can eras e th e sec ur ity bit once the contents of the Flash have been erased.
Once the security bit is set, it is not possible to access FFPI. The only way to erase the security
bit is to erase the Flash.
In order to erase the Flash, the user must perform the following:
Power-off the chip
Power-on the chip with T ST = 0
Assert Erase during a period of more than 220 ms
Power-off the chip
Then it is possible to return to FFPI mode and check that Flash is erased.
20.2.5.7 Memor y Write Command
This command is used to perform a write access to any memory location.
The Memory Write command (WRAM) is optimized for con secutive writes. Write handshaking
can be chained; an internal address buffer is automatically increased.
Table 20-15. Set Security Bit Command
Step Handshake Sequence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Write handshaking CMDE SSE
2 Write handshaking DATA 0
Table 20-16. Write Command
Step Handshake Sequence MODE[3:0] DATA[15:0]
1 Write handshaking CMDE WRAM
2 Write handshaking ADDR0 Memory Address LSB
3 Write handshaking ADDR1 Memory Address
4 Write handshaking DATA *Memory Address++
5 Write handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memory Address LSB
n+1 Write handshaking ADDR1 Memory Address
n+2 Write handshaking DATA *Memory Address++
n+3 Write handshaking DATA *Memory Address++
... ... ... ...
278 6500D–ATARM–29-Feb-12
SAM3S
20.2.5.8 Get Versio n Com m a nd
The Get Version (GVE) command retrieves the version of the FFPI interface.
Table 20-17. Write Command
Step Handshake Sequence MODE[3:0] DATA[7:0]
1 Write handshaking CMDE WRAM
2 Write handshaking ADDR0 Memory Address LSB
3 Write handshaking ADDR1 Memory Address
4 Write handshaking ADDR2 Memory Address
5 Write handshaking ADDR3 Memory Address
6 Write handshaking DATA *Memory Address++
7 Write handshaking DATA *Memory Address++
... ... ... ...
n Write handshaking ADDR0 Memory Address LSB
n+1 Write handshaking ADDR1 Memory Address
n+2 Write handshaking ADDR2 Memory Address
n+3 Write handshaking ADDR3 Memory Address
n+4 Write handshaking DATA *Memory Address++
n+5 Write handshaking DATA *Memory Address++
... ... ... ...
Table 20-18. Get Version Command
Step Handshake Sequence MODE[3:0] DATA[15:0] or DATA[7:0]
1 Wr ite handshaking CMDE GVE
2 Write handshaking DATA Version
279
6500D–ATARM–29-Feb-12
SAM3S
21. Cyclic Redundancy Check Calculation Unit (CRCCU)
21.1 Description The Cyclic Redundancy Check Calculation Unit (CRCCU) has its own DMA which functions as a
Master with the Bus Matrix.
21.2 Embedded Characteristics
32-bit cyclic redundancy check automatic calculation
CRC calculation between two addresses of the memory
280 6500D–ATARM–29-Feb-12
SAM3S
21.3 CRCCU Block Diagram
Figure 21-1. Block Diagram
AHB-Layer
Context FSM
AHB Interface
Host
Interface
Atmel
APB Bus
AHB SRAM
Data Register
Addr Register
HRDATA
HTRANS
HSIZE
CRC Register
Flash
External
Bus Interface
281
6500D–ATARM–29-Feb-12
SAM3S
21.4 Product Dependencies
21.4.1 Power Management
The CRCCU is clocked through the Power Management Controller (PMC), the programmer
must first configure the CRCCU in the PMC to enable the CRCCU clock.
21.4.2 Interrupt Source
The CRCCU has an interrupt line connected to the Interrupt Controller. Handling the CRCCU
interrupt requires programming the Interrupt Controller before configuring the CRCCU.
21.5 CRCCU Functional Description
21.5.1 CRC Calculation Unit description
The CRCCU integrates a dedicated Cyclic Redundancy Check (CRC) engine. When configured
and activated, this CRC engine performs a checksum computation on a Memory Area. CRC
computation is performed from the LSB to MSB bit. Three different polynomials are available
CCIT802.3, CASTAGNOLI and CCIT16, see the bitfield description, “PTYPE: Primitive Polyno-
mial” on page 295, for details.
21.5.2 CRC Calculation Unit Operation
The CRCCU has a DMA controller that supports programmable CRC memory checks. When
enabled, the DMA ch annel reads a pr ogrammable am ount of data and computes CRC on th e fly.
The CRCCU is controlled by two registers, TR_ADDR and TR_CTRL which need to be mapped
in the internal SRAM. The addresses of these two registers are pointed at by the
CRCCU_DSCR register.
Figure 21-2. CRCCU Descriptor Memory Mapping
TR_ADDR defines the sta rt address of memory area targeted for CRC calculation.
TR_CTRL defines the buffer transfer size, the transfer width (byte, halfword, word) and the
transfer-completed interrupt enable.
TR_ADDR
CRCCU_DSCR+0x0
TR_CTRL
CRCCU_DSCR+0x4
Reserved
Reserved
TR_CRC
CRCCU_DSCR+0x8
CRCCU_DSCR+0xC
CRCCU_DSCR+0x10
SRAM
Memory
282 6500D–ATARM–29-Feb-12
SAM3S
To start the CRCCU, the user needs to set the CRC enable bit (ENABLE) in the CRCCU Mode
Register (CRCCU_MR), then configure it and finally set the DMA enable bit (DMAEN) in the
CRCCU DMA Enable Register (CRCCU_DMA_EN).
When the CRCCU is enabled, the CRCCU reads the predefined amount of data (defined in
TR_CTRL) located at TR_ADDR start address and computes the checksum.
The CRCCU_SR register contains the temporary CRC value.
The BTSIZE field located in the TR_CTRL register (located in memory), is automatically decre-
mented if its value is different from zero. Once the value of the BTSIZE fie ld is equal to zero, the
CRCCU is disabled by hardware. In this case, t he relevant CRCCU DMA Status Register bit,
DMASR, is automatically cleared.
If the COMPARE field of the CRCCU_MR register is set to true, the TR_CRC (Transfer Refer-
ence Register) is compared with the last CRC computed. If a mismatch occurs, an error flag is
set and an interrupt is raised (if unmasked).
The CRCCU accesses the memory by single access (TRWIDTH size) in order not to limit the
bandwidth usage of the system, but the DIVIDER field of the CRCCU Mode Register can be
used to lower it by dividing the frequency of the sing le accesses.
In order to compute the CRC for a memory size larger than 256 Kbytes or for non-contiguous
memory area, it is possible to re-enable the CRCCU on the new memory area and the CRC will
be updated accordingly. Use the RESET field of the CRCCU_CR register to reset the CRCCU
Status Register to its default value (0xFFFF_FFFF).
21.6 Transfer Control Registers Memor y Mapping
Note: These Registers are memory mapped
Table 21-1. Transfer Control Register Memory Mapping
Offset Register Name Access
CRCCU_DSCR + 0x0 CRCCU Transfer Address Register TR_ADDR Read-wr ite
CRCCU_DSCR + 0x4 CRCCU Transfer Control Register TR_CTRL Read-wr ite
CRCCU_DSCR + 0xC - 0x10 Reserved
CRCCU_DSCR + 0x10 CRCCU Transfer Reference Register TR_CRC Read-write
283
6500D–ATARM–29-Feb-12
SAM3S
21.6.1 Transfer Address Register
Name: TR_ADDR
Access: Read-write
Reset: 0x00000000
ADDR: Transfer Address
31 30 29 28 27 26 25 24
ADDR
23 22 21 20 19 18 17 16
ADDR
15 14 13 12 11 10 9 8
ADDR
76543210
ADDR
284 6500D–ATARM–29-Feb-12
SAM3S
21.6.2 Transfer Control Register
Name: TR_CTRL
Access: Read-write
Reset: 0x00000000
BTSIZE: Buff er Transfer Size
TRW IDT H : Tra n sfer Widt h Re gister
IEN: Context Done Interrupt Enable
When set to zero, the transfer done status bit is set at the end of the transfer.
31 30 29 28 27 26 25 24
––––IEN TRWIDTH
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
BTSIZE
76543210
BTSIZE
TRWIDTH Single Transfer Size
00 BYTE
01 HALFWORD
10 WORD
285
6500D–ATARM–29-Feb-12
SAM3S
21.6.3 Transfer Reference Register
Name: TR_CRC
Access: Read-write
Reset: 0x00000000
REFCRC: Reference CRC
When Compare mode is enabled, the checksum is compared with that registe r.
31 30 29 28 27 26 25 24
REFCRC
23 22 21 20 19 18 17 16
REFCRC
15 14 13 12 11 10 9 8
REFCRC
76543210
REFCRC
286 6500D–ATARM–29-Feb-12
SAM3S
21.7 Cyclic Redundanc y Check Calculation Unit (CRCCU) User Interface
Table 21-2. Register Mapping
Offset Register Name Access Reset
0x00000000 CRCCU Descriptor Base Registe r CRCCU_DSCR Read-write 0x000 00000
0x00000004 Reserved
0x00000008 CRCCU DMA Enable Register CRCCU_DMA_EN Wr ite-only 0x00000000
0x0000000C CRCCU DMA Disable Register CRCCU_DMA_DIS Write-only 0x000 00000
0x00000010 CRCCU DMA Status Register CRCCU_DMA_SR Read-only 0x00000000
0x00000014 CRCCU DMA Interrupt Enable Register CRCCU_DMA_IER Write-only 0x00000000
0x00000018 CRCCU DMA Interrupt Disable Register CRCCU_DMA_IDR Write-only 0x000 00000
0x0000001C CRCCU DMA Interrupt Mask Register CRCCU_DMA_IMR Read-only 0x00000000
0x00000020 CRCCU DMA Interrupt Status Reg ister CRCCU_DMA_ISR Read -only 0x00000000
0x0024-0x0030 Reserved
0x00000034 CRCCU Control Register CRCCU_CR Write-only 0x000000 00
0x00000038 CRCCU Mode Register CRCCU_MR Read-write 0x00000000
0x0000003C CRCCU Status Register CRCCU_SR Read-only 0xFFFFFFFF
0x00000040 CRCCU Interrupt Enable Register CRCCU_IER Write-only 0x00000000
0x00000044 CRCCU Interrupt Disable Register CRCCU_IDR Write-only 0x00000000
0x00000048 CRCCU Interrupt Mask Register CRCCU_IMR Read-only 0x00000000
0x0000004C CRCCU Interrupt Status Register CRCCU_ISR Read-only 0x00000000
287
6500D–ATARM–29-Feb-12
SAM3S
21.7.1 CRCCU Descriptor Base Address Register
Name: CRCCU_DSCR
Address:0x40044000
Access: Read-write
Reset: 0x00000000
DSCR: Descriptor Base Address
DSCR needs to be aligned with 512-byte boundaries.
31 30 29 28 27 26 25 24
DSCR
23 22 21 20 19 18 17 16
DSCR
15 14 13 12 11 10 9 8
DSCR
76543210
––––––––
288 6500D–ATARM–29-Feb-12
SAM3S
21.7.2 CRCCU DMA Enable Register
Name: CRCCU_DMA_EN
Address:0x40044008
Access: Write-only
Reset: 0x00000000
DMAEN: DMA Enable Register
Write one to enable the CRCCU DMA channel.
21.7.3 CRCCU DMA Disable Register
Name: CRCCU_DMA_DIS
Address:0x4004400C
Access: Write-only
Reset: 0x00000000
DMADIS: DMA Disable Register
Write one to disable the DMA channel
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMAEN
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMADIS
289
6500D–ATARM–29-Feb-12
SAM3S
21.7.4 CRCCU DMA Statu s Re gi st er
Name: CRCCU_DMA_SR
Address: 0x40044010
Access: Read-only
Reset: 0x00000000
DMASR: DMA Status Register
When set to one, this bit indicates that DMA Channel is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMASR
290 6500D–ATARM–29-Feb-12
SAM3S
21.7.5 CRCCU DMA Interrupt Enable Register
Name: CRCCU_DMA_IER
Address: 0x40044014
Access: Write-only
Reset: 0x00000000
DMAIER: Interrupt Enable register
Set bit to one to enable the interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMAIER
291
6500D–ATARM–29-Feb-12
SAM3S
21.7.6 CRCCU DMA Interrupt Disable Register
Name: CRCCU_DMA_IDR
Address:0x40044018
Access: Write-only
Reset: 0x00000000
DMAIDR: Interrupt Disable register
Set to one to disable the interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMAIDR
292 6500D–ATARM–29-Feb-12
SAM3S
21.7.7 CRCCU DMA Interrupt Mask Register
Name: CRCCU_DMA_IMR
Address:0x4004401C
Access: Write-only
Reset: 0x00000000
DMAIMR: Interrupt Mask Register
0: Buffer Transfer Completed interrupt is disabled.
1: Buffer Transfer Completed interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMAIMR
293
6500D–ATARM–29-Feb-12
SAM3S
21.7.8 CRCCU DMA Interrupt Status Register
Name: CRCCU_DMA_ISR
Address: 0x40044020
Access: Read-only
Reset: 0x00000000
DMAISR: Interrupt Status register
When DMAISR is set, DMA buffer transfer has terminated. This flag is reset after read.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––DMAISR
294 6500D–ATARM–29-Feb-12
SAM3S
21.7.9 CRCCU Cont rol Register
Name: CRCCU_CR
Address:0x40044034
Access: Write-only
Reset: 0x00000000
RESET: CRC Computation Reset
When set to one, this bit resets the CRCCU_SR register to 0xFFFF FFFF.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––RESET
295
6500D–ATARM–29-Feb-12
SAM3S
21.7.10 CRCCU Mode Register
Name: CRCCU_MR
Address:0x40044038
Access: Read Write
Reset: 0x00000000
ENABLE: CRC Enable
COMPARE: CRC Compare
If set to one, this bit indicates that the CRCCU DMA will compare the CRC computed on the data stream with the value
stored in the TRC_RC reference register. If a mismatch occurs, the ERRISR bit in the CRCCU_ISR register is set.
PTYPE: Primitive Polynomial
DIVIDER: Request Divider
CRCCU DMA performs successive transfers. It is possible to reduce the bandwidth drained by the CRCCU DMA by pro-
gramming the DIVIDER field. The transfer request frequency is divided by 2^(DIVIDER+1 ).
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
DIVIDER PTYPE COMPARE ENABLE
Value Name Description
0 CCIT8023 Polynom 0x04C11DB7
1 CASTAGNOLI Polynom 0x1EDC6F41
2 CCIT16 Polynom 0x1021
296 6500D–ATARM–29-Feb-12
SAM3S
21.7.11 CRCCU Status Register
Name: CRCCU_SR
Address:0x4004403C
Access: Read-only
Reset: 0x00000000
CRC: Cyclic Redundancy Check Value
This register can not be read if the COMPARE field of the CRC_MR register is set to true.
31 30 29 28 27 26 25 24
CRC
23 22 21 20 19 18 17 16
CRC
15 14 13 12 11 10 9 8
CRC
76543210
CRC
297
6500D–ATARM–29-Feb-12
SAM3S
21.7.12 CRCCU Interrupt Enable Register
Name: CRCCU_IER
Address:0x40044040
Access: Write-only
Reset: 0x00000000
ERRIER: CRC Error Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––ERRIER
298 6500D–ATARM–29-Feb-12
SAM3S
21.7.13 CRCCU Interrupt Disable Register
Name: CRCCU_IDR
Address:0x40044044
Access: Write-only
Reset: 0x00000000
ERRIDR: CRC Error Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––ERRIDR
299
6500D–ATARM–29-Feb-12
SAM3S
21.7.14 CRCCU Interrupt Mask Register
Name: CRCCU_IMR
Address:0x40044048
Access: Write-only
Reset: 0x00000000
ERRIMR: CRC Error Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––ERRIMR
300 6500D–ATARM–29-Feb-12
SAM3S
21.7.15 CRCCU Interrupt Status Register
Name: CRCCU_ISR
Address:0x4004404C
Access: Read-only
Reset: 0x00000000
ERRISR: CRC Error Interrupt Status
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––ERRISR
301
6500D–ATARM–29-Feb-12
SAM3S
22. SAM3S Boot Program
22.1 Description The SAM-BA® Bo ot Progr am integr ates an arra y of pro grams perm itting do wnload an d/or upload
into the different memories of the product.
22.2 Hardware and Software Constraints
SAM-BA Boot uses th e first 20 48 b y tes of th e SRAM for v ariab les and stacks. The remaining
available size can be used for user's code.
USB Requirements:
External Crystal or External Clock(1) with frequency of:
11,289 MHz
12,000 MHz
16,000 MHz
18,432 MHz
UART0 requirements: None
Note: 1. must be 2500 ppm and 1.8V Square Wave Signal.
22.3 Flow Diagram The Boot Program implements the algorithm in Figure 22-1.
Figure 22-1. Boot Program Algorithm Flow Diagram
The SAM-BA Boot pr ogram se eks to dete ct a so urce clock e ither f rom the e mbedded main o scil-
lator with external crystal (main oscillator enabled) or from a supported frequency signal applied
to the XIN pin (Main oscillator in bypass mode).
Table 22-1. Pins Driven during Boot Program Execution
Peripheral Pin PIO Line
UART0 URXD0 PA9
UART0 UTXD0 PA10
Device
Setup Character # received
from UART0?
Run SAM-BA Monitor
USB Enumeration
Successful ?
Yes
Run SAM-BA Monitor
Yes
No
No
302 6500D–ATARM–29-Feb-12
SAM3S
If a clock is found from the two possible sources above, the boot program checks to verify that
the frequency is one of the supported external frequencies. If the frequency is one of the sup-
ported external frequencies, USB activation is allowed, else (no clock or frequency other than
one of the supported external frequencies), the internal 12 MHz RC oscillator is used as main
clock and USB clock is not allowed due to frequency drift of the 12 MHz RC oscillator.
22.4 Device Initialization
Initialization follows the steps described below:
1. Stack setup
2. Setup the Embedded Flash Controller
3. External Clock detection (crystal or external clock on XIN)
4. If external crystal or clock with supported frequency, allow USB activation
5. Else, does not allow USB activation and use internal 12 MHz RC oscillator
6. Main oscillator frequency detection if no external clock detected
7. Switch Master Clock on Main Oscillator
8. C variable initialization
9. PLLA setup: PLLA is initialized to generate a 96 MHz clock
10. Switch Master Clock on PLLA/2
11. Disable of the Watchdog
12. Initialization of UART0 (115200 ba uds, 8, N, 1)
13. Initialization of the USB Device Port (in case of USB activ ation allowed)
14. Wait for one of the following events
a. check if USB device enumeration has occurred
b. check if characters have been received in UART0
15. Jump to SAM-BA Monitor (see Section 22.5 ”SAM -BA Monitor”)
303
6500D–ATARM–29-Feb-12
SAM3S
22.5 SAM-BA Monitor
The SAM-BA boot princip le:
Once the communication interface is identified, to run in an infinite loop waiting for different com-
mands as shown in Table 22-2.
Mode commands:
Normal mode configures SAM-BA Monitor to send/receive data in binary format,
Terminal mode configures SAM-BA Monitor to send/receive data in ascii format.
Write commands: Write a byte (O), a halfword (H) or a word (W) to the target.
Address: Address in he xadecimal.
Value: Byte, halfword or word to write in hexadecimal.
Output: ‘>’.
Read commands: Read a byte (o), a halfword (h) or a word (w) from the target.
Address: Address in he xadecimal
Output: The byte, halfword or word read in hexadecimal following by ‘>’
Send a file (S): Send a file to a specified address
Address: Address in he xadecimal
Output: ‘>’.
Note: There is a time-out on this command which is reached when the prompt ‘>’ appe ars before the
end of the command execution.
Receive a file (R): Receive data into a file from a specified address
Address: Address in he xadecimal
NbOfBytes: Number of bytes in hexadecimal to receive
Output: ‘>’
•Go (G): Jump to a specified address and e xecute the code
Address: Address to jump in hexadecimal
Table 22-2. Commands Available through the SAM-BA Boot
Command Action Argument(s) Example
Nset Normal mode No argument N#
Tset Ter m inal mode No argument T#
Owrite a byt e Address, Value# O200001,CA#
oread a byte Address,# o200001,#
Hwrite a half word Address, Value# H200002,CAFE#
h read a half word Address,# h200002,#
W write a word Address, Value# W200000,CAFEDECA#
w read a word Address,# w200000,#
S send a file Address,# S200000,#
R receive a file Address, NbOfBytes# R200000,1234#
Ggo Address# G200200#
V display version No argument V#
304 6500D–ATARM–29-Feb-12
SAM3S
Output: ‘>’
Get Version (V): Return the SAM-BA boot version
Output: ‘>’
22.5.1 UART0 Serial Port
Communication is performed through the UART0 initialized to 115200 Baud, 8, n, 1.
The Send and Receive File commands use the Xmodem protocol to communicate. Any terminal
performing this protocol can be used to send the application file to the target. The size of the
binary file to send depends on the SRAM size embedded in the product. In all cases, the size of
the binary file must be lower than the SRAM size because the Xmodem protocol requires some
SRAM memory to work. See, Section 22.2 ”Hardware and Software Constraints”
22.5.2 Xmodem Protoc ol
The Xmodem protocol supported is the 128-byte length block. This protocol uses a two-charac-
ter CRC-16 to guarantee detection of a maximum bit error.
Xmodem protocol with CRC is accurate provided both sender and receiver report successful
transmission . E ach bl o ck of th e tra n sfe r loo ks like :
<SOH><blk #><255-blk #><--128 data bytes --><ch e cks um > in w hic h:
<SOH> = 01 hex
<blk #> = bin ary number, starts at 01, increment s b y 1, and wr aps 0FFH t o 00H (not
to 01)
<255-blk #> = 1’s complement of the blk#.
<checksum> = 2 bytes CRC16
Figure 22-2 shows a transmission using this protocol.
Figure 22-2. Xmodem Transfer Example
Host Device
SOH 01 FE Data[128] CRC CRC
C
ACK
SOH 02 FD Data[128] CRC CRC
ACK
SOH 03 FC Data[100] CRC CRC
ACK
EOT
ACK
305
6500D–ATARM–29-Feb-12
SAM3S
22.5.3 USB Device Port
The device uses the USB communication device class (CDC) drivers to take advantage of the
installed PC RS-232 software to talk over the USB. The CDC class is implemented in all
releases of Windows®, fr om Windows 9 8SE ® to Windo ws XP®. The CDC document , available at
www.usb.org, describes a way to implement devices such as ISDN modems and virtual COM
ports.
The Vendor ID (VID) is Atmel’s ve ndor I D 0x03EB . The product ID (PID) is 0x6124. These refer-
ences are used by the host operating system to mount the correct driver. On Windows systems,
the INF files contain the correspondence between vendor ID and product ID.
For More details about VID/PID for End Product/Systems, please refer to the Vendor ID form
available from the USB Implementers Forum:
http://www.usb.org/developers/vendor/VID_Only_Form_withCCAuth_102407b.pdf
"Unauthorized use of assigned or unassigned US B Vendor ID Numbers and associated Product
ID Numbers is strictly prohibited."
Atmel provides an INF example to see the device as a new se rial port and al so pro v ides ano th er
custom driver used by the SAM-BA applica tion: atm6124. sys. Refer to t he document “USB Basic
Application”, literature number 6123, for more details.
22.5.3.1 Enumeration Process
The USB protocol is a master/slave protocol. This is the host that starts the enumeration send-
ing requests to the device through the control endpoint. The device handles standar d requests
as defined in the USB Specifica tion.
The device also handles some class requests defined in the CDC class.
Unhandled requests are STALLed.
Table 22-3. Handled Standard Requests
Request Definition
GET_DESCRIPTOR Returns the current device configuration value.
SET_ADDRESS Sets the device address for all future device access.
SET_CONFIG U RATION Sets the device configuration.
GET_CONFIGURATION Returns the current device configuration value.
GET_STATUS Returns status for the specified recipient.
SET_FEATURE Set or Enable a specific feature.
CLEAR_FEATURE Clear or Disable a specific feature.
Table 22-4. Handled Class Requests
Request Definition
SET_LINE_CODING Configures DTE rate, stop bits, parity and number of
character bits.
GET_LINE_CODING Requests current DTE rate, stop bits, parity and number
of character bits.
SET_CONTROL_LINE_STATE RS-232 signal used to tell the DCE device the DTE
de vice is now present.
306 6500D–ATARM–29-Feb-12
SAM3S
22.5.3.2 Communication Endpoints
There are two communication endpoints and endpoin t 0 is used for the enumeration process.
Endpoint 1 is a 64-byte Bulk OUT endpoint and endpoint 2 is a 64-byte Bulk IN endpoint. SAM-
BA Boot commands are sent by the host through endpoint 1. If required, the message is split by
the host into several data payloads by the host driver.
If the command requires a response, the host can send IN transactions to pick up the response.
22.5.4 In Application Programming (IAP) Feature
The IAP feature is a function located in ROM that can be called by any software application.
When called, this function sends the desired FLASH command to the EEFC and waits for the
Flash to be ready (looping while the FRDY bit is not set in the MC_FSR register).
Since this function is executed from ROM, this allows Flash programming (such as sector write)
to be done by code running in Flash.
The IAP function entry point is retrieved by reading the NMI vector in ROM (0x00800008).
This function takes one argument in parameter: the command to be sent to the EEFC.
This function retur ns the value of the MC_FSR register.
IAP software code exam ple:
(unsigned int) (*IAP_Function)(unsigned long);
void main (void){
unsigned long FlashSectorNum = 200; //
unsigned long flash_cmd = 0;
unsigned long flash_status = 0;
unsigned long EFCIndex = 0; // 0:EEFC0, 1: EEFC1
/* Initialize the function pointer (retrieve function address from NMI
vector) */
IAP_Function = ((unsigned long) (*)(unsigned long)) 0x00800008;
/* Send your data to the sector here */
/* build the command to send to EEFC */
flash_cmd = (0x5A << 24) | (FlashSectorNum << 8) | AT91C_MC_FCMD_EWP;
/* Call the IAP function with appropriate command */
flash_status = IAP_Function (EFCIndex, flash_cmd);
}
307
6500D–ATARM–29-Feb-12
SAM3S
23. Bus Matrix (MATRIX)
23.1 Description The Bus Matrix implements a multi-layer AHB that enables parallel access path s be tw ee n m u lti-
ple AHB masters and slaves in a system, which increases the overall bandwidth. Bus Matrix
interconnects 4 AHB Masters to 5 AHB Sla ves. The normal latency to connect a master to a
slave is one cycle except for the default master of the accessed slave which is connected
directly (zero cycle latency).
The Bus Matrix user interface also provides a Chip Configuration User Interface with Registers
that allow to suppor t application specific features.
23.2 Embedded Characteristics
23.2.1 Matrix Masters
The Bus Matrix of the SAM3S product manages 4 masters, which means that each master can
perform an access concur rently with others, to an available slave.
Each master has its own decoder, which is defined specifically for each master. In order to sim-
plify the addressing, all the masters have the same decodings.
23.2.2 Matrix Slaves The Bus Matrix of the SAM3S product manages 5 slaves. Each slave has its own arbiter, allow-
ing a different ar bit ra tio n pe r slav e.
Table 23-1. List of Bus Matrix Masters
Master 0 Cortex-M3 Instruction/Data
Master 1 Cortex-M3 System
Master 2 Peripheral DMA Controller (PDC)
Master 3 CRC Calculation Unit
Table 23-2. List of Bus Matrix Slaves
Slave 0 Internal SRAM
Slave 1 Internal ROM
Slave 2 Internal Flash
Slave 3 External Bus Interface
Slave 4 Peripheral Bridge
308 6500D–ATARM–29-Feb-12
SAM3S
23.2.3 Master to Slave Access
All the Masters can n ormally access all the Sla ves. However, some paths do not make sense ,
for example allowing access from the Cortex-M3 S Bus to the Internal ROM. Thus, these paths
are forbidden or simply not wired and shown as “-” in the following table.
23.3 Memory Mapping
Bus Matrix provides one decoder for every AHB Master Interface. The decoder offers each AHB
Master several memor y mappings. In fact , depending on t he product, each memo ry area may be
assigned to several slave s. Booting at the same address wh ile using differe nt AHB slaves (i.e.
internal ROM or internal Flash) becomes possible.
23.4 Special Bus Granting Techniques
The Bus Matrix provides some specula tive bu s grant ing techn iqu es in ord er to anticipat e a ccess
requests from some masters. This mechanism allows to reduce latency at first accesses of a
burst or single transfer. The bus granting mechanism allows to set a default master for every
slave.
At the end of the current access, if no other request is pending, the slave remains connected to
its associated default master. A slave can be associated with three kinds of default masters: no
default master, last access master and fixed default master.
23.4.1 No Default Master
At the end of the current access, if no other request is pending, the slave is disconnected from
all masters. No Default Master suits low power mode.
23.4.2 L ast Ac ce ss Ma st e r
At the end of the current access, if no other request is pending, the slave remains connected to
the last master that performed an access request.
23.4.3 Fixed Default Master
At the end of the current access, if no other request is pending, the slave connects to its fixed
default master. Unlike last access master, the fixe d master doesn’t chan ge unless the user mod-
ifies it by a software action (field FIXED _DEFMSTR of the re lat ed MAT RI X _SC FG ) .
To change from on e kind of defa ult mast er t o anoth er, the Bus Matr ix user int erface p rovides t he
Slave Configurat ion Registers, one for each slave, that allow to set a default master for each
slave. The Slave Config u ra tio n Re gist er con ta ins two field s:
Table 23-3. SAM3S Master to Slave Access
Masters 0 1 2 3
Slaves Cortex-M3 I/D
Bus Cortex-M3 S
Bus PDC CRCCU
0Internal SRAM - X X X
1Internal ROM X - X X
2Inter nal Flash X - - X
3Exter nal Bus Interface - X X X
4 Peripheral Bridge - X X -
309
6500D–ATARM–29-Feb-12
SAM3S
DEFMSTR_TYPE and FIXED_DEFMSTR. The 2-bit DEFMSTR_TYPE field allows to choose
the default master type (no default, last access master, fixed default master) whereas the 4-bit
FIXED_DEFMSTR field allows to choose a fixed default master provided that DEFMSTR_TYPE
is set to fixed default master. Please refer to the Bus Matrix user interface description.
23.5 Arbitration The Bus Matrix provides an arbitration mechanism that allows to reduce latency when conflict
cases occur, basically when two or more masters try to access the same slave at the same time.
One arbiter per AHB sl av e is pro vid ed , allo win g to ar bit ra te eac h slav e dif fe re nt ly.
The Bus Matrix provides to the user the possibility to choose between 2 arbitration types, and
this for each slave:
1. Round-Robin Arbitration (the default)
2. Fixed Priority Arbitration
This choice is given through the field ARBT of the Slave Configuration Registers
(MATRIX_SCFG).
Each algorithm may be complemented by selectin g a default master configuration for each
slave.
When a re-arbitration has to be done, it is realized only under some specific conditions detailed
in the following paragraph.
23.5.1 Arbitratio n Rule s
Each arbiter has the ability to arbitrate between two or more different master’s requests. In order
to avoid burst breaking and also to provide the maximum throughput for slave interfaces, arbi tra-
tion may only take place during the following cycles:
1. Idle Cycles: whe n a slave is not connected to any master or is connected to a ma st er
which is not currently accessing it.
2. Single Cycles: when a slave is currently doing a single access.
3. End of Burst Cycles: when the current cycle is the last cycle of a bur st transfer. For
defined length burst, predicted end of burst matches the size of the transfer but is man-
aged differently for undefined length burst (See Section 23.5.1.1 “Undefined Length
Burst Arbitration” on page 309“).
4. Slot Cycle Limit: whe n the slot cycle counter has reached the limit va lue indicating that
the current master access is too long an d must be broken (See Section 23.5.1.2 “Slot
Cycle Limit Arbitrat ion” on page 310).
23.5.1.1 Undefined Length Burst Arbitration
In order to avoid too long slave handling during undefined length bursts (INCR), the Bus Matrix
provides specific logic in order to re-arbitrate bef ore the end of the INCR transfer.
A predicted end of burst is used as for defined length burst transfer, which is selected between
the following:
1. Infinite: no predicted end of burst is generated and therefore INCR burst transfer will
never be broken.
2. Four beat bursts: predicted end of burst is generated at the end of each four beat
boundar y ins ide INCR transfer.
3. Eight beat bursts: predicted end of burst is generated at the end of each eight beat
boundar y ins ide INCR transfer.
310 6500D–ATARM–29-Feb-12
SAM3S
4. Sixteen beat burst s: predicted end of b urst is gener ated at the end of each sixteen b eat
boundar y ins ide INCR transfer.
This selection can be done through the field ULBT of the Master Configuration Registers
(MATRIX_MCFG).
23.5.1.2 Slot Cycle Limit Arbitr ation
The Bus Matrix contains specific logic to break too long accesses such as very long bursts on a
very slow slave (e.g. an external low speed memory). At the beginning of the burst ac cess, a
counter is loaded with the value previously written in the SLOT_CYCLE field of the related Slave
Configuration Register (MATRIX_SCFG) and decreased at each clock cycle. When the counter
reaches zero, the arbiter has the ability to re-arbitrate at the end of the current byte, half word or
word transfer.
23.5.2 Round-Robin Arbitration
This algorithm allows the Bus Matrix arbiters to dispatch the requests from different masters to
the same slave in a round-robin manne r. If two or more master’s requests arise at the same
time, the mast er with the lowe st n um b er is first ser vice d th en th e ot he rs are se rvic ed in a ro u nd -
robin manner.
There are three round-robin algorithm implemented:
Round-Robin arbitration without default master
Round-Robin arbitration with last access master
Round-Robin arbitration with fixed default master
23.5.2.1 Round-Robin arbitration without default master
This is the main algorithm used by Bus M atrix arbiters. It allows the Bus Matrix to disp atch
requests from diffe rent masters to the same slav e in a pure round-robin manner. At the end of
the current access, if no other request is pending, the slave is disconnected from all masters.
This configuration incurs one latency cycle for the first access of a burst. Arbitration without
default master can be used for masters that perform significant bursts.
23.5.2.2 Round-Robin arbitration with last access master
This is a biased round-robin algorithm used by Bus Matrix arbiters. It allows the Bus Matrix to
remove the one latency cycle for the last master that accessed the slave. In fact, at the end of
the current transfer, if no other master request is pending, the slave remains connected to the
last master that performs the access. Other non privileged masters will still get one latency cycle
if they want to access the same slave. This technique can be used for masters that mainly per-
form single accesses.
23.5.2.3 Round-Robin arbitration with fixed default mast er
This is another biased round-robin algorithm, it allows the Bus Matrix arbiters to remove the one
latency cycle for the fixed default master per slave. At the end of the current access, the slave
remains connected to its fixed d efault master. Eve ry request att empted by t his fixed defau lt mas-
ter will not cause any latency whereas other non privileged masters w ill still get one latency
cycle. This technique can be used for masters that mainly perform single accesses.
23.5.3 Fixed Priority Arbitrat ion
This algorithm allows the Bus Matrix arbiters to dispatch the requests from different masters to
the same slave by using the fixed priority defined by the user. If two or more master’s requests
311
6500D–ATARM–29-Feb-12
SAM3S
are active at the same t ime , t he mast er with the high est priorit y nu mbe r is serviced f i rst. If two or
more master’s requ ests with the same priority are active at the same time, the master with the
highest number is serviced first.
For each slave, the priority of each master may be defined through the Priority Registers for
Slaves (MATRIX_PRAS and MATRIX_PRBS).
23.6 System I/O Configuration
The System I/O Configuration register (CCFG_SYSIO) allows to configure some I/O lines in
System I/O mode (such as JTAG, ERASE, USB, etc...) or as general purpose I/ O lines. Enabling
or disabling the corresponding I/O lines in peripheral mode or in PIO mode (PIO_PER or
PIO_PDR registers) in the PIO controller a s no effect. However, the direction (input or output),
pull-up, pull-down and other mode control is still managed by the PIO controller.
23.7 Write Protect Registers
To prevent any single software error that may corrupt MATRIX behavior, the entire MATRIX
address space from address offset 0x000 to 0x1FC can be write-protected by setting the
WPEN bit in the MATRIX Write Protect Mode Register (MATRIX_WPMR).
If a write access to anywhere in the MATRIX address space from address offset 0x000 to 0x1FC
is detected, then the WPVS flag in the MATRIX Write Protect Status Register (MATRIX_WPSR)
is set and the field WPVSRC indicates in which register the write access has been attempted.
The WPVS flag is reset by writing the MATRIX Write Protect Mode Register (MATRIX_WPMR)
with the appropriate access key WPKEY.
312 6500D–ATARM–29-Feb-12
SAM3S
23.8 Bus Matrix (MATRIX) User Interface
Table 23-4. Register Mapping
Offset Register Name Access Reset
0x0000 Master Configuration Register 0 MATRIX_MCFG0 Read-write 0x00000000
0x0004 Master Configuration Register 1 MATRIX_MCFG1 Read-write 0x00000000
0x0008 Master Configuration Register 2 MATRIX_MCFG2 Read-write 0x00000000
0x000C Master Configuration Register 3 MATRIX_MCFG3 Read-write 0x00000000
0x0010 - 0x003C Reserved
0x0040 Slave Configuration Register 0 MATRIX_SCFG0 Read-write 0x00010010
0x0044 Slave Configuration Register 1 MATRIX_SCFG1 Read-write 0x00050010
0x0048 Slave Configuration Register 2 MATRIX_SCFG2 Read-write 0x00000010
0x004C Slave Configuration Register 3 MATRIX_SCFG3 Read-write 0x00000010
0x0050 Slave Configuration Register 4 MATRIX_SCFG4 Read-write 0x00000010
0x0054 - 0x007C Reserved
0x0080 Priority Register A for Slave 0 MATRIX_PRAS0 Read-write 0x00000000
0x0084 Reserved
0x0088 Priority Register A for Slave 1 MATRIX_PRAS1 Read-write 0x00000000
0x008C Reserved
0x0090 Priority Register A for Slave 2 MATRIX_PRAS2 Read-write 0x00000000
0x0094 Reserved
0x0098 Priority Register A for Slave 3 MATRIX_PRAS3 Read-write 0x00000000
0x009C Reserved
0x00A0 Priority Register A for Slave 4 MATRIX_PRAS4 Read-write 0x00000000
0x00A4 - 0x0110 Reserved
0x0114 System I/O Configuration register CCFG_SYSIO Read/Write 0x00000000
0x0118 Reserved
0x011C SMC Chip Select NAND Flash Assignment
Register CCFG_SMCNFCS Read/Write 0x00000000
0x1E4 Write Protect Mode Register MATRIX_WPMR Read-write 0x0
0x1E8 Write Protect Status Register MATRIX_WPSR Read-only 0x0
313
6500D–ATARM–29-Feb-12
SAM3S
23.8.1 Bus Matrix Master Configuration Registers
Name: MATRIX_MCFG0..MATRIX_MCFG3
Access: Read-write
ULBT: Undefined Length Burst Type
0: Infinite Length Burst
No predicted end of bur st is gen e ra te d and th er ef or e INCR bursts comi ng fro m this ma ste r ca nn ot be br ok en .
1: Single Access
The undefined length burst is treated as a succession of single access allowing rearbitration at each beat of the INCR
burst.
2: Four Beat Burst
The undefined lengt h burst is split into a 4-beat bursts allowing rearbitration at each 4-beat burst end.
3: Eight Beat Burst
The undefined lengt h burst is split into 8-beat bursts allowing rearbitration at each 8-beat burst end.
4: Sixteen Beat Burst
The undefined length burst is split into 16-beat bursts allowing rearbitration at each 16-beat burst end.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––– ULBT
314 6500D–ATARM–29-Feb-12
SAM3S
23.8.2 Bus Matrix Slave Configuration Registers
Name: MATRIX_SCFG0..MATRIX_SCFG4
Access: Read-write
SLOT_CYCLE: Maximum Number of Allowed Cycles for a Burst
When the SLOT_CYCLE limit is reach for a burst it may be broken by another master trying to access this slave.
This limit has been pl aced to avoid locking very slow slaves when very long burs ts ar e us ed .
This limit should not be ve ry small though. An unreasonable small value will break every burst and the Bus Ma trix will
spend its time to arbitrate without performing any data transfer. 16 cycles is a reasonable value for SLOT_CYCLE.
DEFMSTR_TYPE: Default Master Type
0: No Default Master
At the end of current slave access, if no oth er master request is pending, the slave is disconnected from all masters.
This results in having a one cycle latency for the first access of a burst transfer or for a single access.
1: Last Default Master
At the end of current slave access, if no other master request is pending, the slave stays connected to the last master hav-
ing accessed it.
This results in not having the one cycl e latency when the last master re-tries access on the slave again.
2: Fixed Default Mast er
At the end of the current slave access, if no other master request is pending, the slave connects to the fixed master the
number that has been written in the FIXED_DEFMSTR field.
This results in not having the one cycl e latency when the fixed master re-tries access on the slave again.
FIXED_DEFMSTR: Fixed Default Master
This is the number of the Default Master for this slave. Only used if DEFMSTR_TYPE is 2. Specifying the number of a mas-
ter which is not connected to the selected slave is equivalent to setting DEFMSTR_TYPE to 0.
ARBT: Arbitration Type
0: Round-Robin Arbitration
1: Fixed Priority Arbitration
2: Reserved
3: Reserved
31 30 29 28 27 26 25 24
–––––– ARBT
23 22 21 20 19 18 17 16
FIXED_DEFMSTR DEFMSTR_TYPE
15 14 13 12 11 10 9 8
––––––––
76543210
SLOT_CYCLE
315
6500D–ATARM–29-Feb-12
SAM3S
23.8.3 Bus Matrix Priority Registers For Slaves
Name: MATRIX_PRAS0..MATRIX_PRAS4
Access: Read-write
MxPR: Maste r x Priority
Fixed priority of M ast er x for acc es s in g the s electe d slave. The higher the number, the higher the priority.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––– M4PR
15 14 13 12 11 10 9 8
–– M3PR –– M2PR
76543210
–– M1PR –– M0PR
316 6500D–ATARM–29-Feb-12
SAM3S
23.8.4 Syst em I/O Configura tion Regi ster
Name: CCFG_SYSIO
Address: 0x400E0314
Access Read-write
Reset: 0x0000_0000
SYSIO4: PB4 or TDI Assignment
0 = TDI function selected.
1 = PB4 function selected.
SYSIO5: PB5 or TDO/TRAC ESWO Assignment
0 = TDO/TRACESWO function selected.
1 = PB5 function selected.
SYSIO6: PB6 or TMS/SWDIO Assignment
0 = TMS/SWDIO function selected.
1 = PB6 function selected.
SYSIO7: PB7 or TCK/SWCLK Assignment
0 = TCK/SWCLK function selected.
1 = PB7 function selected.
SYSIO10: PB10 or DDM Assignment
0 = DDM function selected.
1 = PB10 function selected.
SYSIO11: PB11 or DDP Assignment
0 = DDP function selected.
1 = PB11 function selected.
SYSIO12: PB12 or ERASE Assignment
0 = ERASE function selected.
1 = PB12 function selected.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
SYSIO12 SYSIO11 SYSIO10
76543210
SYSIO7 SYSIO6 SYSIO5 SYSIO4
317
6500D–ATARM–29-Feb-12
SAM3S
23.8.5 SMC NAND Flash Chip select Configuration Register
Name: CCFG_SMCNFCS
Address: 0x400E031C
Type: Read-write
Reset: 0x0000_0000
SMC_NFCS0: SMC NAND Flash Chip Select 0 Assignment
0 = NCS0 is not assigned to a NAND Flash (NANDOE and NANWE not used for NCS0)
1 = NCS0 is assigned to a NAND Flash (NANDOE and NANWE used for NCS0)
SMC_NFCS1: SMC NAND Flash Chip Select 1 Assignment
0 = NCS1 is not assigned to a NAND Flash (NANDOE and NANWE not used for NCS1)
1 = NCS1 is assigned to a NAND Flash (NANDOE and NANWE used for NCS1)
SMC_NFCS2: SMC NAND Flash Chip Select 2 Assignment
0 = NCS2 is not assigned to a NAND Flash (NANDOE and NANWE not used for NCS2)
1 = NCS2 is assigned to a NAND Flash (NANDOE and NANWE used for NCS2)
SMC_NFCS3: SMC NAND Flash Chip Select 3 Assignment
0 = NCS3 is not assigned to a NAND Flash (NANDOE and NANWE not used for NCS3)
1 = NCS3 is assigned to a NAND Flash (NANDOE and NANWE used for NCS3)
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
SMC_NFCS3 SMC_NFCS2 SMC_NFCS1 SMC_NFCS0
318 6500D–ATARM–29-Feb-12
SAM3S
23.8.6 Write Protect Mode Register
Name: MATRIX_WPMR
Address: 0x400E03E4
Access: Read-write
For more details on MATRIX_WPMR, refer to Section 23.7 “Write Protect Registers” on page 311.
WPEN: Write Protect ENable
0 = Disables the Write Protect if WPKEY corresponds to 0x4D4154 (“MAT” in ASCII).
1 = Enables the Write Pr otect if WPKEY corresponds to 0x4D4154 (“MAT” in ASCII).
Protects the entire MATRIX address space from address offset 0x000 to 0x1FC.
WPKEY: Write Protect KEY (Write-only)
Should be written at value 0x4D4154 (“ MAT” in ASCII ). Writin g any ot he r value in t his field abort s the write ope ra tio n of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
319
6500D–ATARM–29-Feb-12
SAM3S
23.8.7 Write Protect Status Register
Name: MATRIX_WPSR
Address: 0x400E03E8
Access: Read-only
For more details on MATRIX_WPSR, refer to Section 23.7 “Write Protect Registers” on page 31 1.
WPVS: Write Protect Violation Status
0: No Write Protect Violat ion has occurred since the last write of MATRIX_WPMR.
1: At least one Write Prote ct Violation has occurred since the last write of MATRIX_WPMR.
WPVSRC: Write Protect Violation Source
Should be written at value 0x4D4154 (“ MAT” in ASCII ). Writin g any ot he r value in t his field abort s the write ope ra tio n of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
–––––––WPVS
320 6500D–ATARM–29-Feb-12
SAM3S
321
6500D–ATARM–29-Feb-12
SAM3S
24. Static Memory Controller (SMC)
24.1 Description The External Bus Interface is designed to ensure the successful data transfer between several
external devices and the Cortex-M3 based device. The External Bus Interface of the SAM3S
consists of a Static Memory Controller (SMC).
This SMC is capable of handling several types of external memory and peripheral devices, such
as SRAM, PSRAM, PROM, EPROM, EEPROM, LCD Module, NOR Flash and NAND Flash.
The Static Memory Controller (SMC) generates the signals that control the access to the exter-
nal memory devices or pe ripheral devices. It h as 4 Chip Sele cts, a 2 4-bit add ress bus, and an 8-
bit data bus. Separate read and write control signals allow for direct memory and peripheral
interfacing. Read and write signal waveforms are fully adjustable.
The SMC can manage wait requests from exter nal devices to extend the current access. The
SMC is provided with an automatic slow clock mode. In slow clock mode, it switches from user-
programmed waveforms to slow-rate specific waveforms on r ead and write signals. T he SMC
supports asynchronous burst read in page mode access for page size up to 32 bytes.
The External Data Bus can be scra mbled/unscrambled by means of user keys.
24.2 Embedded Characteristics
16-Mbyte Address Space per Chip Select
8- bit Data Bus
Word, Halfword, Byte Transfers
Programmable Setup, Pulse And Hold Time for Read Signals per Chip Select
Programmable Setup, Pulse And Hold Time for Write Signals per Chip Select
Programmable Data Float Time pe r Chip Select
External Wait Request
Automatic Switch to Slow Clock Mode
Asynchronous Read in Page Mode Supported: Page Size Ranges from 4 to 32 Byte s
NAND FLASH additional logic supporting NAND Flash with Multiplexed Data/Address buses
Hardware Configurable number of chip select from 1 to 4
Programmable timing on a per chip select basis
322 6500D–ATARM–29-Feb-12
SAM3S
24.3 I/O Lines Description
24.4 Product Dependencies
24.4.1 I/O Lines The pins used for interfacing the Static Me mory Controller are multiplexed with the PIO lines.
The programmer must first program the PIO con troller to assign the Static Memory Co ntroller
pins to their per ipheral f unction . If I /O Lines of th e SMC are not used by t he applica tion, t hey can
be used for other purposes by the PIO Controller.
24.4.2 Power Management
The SMC is clocked thro ugh the Power Management Contro ller (PMC), thus the programme r
must first configure the PMC to enable the SMC clock.
Table 24-1. I/O Line Description
Name Description Type Active Level
NCS[3:0] Static Memory Controller Chip Select Lines Output Low
NRD Read Signal Output Low
NWE Write Enable Signal Output Low
A[23:0] Address Bus Output
D[7:0] Data Bus I/O
NWAIT External Wait Signal Input Low
NANDCS NAND Flash Chip Select Line Output Low
NANDOE NAND Flash Output Enable Output Low
NANDWE NAND Flash Write Enable Output Low
323
6500D–ATARM–29-Feb-12
SAM3S
24.5 External Memory Mapping
The SMC provides up to 24 address lines, A[23:0]. This allows each chip select line to address
up to 16 Mbytes of memory.
If the physical memory device connected on one chip select is smaller than 16 Mbytes, it wraps
around and appears to be repeate d within this space. The SMC correctly handles any valid
access to the memory device within the page (see Figure 24-1).
Figure 24-1. Memory Connections for Four External Devices
NRD
NWE
A[23:0]
D[7:0]
8
Memory Enable
Memory Enable
Memory Enable
Memory Enable
Output Enable
Write Enable
A[23:0]
D[7:0]
NCS3
NCS0
NCS1
NCS2
NCS[0] - NCS[3]
SMC
24
324 6500D–ATARM–29-Feb-12
SAM3S
24.6 Connection to External Devices
24.6.1 Data Bus Width
The data bus width is 8 bits.
Figure 24-2 shows how to connect a 512K x 8-bit memory on NCS2.
Figure 24-2. Memory Connection for an 8-bit Data Bus
24.6.1.1 NAND Flash Support
The SMC integrates circuitry that interfaces to NAND Flash devices.
The NAND Flash logic i s driven by the Stat ic Memory Controlle r. It dep ends on the prog ramming
of the SMC_NFCSx field in the CCFG_SMCNFCS Register on the Bus Matrix User Interface.
For details on this register, refer to the Bus Matrix User Interface section. Access to an external
NAND Flash devic e via th e ad dr e ss spa ce res er ve d to the ch ip s elect programmed .
The user can connect up to 4 NAND Flash devices with separated chip select.
The NAND Flash logic drives the read and write command signals of the SMC on the NANDOE
and NANDWE signals when the NCSx programmed is active. NANDOE and NANDWE are dis-
abled as soon as the transfer address fails to lie in the NCSx programmed address space.
Figure 24-3. NAND Flash Signal Multiplexing on SMC Pins
Note: When NAND Flash logic is activated, (SMCNFCSx=1), NWE pin cannot be used i PIO
Mode but only in peripheral mode (NWE function). If NWE function is not used for other external
memories (SRAM, LCD), it must be configured in one of the following modes.
SMC
NWE
NRD
NCS[2]
Write E nable
Output E nable
Memory Ena ble
D[7:0] D[7:0]
A[18:0]
A[18:0]
SMC
NRD
NWE
NANDOE
NANDWE
NAND Flash Logic
NCSx (activated if SMC_NFCSx=1) *
NANDWE
NANDOE
* in CCFG_SMCNFCS Matrix register
325
6500D–ATARM–29-Feb-12
SAM3S
PIO Input with pull-up enabled (default state after reset)
PIO Output set at level 1
The address latch enable and command latch enable signals on the NAND Flash device are
driven by address bits A22 and A21of the address bus. Any bit of the address bus can also be
used for this purpose. The command, address or data words on the data bus of the NAND Flash
device use their own addresses within the NCSx address space (configured by
CCFG_SMCNFCS Register on the Bus Matrix User Interface). The chip enable (CE) signal of
the device and the ready/busy (R/B) signals are connecte d to PIO lines. The CE signal then
remains asserted even when NCS3 is not selected, preventing the device from re turning to
standby mode. The NANDCS output signal should be used in accordance with the external
NAND Flash device type.
Two types of CE behavior exist depending on the NAND flash device:
Standard NAND Flash devices require that the CE pin remains asserted Low continuously
during the read busy period to prevent the device from returning to standby mode. Since the
SAM3S Static Memory Controller (SMC) asserts the NCSx signal High, it is necessary to
connect the CE pin of th e NAND Flash de vice to a GPIO line, in order to ho ld it low during the
busy period prec ed ing da ta re ad ou t.
This restriction has been remov ed f or “CE don’t care” NAND Flash devices. The NCSx signal
can be directly connected to the CE pin of the NAND Flash device.
Figure 24-4 illustrates both topologies: Standard and “CE don’t care” NAND Flash.
Figure 24-4. Standard and “CE don’t care” NAND Flash Application Examples
D[7:0]
ALE
NANDWE NOE
NWE
A[22:21]
CLE
AD[7:0]
PIO R/B
SMC
CE
NAND Flash
PIO
NCSx Not Connected
NANDOE
D[7:0]
ALE
NANDWE NOE
NWE
A[22:21]
CLE
AD[7:0]
PIO R/B
SMC
CE
“CE don’t care”
NAND Flash
NCSx
NANDOE
326 6500D–ATARM–29-Feb-12
SAM3S
24.7 Application Example
24.7.1 Implementation Examples
Hardware configurations are given for illustration only. The user should refer to the manufacturer
web site to check for memory device availability.
For Hardware implementation examples, please refer to ATSAM3S-EK schematics, which show
examples of a connectio n to an LCD module and NAND Flash.
24.7.1.1 8-bit NAND Flash
24.7.1.2 Hardware Configuration
24.7.1.3 Software Configuration
Perform the following configuration:
Assign the SMC_NFCSx (for example SMC_NFCS3) field in the CCFG_SMCNFCS Register
on the Bus Matrix User Interface.
Reserve A21 / A22 for ALE / CLE functions. Address and Command Latches are controlled
respectively by setting to 1 the ad dr es s bits A21 and A22 during accesses.
NANDOE and NANDWE signals are multiplexed with PIO lines. Thus, the dedicated PIOs
must be programmed in peripheral mode in the PIO controller.
Configure a PIO line as an input to manage the Ready/Busy signal.
Configure Sta tic Memory Controller CS3 Setup, Pulse, Cycle and Mode according to NAND
Flash timings , the data bus width and the system bus frequency.
In this example, the NAND Flash is not addressed as a “CE don’t care”. To address it as a “CE
don’t care”, connect NCS3 (if SMC_NFCS3 is set) to the NAND Flash CE.
D6
D0
D3
D4
D2
D1
D5
D7
NANDOE
NANDWE
(ANY PIO)
(ANY PIO)
ALE
CLE
D[0..7]
3V3
3V3
2 Gb
TSOP48 PACKAGE
U1 K9F2G08U0MU1 K9F2G08U0M
WE
18
N.C
6
VCC 37
CE
9
RE
8
N.C
20
WP
19
N.C
5
N.C
1N.C
2N.C
3N.C
4
N.C
21 N.C
22 N.C
23 N.C
24
R/B
7
N.C
26
N.C 27
N.C 28
I/O0 29
N.C 34
N.C 35
VSS 36
PRE 38
N.C 39
VCC 12
VSS 13
ALE
17
N.C
11 N.C
10
N.C
14 N.C
15
CLE
16
N.C
25
N.C 33
I/O1 30
I/O3 32
I/O2 31
N.C 47
N.C 46
N.C 45
I/O7 44
I/O6 43
I/O5 42
I/O4 41
N.C 40
N.C 48
R2 10KR2 10K
C2
100NF
C2
100NF
R1 10K
R1 10K
C1
100NF
C1
100NF
327
6500D–ATARM–29-Feb-12
SAM3S
24.7.1.4 NOR Flash
24.7.1.5 Hardware Configuration
24.7.1.6 Software Configuration
Configure the Static Memory Controller CS0 Setup, Pulse, Cycle and Mode depending on Flash
timings and system bus frequency.
A21
A1
A0
A2
A3
A4
A5
A6
A7
A8
A15
A9
A12
A13
A11
A10
A14
A16
D6
D0
D3
D4
D2
D1
D5
D7
A17
A20
A18
A19
D[0..7]
A[0..21]
NRST
NWE
NCS0
NRD
3V3
3V3 C2
100NF
C2
100NF
C1
100NF
C1
100NF
U1U1
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A21
A20
A19
WE
RESET
WP
OE
CE
VPP
DQ0
DQ1
DQ2
DQ3
DQ4
DQ5
DQ6
DQ7
VCCQ
VSS
VSS
VCC
328 6500D–ATARM–29-Feb-12
SAM3S
24.8 Standard Read and Write Pr otocols
In the following sections, NCS represents one of the NCS[0..3] chip select lines.
24.8.1 Read Waveforms
The read cycle is shown on F igure 24-5.
The read cycle starts with the address setting on the memory address bus.
Figure 24-5. Standard Read Cycle
24.8.1.1 NRD Waveform
The NRD signal is characterized by a setup timing, a pulse width a nd a hold timing.
1. NRD_SETUP: the NRD setup time is defi ned as the setup of address before the NRD
falling edge;
2. NRD_PULSE: the NRD pulse length is the time between NRD falling edge and NRD
rising edge;
3. NRD_HOLD: the NRD hold time is defined as the hold time of address after the NRD
rising edge.
24.8.1.2 NCS Waveform
Similarly, the NCS signal can be divided into a setup time, pulse length and hold time:
1. NCS_RD_SETUP: the NCS setup time is defined as the se tup time of address before
the NCS falling edge.
2. NCS_RD_PULSE: the NCS pulse length is the time between NCS falling edge and
NCS rising edge;
3. NCS_RD_HOLD: the NCS hold time is defined as the hold time of address after the
NCS rising edge.
A[23:0]
NCS
NRD_SETUP NRD_PULSE NRD_HOLD
MCK
NRD
D[7:0]
NCS_RD_SETUP NCS_RD_PULSENCS_RD_HOLD
NRD_CYCLE
329
6500D–ATARM–29-Feb-12
SAM3S
24.8.1.3 Read Cycle The NRD_CYCLE time is defined as the tot al duration of the re ad cycle, i.e., from t he time where
address is set on the address bus to the point where address may change. The total read cycle
time is equal to:
NRD_CYCLE = NRD_SETUP + NRD_PULSE + NRD_HOLD
= NCS_RD_SETUP + NCS_RD_PULSE + NCS_RD_HOLD
All NRD and NCS timings are defined separately for each chip select as an integer number of
Master Clock cycles. To ensure that the NRD and NCS timings are coherent, user must define
the total read cycle instea d of the hold timing . NRD_CYCLE implicitly defi nes t he NRD hold time
and NCS hold time as:
NRD_HOLD = NRD_CYCLE - NRD SETUP - NRD PULSE
NCS_RD_HOLD = NRD_CYCLE - NCS_RD_SETUP - NCS_RD_PULSE
24.8.1.4 Null Delay Setup and Hold
If null setup and hold parameters are programmed for NRD and/or NCS, NRD and NCS remain
active continuously in case of consecutive read cycles in the same memor y (see Figure 24-6).
Figure 24-6. No Setup, No Hold on NRD and NCS Read Signals
MCK
NRD_PULSE
NCS_RD_PULSE
NRD_CYCLE
NRD_PULSE NRD_PULSE
NCS_RD_PULSENCS_RD_PULSE
NRD_CYCLE NRD_CYCLE
A[23:0]
NCS
NRD
D[7:0]
330 6500D–ATARM–29-Feb-12
SAM3S
24.8.1.5 Null Pulse Programming null pulse is not permitted. Pulse must be at least set to 1. A null value leads to
unpredictable behavior.
24.8.2 Read Mode As NCS and NRD waveforms are defined independently of one other, the SMC needs to know
when the read data is available on the data bus. The SMC does not compar e NCS and NRD tim-
ings to know which signal rises first. The READ_MODE parameter in the SMC_ MODE register
of the corresponding chip select indicates which signal of NRD and NCS controls the read
operation.
24.8.2.1 Read is Controlled by NRD (READ_MODE = 1):
Figure 24 -7 shows the waveforms of a read operation of a typical asynch ronous RAM. The read
data is available tPACC after the falling edge of NRD, and tur ns to ‘Z’ after the rising edge of NRD.
In this case, the READ_MODE must be set to 1 (read is controlled by NRD), to indicate that data
is available with the rising edge of NRD. The SMC samples the read data internally on the rising
edge of Master Clock that generates the rising edge of NRD, whatever the programmed wave-
form of NCS may be.
Figure 24-7. READ_MODE = 1: Data is sampled by SMC before the rising edge of NRD
24.8.2.2 Read is Controlled by NCS (READ_MODE = 0)
Figure 24-8 shows the typical read cycle of an LCD module. The read data is valid tPACC after the
falling edge of the NCS signal and remains valid until the rising edge of NCS. Data must be sam-
pled when NCS is raised. In that case, the READ_MODE must be set to 0 (read is controlled by
NCS): the SMC internally samples the data on the rising edge of Master Clock that generates
the rising edge of NCS, whatever the programmed waveform of NRD may be.
Data Sampling
tPACC
MCK
A[23:0]
NCS
NRD
D[7:0]
331
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-8. READ_MODE = 0: Data is sampled by SMC before the rising edge of NCS
Data Sampling
tPACC
MCK
D[7:0]
A[23:0]
NCS
NRD
332 6500D–ATARM–29-Feb-12
SAM3S
24.8.3 Write Wave forms
The write protocol is similar to the read protocol. It is depicted in Figure 24-9. The write cycle
starts with the address sett ing on the memory address bus.
24.8.3.1 NWE Waveforms
The NWE signal is characterized by a setup timing, a pulse width and a hold timing .
1. NWE_SETUP: the NWE setup time is defined as the setup of address and data before
the NWE falling edge;
2. NWE_PULSE: The NWE pulse length is the time between NWE falling edge and NWE
rising edge;
3. NWE_HOLD: The NWE h old t ime is def ine d as th e ho ld t ime of add ress an d da ta aft er
the NWE rising edge.
24.8.3.2 NCS Waveforms
The NCS signal wa ve form s in writ e opera tio n a re not t he same th at those app lied in r ea d oper a-
tions, but are separately defined:
1. NCS_WR_SETUP: the NCS setup time is defined as the se tup time of address before
the NCS falling edge.
2. NCS_WR_PULSE: the NCS pulse length is the time between NCS falling edge and
NCS rising edge;
3. NCS_WR_HOLD: the NCS hold time is defined as the hold time of address after the
NCS rising edge.
Figure 24-9. Write Cycle
A
[23:0]
NCS
NWE_SETUP NWE_PULSE NWE_HOLD
MCK
NWE
NCS_WR_SETUP NCS_WR_PULSENCS_WR_HOLD
NWE_CYCLE
333
6500D–ATARM–29-Feb-12
SAM3S
24.8.3.3 Write Cycle The write_cycle time is defined as the total duration of the write cycle, that is, from the time
where address is set on the address b us to the point where a ddress may ch ange. The total wr ite
cycle time is equal to:
NWE_CYCLE = NWE_SETUP + NWE_PULSE + NWE_HOLD
= NCS_WR_SETUP + NCS_WR_PULSE + NCS_WR_HOLD
All NWE and NCS (write) timings are defined separately for each chip select as an integer num-
ber of Master Clock cycles. To ensure that the NWE and NCS timings are coherent, the user
must define the total write cycle instead of the hold timing. This implicitly defines the NWE hold
time and NCS (write) hold times as:
NWE_HOLD = NWE_CYCLE - NWE_SETUP - NWE_PULSE
NCS_WR_HOLD = NWE_CYCLE - NCS_WR_SETUP - NCS_WR_PULSE
24.8.3.4 Null Delay Setup and Hold
If null setup parameters are programmed for NWE and/or NCS, NWE and/or NCS remain active
continuously in case of consecutive write cycles in the same memory (see Figure 24-10). How-
ever, for devices that p erform write operations on the rising edge of NWE or N CS, such as
SRAM, either a setup or a hold must be programmed.
Figure 24-10. Null Setup and Hold Values of NCS and NWE in Write Cycle
24.8.3.5 Null Pulse Programming null pulse is not permitted. Pulse must be at least set to 1. A null value leads to
unpredictable behavior.
NCS
MCK
NWE
D[7:0]
NWE_PULSE
NCS_WR_PULSE
NWE_CYCLE
NWE_PULSE
NCS_WR_PULSE
NWE_CYCLE
NWE_PULSE
NCS_WR_PULSE
NWE_CYCLE
A
[23:0]
334 6500D–ATARM–29-Feb-12
SAM3S
24.8.4 Write Mode The WRITE_MODE parameter in th e SMC_MODE register of the corresponding chip select indi-
cates which signal controls the write operation.
24.8.4.1 Write is Controlled by NWE (WRITE_MODE = 1):
Figure 24-11 shows the waveforms of a write operation with WRITE_MODE set to 1. The data is
put on the bus during the pulse and hold steps of the NWE signal. The internal data buffers are
turned out after the NWE_SETUP time, and until the end of the write cycle, regardless of the
programmed waveform on NCS.
Figure 24-11. WRITE_MODE = 1. The write operation is controlled by NWE
24.8.4.2 Write is Controlled by NCS (WRITE_MODE = 0)
Figure 24-12 shows the waveforms of a write operation with WRITE_MODE set to 0. The data is
put on the bus during the pulse and hold steps of the NCS signal. The internal data buffers are
turned out after the NCS_WR_SETUP time, and until the end of the write cycle, regardless of
the programmed waveform on NWE.
Figure 24-12. WRITE_MODE = 0. The write operation is controlled by NCS
MCK
D[7:0]
NCS
A
[23:0]
NWE
MCK
D[7:0]
NCS
NWE
A
[23:0]
335
6500D–ATARM–29-Feb-12
SAM3S
24.8.5 Write Protected Registers
To prevent any single software error that may corrupt SMC behavior, the registers listed below
can be write-protected by setting the WPEN bit in the SMC Write Protect Mode Register
(SMC_WPMR).
If a write access in a write-protected register is detected, then the WPVS flag in the SMC Write
Protect Status Register (SMC_WPSR) is set and the field WPVSRC indicates in which register
the write access has been attempted.
The WPVS flag is automatically reset after reading the SMC Write Protect Status Register
(SMC_WPSR).
List of the write-pr ot ec te d re gisters:
Section 24.15.1 ”SMC Setu p Register”
Section 24.15.2 ”SMC Pulse Regist er”
Section 24.15.3 ”SMC Cycle Register”
Section 24.15.4 ”S MC MODE Register”
24.8.6 Coding Timing P arameters
All timing parameters are defined for one chip select and are grouped together in one
SMC_REGISTER according to their type.
The SMC_SETUP register groups the definition of all setup parameters:
• NRD_SETUP, NCS_RD_SETUP, NWE_SETUP, NCS_WR_SETUP
The SMC_PULSE register groups the definition of all pulse parameters:
• NRD_PULSE, NCS_RD_PULSE, NWE_PULSE, NCS_WR_PULSE
The SMC_CYCLE register groups the definition of all cycle parameters:
• NRD_CYCLE, NWE_CYCLE
Table 24-2 shows how the timing parameters ar e coded and their permitted range.
Table 24-2. Coding and Range of Timing Parameters
Coded Value Number of Bits Effective Value
Permitted Range
Coded Value Effective Value
setup [5:0] 6 128 x setup[5] + setup[4:0] 0 31 0 128+31
pulse [6:0] 7 256 x pulse[6] + pulse[5:0] 0 63 0 25 6+63
cycle [8:0] 9 256 x cycle[8:7] + cycle[6:0] 0 127 0 256+127
0 512+127
0 768+127
336 6500D–ATARM–29-Feb-12
SAM3S
24.8.7 Reset Values of Timing Parameters
Table 24-3 gives the default value of timing parameters at reset.
24.8.8 Usage Restriction
The SMC does not check the validity of the user-programmed parameters. If the sum of SETUP
and PULSE parameters is larger than the cor re spond ing CYCLE param eter , this lead s to unpr e-
dictable behavior of the SMC.
For read operations:
Null but positive setup and hold of address and NRD and/or NCS can not be guaranteed at the
memory interface because of the propagation delay of theses signals through external logic and
pads. If positive setup an d hold values must be ve rified, then it is strictly recommen ded to pro-
gram non-null values so as to cover possible skews between address, NCS and NRD signals.
For write operations:
If a null hold value is programmed on NWE, the SMC can guarantee a positive hold of address
and NCS signal after the rising edge of NWE. This is true for WRITE_MODE = 1 only. See “Early
Read Wait State” on page 337.
For read and write operations: a null value for pulse parameters is forb idden and may lead to
unpredictable behavior.
In read and write cycles, the setup and hold time parameters are defined in reference to the
address bus. For external devices that require setup and hold time between NCS and NRD sig-
nals (read), or between NCS and NWE signals (write), these setup and hold times must be
converted into setup and hold times in reference to the address bus.
24.9 Scrambling/Unscrambling Function
The external data bus D[7:0] can be scrambled in order to prevent inte llectual property data
located in off-chip memories from being easily recovered by analyzin g data at the package pin
level of either microcontroller or memory device.
The scrambling and unscrambling are performed on-the-fly without additional wait states.
The scrambling method depends on two user-configurable key registers, SMC_KEY1 and
SMC_KEY2. These key registers are only accessible in write mode.
The key must be securely stored in a reliable non-volatile memory in order to recover data from
the off-chip memo ry. Any data scr amb led with a give n ke y cann ot be re cove re d if th e ke y is lost .
The scrambling/unscrambling function can be enabled or disabled by programming the
SMC_OCMS register.
Table 24-3. Reset Values of Timing Parameters
Register Reset Value
SMC_SETUP 0x01010101 All setup timings are set to 1
SMC_PULSE 0x01010101 All pulse timings are set to 1
SMC_CYCLE 0x00030003 The re ad and write operation last 3 Master Clock cycles
and provide one hold cycle
WRITE_MODE 1 Write is controlled with NWE
READ_MODE 1 Read is controlled with NRD
337
6500D–ATARM–29-Feb-12
SAM3S
When multiple chip selects are handled, it is possible to configure the scrambling function per
chip select using the OCMS field in the SMC_OCMS registers.
24.10 Automatic W ait States
Under certain circumstances, the SMC automatically inserts idle cycles between accesses to
avoid bus conten tion or operation conflict.
24.10.1 Chip Select Wait States
The SMC always inserts an idle cycle between 2 transfers on separate chip selects. This idle
cycle ensures that there is no bus contention between the de-activation of one device and the
activation of t he next one.
During chip select wait state, all control lines are turned inactive: NWR, NCS[0..3], NRD lines are
all set to 1.
Figure 24-13 illustrates a c hip select wait state between access on Chip Select 0 and Chip
Select 2.
Figure 24-13. Chip Select Wait State between a Read Access on NCS0 and a Write Access on NCS2
24.10.2 Early Read Wait State
In some cases, the SMC inserts a wait state cycle between a write access and a read ac cess to
allow time for the write cycle to end before the subsequent read cycle begins. This wait state is
not generated in addition to a chip select wait state. The early read cycle thus only occurs
between a write and read access to the same memory device (same chip select).
An early read wait state is automatically inserted if at least one of the follow ing conditions is
valid:
A[23:0]
NCS0
NRD_CYCLE
Chip Select
Wait State
NWE_CYCLE
MCK
NCS2
NRD
NWE
D[7:0]
Read to Write
Wait State
338 6500D–ATARM–29-Feb-12
SAM3S
if the write controlling signal has no hold time and the read controlling signal has no setup
time (Figure 24-14).
in NCS write controlled mode (WRITE_MODE = 0), if there is no hold timing on the NCS
signal and the NCS_RD_SETUP para meter is set to 0, regardless of the read mode (Figure
24-15). The write operation must end with a NCS rising edge. Without an Early Read Wait
State, the write operation could not complete properly.
in NWE controlled mode (WRITE_MODE = 1) and if there is no hold timing (NWE_HOLD =
0), the feedback of the write control signal is used to control address, data, and chip select
lines. If the external write control signal is not inactivated as expected due to load
capacitances , an Early Read W ait State is inserted and address , data and control signals ar e
maintained one more cycle. See Figure 24-16.
Figure 24-14. Early Read Wait State: Write with No Hold Followed by Read with No Setup
write cycle Early Read
wait state
MCK
NRD
NWE
read cycle
no setup
no hold
D[7:0]
A[23:0]
339
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-15. Early Read Wait State: NCS Controlled Write with No Hold Followed by a Read with No NCS Setup
Figure 24-16. Early Read Wait State: NWE-controlled Write with No Hold Followed by a Read with one Set -up Cycle
write cycle
(WRITE_MODE = 0) Early Read
wait state
MCK
NRD
NCS
read cycle
no setup
no hold
D[7:0]
A[23:0]
(READ_MODE = 0 or READ_MODE = 1)
A
[25:2]
write cycle
(WRITE_MODE = 1) Early Read
wait state
MCK
NRD
internal write controlling signal
external write controlling signal
(NWE)
D[7:0]
read cycle
no hold read setup = 1
(READ_MODE = 0 or READ_MODE = 1)
340 6500D–ATARM–29-Feb-12
SAM3S
24.10.3 Reload User Configuration Wait State
The user may change any of the configuration parameters by writing the SMC user interface.
When detecting that a new user configuration has been written in the user interface, the SMC
inserts a wait state before starting the next access. The so called “Reload User Configuration
Wait State” is used by the SMC to load the new set of parameters to apply to next accesses.
The Reload Configuration Wait State is not applied in add ition to the Chip Select Wait State. If
accesses before and after re-programming the user interface are made to different devices
(Chip Selects), then one single Chip Select Wait State is applied.
On the other hand, if accesses before and after writing the user interface are made to the same
device, a Reload Configuration Wait State is inserted, even if the change does not concern the
current Chip Select.
24.10.3.1 User Procedure
To insert a Reload Configuration Wait State, the SMC detects a write access to any
SMC_MODE register of the user interface. If the user only modifies timing registers
(SMC_SETUP, SMC_PULSE, SMC_C YCLE registers) in the user interface, he must validate
the modification by writing the SMC_MODE, even if no change was made on the mode
parameters.
The user must n ot change the configuratio n parameters of an SM C Chip Select (Setup, Pulse,
Cycle, Mode) if accesses are performed on this CS during the modification . Any change of the
Chip Select parameters, while fetc hing the code from a memory co nnected on this CS, may lead
to unpredictable behavior. The instructions used to modify the parameters of an SMC Chip
Select can be executed from the internal RAM or from a memory connected to another CS.
24.10.3.2 Slow Clock Mode Transition
A Reload Configuration Wait State is also inserted when the Slow Clock Mode is entered or
exited, after the end of the current transfer (see “Slow Clock Mode” on page 351).
24.10.4 Read to Write Wait State
Due to an internal mechanism, a wait cycle is always inserted betwe en consecutive read and
write SMC accesses.
This wait cycle is referred to as a read to write wait state in this document.
This wait cycle is applied in addition to chip select and reload user con figuration wait states
when they are to be inserted. See Figure 24-13 on page 337.
341
6500D–ATARM–29-Feb-12
SAM3S
24.11 Data Float Wait States
Some memory devices are slow to release the external bus. For such devices, it is necessary to
add wait states (data float wait states) after a read access:
before starting a read access to a different external memory
before starting a write access to the same device or to a different external one.
The Data Float Output Time (tDF) for each external memory device is programmed in the
TDF_CYCLES field of the SMC_MODE register for the corresponding chip select. The value of
TDF_CYCLES indicates the number of data float wait cycles (between 0 and 15) before the
external device releases the bus, and represents the time allowed for the data output to go to
high impedance after the memory is disabled.
Data float wait states do not delay internal memory accesses. Hence, a single access to an
external memory with long tDF will not slow down the execution of a program from internal
memory.
The data float wait states management depends on the READ_MODE and the TDF_MODE
fields of the SMC_MODE register for the corresponding chip select.
24.11.1 READ_MODE Setting the READ_MODE to 1 indicates to the SMC that the NRD signal is responsible for turn-
ing off the tri-state buffers of the external memory device. The Data Float Period then begins
after the rising edge of the NRD signal and lasts TDF_CYCLES MCK cycles.
When the read op eration is co ntrolled by the NCS signal (READ_MO DE = 0), th e TDF field gives
the number of MCK cycles d uring which th e data bus remains b usy after the rising edge of NCS.
Figure 24-17 illustrates the Data Float Period in NRD-controlled mode (READ_MODE =1),
assuming a data float perio d of 2 cycles (TDF_CYCL ES = 2). Figure 24-1 8 shows t he rea d oper-
ation when controlle d by NCS (READ_MODE = 0) and the TDF_CYCLES parameter equals 3.
Figure 24-17. TDF Period in NRD Controlled Read Access (TDF = 2)
NCS
NRD controlled read operation
tpacc
MCK
NRD
D[7:0]
TDF = 2 clock cycles
A[23:0]
342 6500D–ATARM–29-Feb-12
SAM3S
Figure 24-18. TDF Period in NCS Controlled Read Operation (TDF = 3)
24.11.2 TDF Optimization Enabl ed (TDF_MODE = 1)
When the TDF_MODE of the SM C_MODE register is set to 1 (TDF optimization is enabled), the
SMC takes advantage of the setup period of the next access to optimize the number of wait
states cycle to insert.
Figure 24-19 shows a read access controlled by NRD, followed by a write ac cess controlled by
NWE, on Chip Select 0. Chip Select 0 has been programmed with:
NRD_HOLD = 4; READ_MODE = 1 (NRD controlled)
NWE_SETUP = 3; WRITE_MODE = 1 (NWE controlled)
TDF_CYCLES = 6; TDF_MODE = 1 (optimization enabled).
NCS
TDF = 3 clock cycles
tpacc
MCK
D[7:0]
NCS controlled read operation
A[23:0]
NRD
343
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-19. TDF Optimization: No TDF wait states are inserted if the TDF period is over when the next access begins
24.11.3 TDF Optimization Disabled (TDF_MODE = 0)
When optimizati on is d i sabled , tdf wa it st ates ar e in serted at the end of t he read t r ansfer , so th at
the data float period is ended when the second access begins. If the hold period of the read1
controlling signal overlaps the data float period, no additional tdf wait states will be inserted.
Figure 24-20, Figure 24-21 and Figure 24-22 illustrate the cases:
read access followed by a read access on another chip select,
read access followed by a write access on another chip select,
read access follo wed by a write access on the same chip select,
with no TDF optimization.
NCS0
MCK
NRD
NWE
D[7:0]
Read to Write
Wait State
TDF_CYCLES = 6
read access on NCS0 (NRD controlled)
NRD_HOLD= 4
NWE_SETUP= 3
write access on NCS0 (NWE controlled)
344 6500D–ATARM–29-Feb-12
SAM3S
Figure 24-20. TDF Optimization Disabled (TDF Mode = 0). TDF wait states between 2 read accesses on different chip
selects
Figure 24-21. TDF Mode = 0: TDF wait states between a read and a write access on different chip selects
TDF_CYCLES = 6
TDF_CYCLES = 6 TDF_MODE = 0
A[
23:0]
read1 cycle
Chip Select
Wait State
MCK
read1 controlling signal
(NRD)
read2 controlling signal
(NRD)
D[7:0]
read1 hold = 1
read 2 cycle
read2 setup = 1
5 TDF WAIT STATES
(optimization disabled)
TDF_CYCLES = 4
TDF_CYCLES = 4 TDF_MODE = 0
(optimization disabled)
A
[23:0]
read1 cycle
Chip Select
Wait State
Read to Write
Wait State
MCK
read1 controlling signal
(NRD)
write2 controlling signal
(NWE)
D[7:0]
read1 hold = 1
write2 cycle
write2 setup = 1
2 TDF WAIT STATES
345
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-22. TDF Mode = 0: TDF wait states between read and writ e accesses on the same chip select
24.12 External Wait Any access can be extended by an external device using the NWAIT input signal of the SMC.
The EXNW_MODE field of the SMC_MODE register on the corresponding chip sele ct must be
set to either to “10” (frozen mode) or “11” (ready mode). When the EXNW_MODE is set to “00”
(disabled), the NWAIT signal is simply ignored on the corresponding chip select. The NWAIT
signal delays the read or write operation in regards to the read or write controlling signal,
depending on the read and write modes of the corresponding chip select.
24.12.1 Restriction When one of the EXNW_MODE is enabled, it is mandatory to program at least one hold
cycle for the read/write controlling sign al. For that reason, the NWAIT signal cannot be
used in Page Mode (“Asynchronous Page Mode” on page 353), or in Slow Clock Mode
(“Slow Clock Mode” on page 351).
The NWAIT signal is assumed to be a response of the external de vice to the read/write request
of the SMC. Then NWAIT is examined by the SMC only in the pulse state of the read or write
controlling signal. The assertion of the NWAIT signal outside the expected period has no impact
on SMC behavior.
TDF_CYCLES = 5
TDF_CYCLES = 5 TDF_MODE = 0
(optimization disabled)
A
[23:0]
read1 cycle
Read to Write
Wait State
MCK
read1 controlling signal
(NRD)
write2 controlling signal
(NWE)
D[7:0]
read1 hold = 1
write2 cycle
write2 setup = 1
4 TDF WAIT STATES
346 6500D–ATARM–29-Feb-12
SAM3S
24.12.2 Frozen Mode When the external device asserts the NWAIT signal (active low), and after internal synchroniza-
tion of this signal, the SMC state is frozen, i.e., SMC internal counters are frozen, and all control
signals remain unchanged. When the resynchronized NWAIT signal is deasserted, the SMC
completes the access, resuming the access from t he po int where it was stop ped. See Figure 24-
23. This mode must be selected when the external device uses the NWAIT signal to delay the
access and to freeze the SMC.
The assertion of the NWAIT signal outside the expected period is ignored as illustrated in Figure
24-24.
Figure 24-23. Write Access with NWAIT Assertion in Frozen Mode (EXNW_MODE = 10)
EXNW_MODE = 10 (Frozen)
WRITE_MODE = 1 (NWE_controlled)
NWE_PULSE = 5
NCS_WR_PULSE = 7
A
[23:0]
MCK
NWE
NCS
432 1 1101
4563222210
Write cycle
D[7:0]
NWAIT
FROZEN STATE
internally synchronized
NWAIT signal
347
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-24. Read Access with NWAIT Assertion in Frozen Mode (EXNW_MODE = 10)
EXNW_MODE = 10 (Frozen)
READ_MODE = 0 (NCS_controlled)
NRD_PULSE = 2, NRD_HOLD = 6
NCS_RD_PULSE =5, NCS_RD_HOLD =3
A
[23:0]
MCK
NCS
NRD 10
43
43
2
555
22 0 210
210
1
Read cycle
Assertion is ignored
NWAIT
internally synchronized
NWAIT signal
FROZEN STATE
348 6500D–ATARM–29-Feb-12
SAM3S
24.12.3 Ready Mode In Ready mode (EXNW_MODE = 11), the SMC behaves differently. Normally, the SMC begins
the access by down co unting t he setup and pulse counter s of the read/ write cont rolling sign al. In
the last cycle of the pulse phase, the resynchronized NWAIT signal is examined.
If asserted, the SMC suspends the access as shown in Figure 24-25 and Figure 24-26. After
deassertion, the access is completed: the hold step of the access is performed.
This mode m ust be se lected whe n the ex ternal de vice uses deassertion of the NWAIT signal to
indicate its ability to complete the read or write operation.
If the NWAIT sig nal is deassert ed before the en d of the pulse, or asserted afte r the end of the
pulse of the controlling read/write signal, it has no impac t on the access length as shown in Fig-
ure 24-26.
Figure 24-25. NWAIT Assertion in Write Access: Ready Mode (EXNW_MODE = 11)
EXNW_MODE = 11 (Ready mode)
WRITE_MODE = 1 (NWE_controlled)
NWE_PULSE = 5
NCS_WR_PULSE = 7
A
[23:0]
MCK
NWE
NCS
4321 000
456 321110
Write cycle
D[7:0]
NWAIT
internally synchronized
NWAIT signal
Wait STATE
349
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-26. NWAIT Assertion in Read Access: Ready Mode (EXNW_MODE = 11)
EXNW_MODE = 11(Ready mode)
READ_MODE = 0 (NCS_controlled)
NRD_PULSE = 7
NCS_RD_PULSE =7
A[23:0]
MCK
NCS
NRD
456 3200
0
1
456 3211
Read cycle
Assertion is ignored
NWAIT
internally synchronized
NWAIT signal
Wait STATE
Assertion is ignored
350 6500D–ATARM–29-Feb-12
SAM3S
24.12.4 NWAIT Latenc y and Read/Write Timings
There may be a latency between the assertion of the read/write controlling signal and the asser-
tion of the NWAIT signal by the device. The programmed pulse length of the read/write
controlling signal must be at least equal to this latency plus the 2 cycles of resynchronization + 1
cycle. Otherw ise, the SMC ma y enter the hold sta te of the acce ss without det ecting the NWAIT
signal assertion. This is true in frozen mode as well as in ready mode. This is illustrated on Fig-
ure 24-27.
When EXNW_MODE is enabled (ready or frozen), the user must program a pulse length of the
read and write controlling signal of at least:
minimal pulse length = NWAIT latency + 2 resynchronization cycles + 1 cycle
Figure 24-27. NWAIT Latency
EXNW_MODE = 10 or 11
READ_MODE = 1 (NRD_controlled)
NRD_PULSE = 5
A
[23:0]
MCK
NRD
43 210 00
Read cycle
minimal pulse length
NWAIT latency
NWAIT
intenally synchronized
NWAIT signal
WAIT STATE
2 cycle resynchronization
351
6500D–ATARM–29-Feb-12
SAM3S
24.13 Slow Clock Mode
The SMC is able to automatically apply a set of “slow clock mode” read/write waveforms when
an internal signal driven by the Power Management Controller is asserted because MCK has
been turned to a very slow clock rate (typically 32kHz clock rate). In this mode, the user-pro-
grammed waveforms ar e i gno red a nd th e slow clock m ode wavef or ms are ap plied. This m ode is
provided so as to avoid reprogramming the User Interface with appropriate waveforms at very
slow clock rate. When activated, the slow mode is active on all chip selects.
24.13.1 Slow Clock Mode Waveforms
Figure 24-28 illustrates the read and write operations in slow clock mode. They are valid on all
chip selects. Table 24-4 indicates the value of read and write parameters in slow clock mode.
Figure 24-28. Read/Write Cycles in Slow Clock Mode
A[
23:0]
NCS
1
MCK
NWE 1
1
NWE_CYCLE = 3
A
[23:0]
MCK
NRD
NRD_CYCLE = 2
11
NCS
SLOW CLOCK MODE WRITE SLOW CLOCK MODE READ
Table 24-4. Read and Write Timing Parameters in Slow Clock Mode
Read Parameters Duration (cycles) Write Parameters Duration (cycles)
NRD_SETUP 1 NWE_SETUP 1
NRD_PULSE 1 NWE_PULSE 1
NCS_RD_SETUP 0 NCS_WR_SETUP 0
NCS_RD_PULSE 2 NCS_WR_PULSE 3
NRD_CYCLE 2 NWE_CYCLE 3
352 6500D–ATARM–29-Feb-12
SAM3S
24.13.2 Switching from (to) Slow Clock Mode to (from) Normal Mode
When switching from slow clock mode to the normal mode, the current slow clock mode tr ansfer
is completed at high clock rate, with the se t of slow clock mode pa rameter s.See Figure 2 4-29 on
page 352. The external device may no t be fast enough to support such timings.
Figure 24-30 illustrates the recommended procedu re to properly switch from one mode to the
other.
Figure 24-29. Clock Rate Transition Occurs while the SMC is Performing a Write Operation
Figure 24-30. Recommended Procedure to Switch from Slow Clock Mode to Normal Mode or from Normal Mode to Slow
Clock Mode
A
[23:0]
NCS
1
MCK
NWE
1
1
NWE_CYCLE = 3
SLOW CLOCK MODE WRITE
Slow Clock Mode
internal signal from PMC
111 2 32
NWE_CYCLE = 7
NORMAL MODE WRITE
Slow clock mode
transition is detected:
Reload Configuration Wait State
This write cycle finishes with the slow clock mode set
of parameters after the clock rate transition
SLOW CLOCK MODE WRITE
A
[23:0]
NCS
1
MCK
NWE
1
1
SLOW CLOCK MODE WRITE
Slow Clock Mode
internal signal from PMC
232
NORMAL MODE WRITEIDLE STATE
Reload Conguration
Wait State
353
6500D–ATARM–29-Feb-12
SAM3S
24.14 Asynchronous Page Mode
The SMC supports asy nchronous burst reads in pa ge mode, providing that the page mode is
enabled in the SMC_MODE register (PMEN field). The page size must be configured in the
SMC_MODE register (PS field) to 4, 8, 16 or 32 bytes.
The page defines a set of consecutive bytes into memory. A 4-byte page (resp. 8-, 16-, 32-byte
page) is always aligned to 4- byte bo undaries (r esp. 8- , 16-, 32-byte bo undaries) of memory. The
MSB of data address defines the address of the page in memory, the LSB of address define the
address of the data in the page as detailed in T ab l e 24 -5 .
With page mode memory devices, the first access to one page (tpa) takes longer t han th e subse-
quent accesses to the page (tsa) as shown in Figure 24-31. When in page mode, the SMC
enables the user to define different read timings for the first access within one page, and next
accesses within the page.
Note: 1. “A” denotes the address bus of the memory device.
24.14.1 Protocol and Timings in Page Mode
Figure 24-31 shows the NRD and NCS timings in page mode access.
Figure 24-31. Page Mode Read Protocol (Address MSB and LSB are defined in Table 24-5)
The NRD and NCS signals a re he ld low d uring all r ea d tra nsfers, whate ver th e pr og ramme d val-
ues of the setup and hold timings in the User Interface may be. Moreover, the NRD and NCS
timings are identical. The pulse length of the first access to the page is defined with the
Table 24-5. Page Address and Data Address within a Page
Page Size Page Address(1) Data Address in the Page
4 bytes A[23:2] A[1:0]
8 bytes A[23:3] A[2:0]
16 bytes A[23:4] A[3:0]
32 bytes A[23:5] A[4:0]
A[MSB]
NCS
MCK
NRD
D[7:0]
NCS_RD_PULSE NRD_PULSE
NRD_PULSE
tsatpatsa
A[LSB]
354 6500D–ATARM–29-Feb-12
SAM3S
NCS_RD_PULSE field of the SMC_ PULSE register. The pulse length o f subsequent accesses
within the page are defined using the NRD_PULSE parameter.
In page mode, the programming of the read timings is described in Table 24-6:
The SMC does not check the coh erency of timings. It will always apply the NCS_RD_PULSE
timings as page access timing (tpa) and the NRD_PULSE fo r accesses to the page (tsa), even if
the programmed value for tpa is shorter than the programmed value for tsa.
24.14.2 Page Mode Restriction
The page mode is not com patible with the use of the NWAIT signal. Using the page mode an d
the NWAIT signal may lead to unpredictable behavior.
24.14.3 Sequential and Non-sequential Accesses
If the chip select and the MSB of addresses as defined in Table 24-5 are identical, then the cur-
rent access lies in the same pag e as the previous one, and no page break occurs.
Using this information, all da ta wit hin th e sa me pa ge, seq uen tial or not sequent ial, are accessed
with a minimum access time (tsa). Figure 24-3 2 illustrates access to an 8-bit memory device in
page mode, with 8-byte pages. Access to D1 causes a page access with a long access time
(tpa). Access es to D3 and D7, though th ey are not sequential accesses, only require a sh ort
access time (tsa).
If the MSB of addresses are different, the SMC performs the access of a new page. In the same
way, if the chip select is different from the previous access, a page break occurs. If two sequen-
tial accesses are mad e to the page mode mem ory, but separated by an other int ernal or external
peripheral access, a pa ge break occurs on the sec ond access because the chip selec t of the
device was deasserted between both accesses.
Table 24-6. Programming of Read Timings in Page Mode
Parameter Value Definition
READ_MODE ‘x’ No impact
NCS_RD_SETUP ‘x’ No impact
NCS_RD_PULSE tpa Access time of first access to the page
NRD_SETUP ‘x’ No impact
NRD_PULSE tsa Access time of subsequent accesses in the page
NRD_CYCLE ‘x’ No impact
355
6500D–ATARM–29-Feb-12
SAM3S
Figure 24-32. Access to Non-Sequential Data within the Same Page
A
[23:3]
A[2], A1, A0
NCS
MCK
NRD
Page address
A1 A3 A7
D[7:0]
NCS_RD_PULSE NRD_PULSE
NRD_PULSE
D1 D3 D7
356 6500D–ATARM–29-Feb-12
SAM3S
24.15 Static Memory Controller (SMC) User Interface
The SMC is programmed using the registers listed in Table 24-7. For each chip select, a set of 4 registers is used to pro-
gram the parameters of the external device connected on it. In Table 24-7, “CS_ number” deno tes the chip select number.
16 bytes (0x10) are required per chip select.
The user must complete writing the configuration by writing any one of the SMC_MODE registers.
Table 24-7. Register Mapping
Offset Register Name Access Reset
0x10 x CS_number + 0x00 SMC Setup Register SMC_SETUP Read-write 0x01010101
0x10 x CS_number + 0x04 SMC Pulse Register SMC_PULSE Read-write 0x01010101
0x10 x CS_number + 0x08 SMC Cycle Register SMC_CYCLE Read-write 0x00030003
0x10 x CS_number + 0x0C SMC Mode Register SMC_MODE Read-write 0x10000003
0x80 SMC OCMS MODE Register SMC_OCMS Read-write 0x00000000
0x84 SMC OCMS KEY1 Register SMC_KEY1 Write once 0x00000000
0x88 SMC OCMS KEY2 Register SMC_KEY2 Write once 0x00000000
0xE4 SMC Wr ite Protect Mode
Register SMC_WPMR Read-write 0x00000000
0xE8 SMC Wr ite Protect Status
Register SMC_WPSR Read-only 0x00000000
0xEC-0xFC Reserved - - -
357
6500D–ATARM–29-Feb-12
SAM3S
24.15.1 SMC Setup Register
Register Name: SMC_SETUP[0..4]
Addresses: 0x400E0000 [0], 0x400E0010 [1], 0x400E0020 [2], 0x400E0030 [3], 0x400E0040 [4]
Access Type: Read-write
NWE_SETUP: NWE Setup Length
The NWE signal setup length is defined as:
NWE setup length = (128* NWE_SETUP[5] + NWE_SETUP[4:0]) clock cycles
NCS_WR_SETUP: NCS Setup Length in WRITE Access
In write access, the NCS signal setup length is defined as:
NCS setup length = (128* NCS_WR_SETUP[5] + NCS_WR_SETUP[4:0]) clock cycles
NRD_SETUP: NRD Setup Length
The NRD signal setup length is defined in clock cycles as:
NRD setup length = (128* NRD_SETUP[5] + NRD_SETUP[4:0]) clock cycles
NCS_RD_SETUP: NCS Setup Length in READ Access
In read access, the NCS signal setup length is defined as:
NCS setup length = (128* NCS_RD_SETUP[5] + NCS_RD_SETUP[4:0]) clock cycles
31 30 29 28 27 26 25 24
NCS_RD_SETUP
23 22 21 20 19 18 17 16
NRD_SETUP
15 14 13 12 11 10 9 8
NCS_WR_SETUP
76543210
NWE_SETUP
358 6500D–ATARM–29-Feb-12
SAM3S
24.15.2 SMC Pulse Register
Register Name: SMC_PULSE[0..4]
Addresses: 0x400E0004 [0], 0x400E0014 [1], 0x400E0024 [2], 0x400E0034 [3], 0x400E0044 [4]
Access Type: Read-write
NWE_PULSE: NWE Pulse Length
The NWE signal pulse length is defined as:
NWE pulse length = (256* NWE_PULSE[ 6] + NWE_PULSE[5:0]) clock cycles
The NWE pulse length must be at least 1 clock cycle.
NCS_WR_PULSE: NCS Pulse Length in WRITE Access
In write access, the NCS signal pulse length is defined as:
NCS pulse length = (256* NCS_WR_PULSE[6] + NCS_W R_PULSE[5:0]) clock cycles
The NCS pulse length must be at least 1 clock cycle.
NRD_PULSE: NRD Pulse Length
In standard read access, the NRD signal pulse length is defined in clock cycles as:
NRD pulse length = (256* NRD_PULSE[6] + NRD_PULSE[5:0]) clock cycles
The NRD pulse length must be at least 1 clock cycle.
In page mode read access, the NRD_PULSE parameter defines the duration of the subsequent accesses in the page.
NCS_RD_PULSE: NCS Pulse Length in READ Access
In standard read access, the NCS signal pulse length is defined as:
NCS pulse length = (256* NCS_RD_PULSE[6] + NCS_RD_PULSE[5:0]) clock cycles
The NCS pulse length must be at least 1 clock cycle.
In page mode read access, the NCS_RD_PULSE parameter defines the duration of the firs t access to one page.
31 30 29 28 27 26 25 24
NCS_RD_PULSE
23 22 21 20 19 18 17 16
NRD_PULSE
15 14 13 12 11 10 9 8
NCS_WR_PULSE
76543210
–NWE_PULSE
359
6500D–ATARM–29-Feb-12
SAM3S
24.15.3 SMC Cycle Register
Register Name: SMC_CYCLE[0..4]
Addresses: 0x400E0008 [0], 0x400E0018 [1], 0x400E0028 [2], 0x400E0038 [3], 0x400E0048 [4]
Access Type: Read-write
NWE_CYCLE: Total Write Cycle Length
The total write cycle length is the total duration in clock cycles of the write cycle. It is equal to the sum of the setup, pulse
and hold steps of the NWE and NCS signals. It is defined as:
Write cycle length = (NWE_CYCLE[8:7]*256 + NWE_CYCLE[6:0]) clock cycles
NRD_CYCLE: Total Read Cycle Length
The total read cycle length is the total duration in clock cycle s of the read cycle. It is equal to the sum of the setup, pulse
and hold steps of the NRD and NCS signals. It is defined as:
Read cycle length = (NRD_CYCLE[8:7]*256 + NRD_CYCLE[6:0]) clock cycles
31 30 29 28 27 26 25 24
–––––––NRD_CYCLE
23 22 21 20 19 18 17 16
NRD_CYCLE
15 14 13 12 11 10 9 8
–––––––NWE_CYCLE
76543210
NWE_CYCLE
360 6500D–ATARM–29-Feb-12
SAM3S
24.15.4 SMC MODE Register
Register Name: SMC_MODE[0..4]
Addresses: 0x400E000C [0], 0x400E001C [1], 0x400E002C [2], 0x400E003C [3], 0x400E004C [4]
Access Type: Read-write
READ_MODE:
1: The read operation is controlled by the NRD signal.
If TDF cycles are programmed, the external bus is marked busy after the rising edge of NRD.
If TDF optimization is enabled (TDF_MODE =1), TDF wait states are inserted after the setup of NRD.
0: The read operation is controlled by the NCS signal.
If TDF cycles are programmed, the external bus is marked busy after the rising edge of NCS.
If TDF optimization is enabled (TDF_MODE =1), TDF wait states are inserted after the setup of NCS.
•WRITE_MODE
1: The write operation is controlled by the NWE signal.
If TDF optimization is enabled (TDF_MODE =1), TDF wait states will be inserted after the setup of NWE.
0: The write operation is controlled by the NCS signal.
If TDF optimization is enabled (TDF_MODE =1), TDF wait states will be inserted after the setup of NCS.
EXNW_MODE: NWA IT Mode
The NWAIT signal is used to extend the current read or write signal. It is only taken into account during the pulse phase of
the read and write controlling signal. When the use of NWAIT is enabled, at least one cycle hold duration must be pro-
grammed for the read and write controlling signal.
Disabled Mode: The NWAIT input signal is ignored on the corresponding Chip Select.
Frozen Mode: I f asserted, the NWAIT signal freezes the current read or write cycle. After deassertion, the read/write
cycle is resumed from the point where it was stopped.
31 30 29 28 27 26 25 24
–– PS –––PMEN
23 22 21 20 19 18 17 16
TDF_MODE TDF_CYCLES
15 14 13 12 11 10 9 8
–– DBW ––––
76543210
EXNW_MODE WRITE_MODE READ_MODE
Value Name Description
0 DISABLED Disabled
1 Reserved
2 FROZEN Frozen Mode
3 READY Re ady Mode
361
6500D–ATARM–29-Feb-12
SAM3S
Ready Mode: The NWAIT signal indicates the av ailability of the external de vice at the end of the pulse of the controlling
read or write signal, to complete the access. If high, the access normally completes. If low, the access is extended until
NWAIT retur ns high.
DBW: Data Bus Width
TDF_CYCLES: Data Float Time
This field gives the integer number of clock cycles required by the external device to release the data after the rising edge
of the read cont rolling signal. Th e SMC always provide one f ull cycle of bus tur naround afte r the TDF_CYCLES perio d. The
external bus cannot be used by another chip select during TDF_CYCLES + 1 cycles. From 0 up to 15 TDF_CYCLES can
be set.
TDF_MODE: TDF Optimization
1: TDF optimization is enab led.
The number of TDF wait states is optimized using the setup period of the next read/write access.
0: TDF optimization is disabled.
The number of TDF wait states is inserted before the next access begins.
PMEN: Page Mode Enabled
1: Asynchronous burst read in page mode is applied on the corresponding chip select .
0: Standard read is applied.
PS: Page Size
If page mode is enabled, this field indicates the size of the page in bytes.
Value Name Description
0 8_BIT 8-bit bus
1 16_BIT 16-bit bus
2 32_BIT 32-bit bus
3 Reserved
Value Name Description
0 4_BYTE 4-byte pa g e
1 8_BYTE 8-byte pa g e
2 16_BYTE 16-byte page
3 32_BYTE 32-byte page
362 6500D–ATARM–29-Feb-12
SAM3S
24.15.5 SMC OCMS Mode Register
Name: SMC_OCMS
Address: 0x400E0080
Access: Read-write
Reset: 0x00000000
CSxSE: Chip Select (x = 0 to 3) Scrambling Enable
0: Disable Scrambling for CSx.
1: Enable Scrambling for CSx.
SMSE: Static Memory Controller Scrambling Enable
0: Disable Scrambling for SMC access.
1: Enable Scrambling for SMC access.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––– CS3SECS2SECS1SECS0SE
15 14 13 12 11 10 9 8
––––––––
76543210
––––––-SMSE
363
6500D–ATARM–29-Feb-12
SAM3S
24.15.6 SMC OCMS Key1 Regi ster
Name: SMC_KEY1
Address: 0x400E0084
Access: Write Once
Reset: 0x00000000
KEY1: Off Chip Memory Scra mbling (OCM S) Key Part 1
When Off Chip Memory Scrambling is enabled setting the SMC_OCMS and SMC_TIMINGS registers in accordance, the
data scrambling depends on KEY1 and KEY2 values.
31 30 29 28 27 26 25 24
KEY1
23 22 21 20 19 18 17 16
KEY1
15 14 13 12 11 10 9 8
KEY1
76543210
KEY1
364 6500D–ATARM–29-Feb-12
SAM3S
24.15.7 SMC OCMS Key2 Regi ster
Name: SMC_KEY2
Address: 0x400E0088
Access: Write Once
Reset: 0x00000000
KEY2: Off Chip Memory Scra mbling (OCM S) Key Part 2
When Off Chip Memory Scrambling is enabled setting the SMC_OCMS and SMC_TIMINGS registers in accordance, the
data scrambling depends on KEY2 and KEY1 values.
31 30 29 28 27 26 25 24
KEY2
23 22 21 20 19 18 17 16
KEY2
15 14 13 12 11 10 9 8
KEY2
76543210
KEY2
365
6500D–ATARM–29-Feb-12
SAM3S
24.15.8 SMC Write Pr otect Mode Register
Register Name: SMC_WPMR
Access Type: Read-write
Reset Value: See Table 24-7
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x534D43 (“SMC” in ASCII).
1 = Enables the Write Pr otect if WPKEY corresponds to 0x534D43 (“SMC” in ASCII).
Protects the registers listed below:
Section 24.15.1 ”SMC Setup Register”
Section 24.15.2 ”SM C Pulse Register”
Section 24.15.3 ”SM C Cyc le Reg ister”
Section 24.15.4 ”SM C MO DE Re gister”
WPKEY: Write Protect KEY
Should be written at value 0x534D43 (“SMC” in ASCII). Writ ing any othe r va lue in this f i eld ab or ts the writ e op er ation of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
———————WPEN
366 6500D–ATARM–29-Feb-12
SAM3S
24.15.9 SMC Write Pr otect Status Register
Register Name: SMC_WPSR
Access Type: Read-only
Reset Value: See Table 24-7
WPVS: Write Protect Enable
0 = No Write Protect Violation has occurred since the last read of the SMC_WPSR register.
1 = A Write Protect Violation occurred since the last read of the SMC_WPSR register. If this violatio n is an unauthorized
attempt to write a prot ected register, the associated violation is reported into field WPVSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Note: Reading SMC_WPSR automatically clears all fields.
31 30 29 28 27 26 25 24
————————
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
———————WPVS
367
6500D–ATARM–29-Feb-12
SAM3S
25. P eripheral DMA Controller (PDC)
25.1 Description The Peripheral DMA Controller (PDC) transfers data between on-chip serial peripherals and the
on- and/or off-chip memories. The link between the PDC and a serial pe ripheral is operated by
the AHB to ABP bridge.
The user interface of each PDC channel is integrated into the user interface of the peripheral it
serves. The user interface of mono directional channels (receive only or transmit only), contains
two 32-bit memory pointers and two 16-bit counters, one set (pointer, counter) for current trans-
fer and one set (pointer, counter) for next transfer. The bi-directional channel user interface
contains four 32-bit memory pointers and four 16-bit counters. Each set (pointer, counter) is
used by current transm it, next transmit, current receive and next receive.
Using the PDC removes processor overhead by reducing its intervention during the transfer.
This significantly reduces the number of clock cycles required for a data transfer, which
improves microcontroller performance.
To launch a transfer, the peripheral triggers its associated PDC channels by using transmit and
receive signals. When the programmed data is transferred, an end of transfer interrupt is gener-
ated by the peripheral itself.
25.2 Embedded Characteristics
Handles data transfer between peripherals and memories
Low bus arbitration overhead
One Master Clock cycle needed for a transfer from memory to peripheral
Two Master Clock cycles needed for a transfer from peripheral to memory
Next Pointer management for reducing interrupt latency requirement
The Peripheral DMA Controller handles transfer requests from the channel according to the fol-
lowing priorities (Low to High priorities):
Table 25-1. Peripheral DMA Controller
Instance Name Channel T/R 100 & 64 Pins 48 Pins
PWM Transmit x x
TWI1 Transmit x x
TWI0 Transmit x x
UART1 Transmit x x
UART0 Transmit x x
USART1 Transmit x N/A
USART0 Transmit x x
DAC Transmit x N/A
SPI Transmit x x
SSC Transmit x x
HSMCI Transmit x N/A
PIOA Receive x N/A
368 6500D–ATARM–29-Feb-12
SAM3S
TWI1 Receive x x
TWI0 Receive x x
UART1 Receive x x
UART0 Receive x x
USART1 Receive x N/A
USART0 Receive x x
ADC Receive x x
SPI Receive x x
SSC Receive x x
HSMCI Receive x N/A
Table 25-1. Peripheral DMA Controller (Continued)
Instance Name Channel T/R 100 & 64 Pins 48 Pins
369
6500D–ATARM–29-Feb-12
SAM3S
25.3 Block Diagram
Figure 25-1. Block Diagram
PDC
FULL DUPLEX
PERIPHERAL
THR
RHR
PDC Channel A
PDC Channel B
Control
Status & Control
Control
PDC Channel C
HALF DUPLEX
PERIPHERAL
THR
Status & Control
RECEIVE or TRANSMIT
PERIPHERAL
RHR or THR
Control
Control
RHR
PDC Channel D
Status & Control
370 6500D–ATARM–29-Feb-12
SAM3S
25.4 Functional Description
25.4.1 Configuration The PDC channel user inter face enables the user to configure and control data transfers for
each channel. The user interface of e ach PDC chan nel is integr ated into the associated periph-
eral user interface.
The user interfac e of a serial peripheral, whethe r it is full or half duplex, contains four 3 2-bit
pointers (RPR, RNPR, TPR, TNPR) and four 16-bit counter registers (RCR, RNCR, TCR,
TNCR). However, the transmit and receive parts of each type are programmed differently: the
transmit and receive parts of a full duplex peripheral can be programmed at the same time,
whereas only one part (transmit or receive) of a half duplex peripheral can be programmed at a
time.
32-bit pointers define the access locatio n in memory for current and next transfer, whether it is
for read (tra nsmit) or write (r eceive). 16-bit counters defi ne the size of cur rent and ne xt transfe rs.
It is possible, at any moment, to read the number of transfers left for each channel.
The PDC has dedicated status registers which indicate if the transfer is enabled or disabled for
each channel. The st atus for each channel is locat ed in the associated p eripheral statu s register.
Transfers can be enabled and/or disabled by setting TXTEN/TXTDIS and RXTEN/RXTDIS in
the peripheral’s Transfer Control Register.
At the end of a transfer, the PDC channel sends status flags to its associated peripheral. These
flags are visible in the peripheral status register (ENDRX, ENDTX, RXBUFF, and TXBUFE).
Refer to Section 25.4 .3 and to the associated peripheral user interface.
25.4.2 Memory Pointe rs
Each full duplex peripheral is connected to the PDC by a receive channel and a transmit chan-
nel. Both channels have 32-bit memory pointers that point respectively to a receive area and to
a transmit area in on- and/or off-chip memory.
Each half duplex peripheral is conn ected to the PDC by a bidirectiona l channel. This channel
has two 32-bit memory po inters, one for curren t transfer and the other for nex t transfer. These
pointers point to transmit or receive data depending on the operating mode of the peripheral.
Depending on the ty pe of transfer (byte, half-word or word), the memory pointer is incremented
respectively by 1, 2 or 4 bytes.
If a memory pointer address changes in the middle of a transfer, the PDC channel continues
operating usin g the new address.
25.4.3 Transfer Counters
Each channel has two 16-bit counters, one for curr ent transfer and the other one for next trans-
fer. These count ers def i ne the size of dat a to be tran sf e rred by th e ch an nel. The current transf er
counter is decrem ented first as t he dat a addr essed by curre nt memory po inter starts t o be trans-
ferred. When the current transfer counter reaches zero, t he channel checks its next transfer
counter. If the value of next counter is zero, the channel stops transferring data and sets the
appropriate flag. But if the next counter value is greater then zero, the values of the next
pointer/next counte r are copied in to the curren t pointer/ current counter and the chann el resumes
the transfer whereas next pointer/next counter get zero/zero as values. At the end of this trans-
fer the PDC channel sets the appropriate flags in the Peripheral Status Register.
371
6500D–ATARM–29-Feb-12
SAM3S
The following list gives an overview of how status register flags behave depending on the coun-
ters’ values:
ENDRX flag is set when the PERIPH_RCR register reaches zero.
RXBUFF flag is set when both PERIPH_RCR and PERIPH_RNCR reach zero.
ENDTX flag is set when the PERIPH_TCR register reaches zero.
TXBUFE flag is set when both PERIPH_TCR and PERIPH_TNCR reach zero.
These status flags are described in the Peripheral Status Register.
25.4.4 Data TransfersThe serial peripheral triggers its associated PDC channels’ transfers using transmit enable
(TXEN) and receive enable (RXEN) flags in the transfer control register integrated in the periph-
eral’s user interface.
When the peripheral receives an external data, it sends a Receive Ready signal to its PDC
receive channel which then requests access to the Matrix. When access is granted, the PDC
receive channel starts reading the peripheral Receive Holding Register (RHR). The read data
are stored in an internal buffer and then written to memory.
When the peripheral is about to send data, it sends a Transmit Ready to its PDC transmit chan-
nel which then requests access to the Matrix. When access is granted, the PDC transmit
channel reads data from memory and puts them to Transmit Holding Register (THR) of its asso-
ciated peripheral. The same peripheral sends data according to its mechanism.
25.4.5 PDC Flags and Peripheral Status Register
Each periphera l conne cted to the PDC sen ds out r eceive read y and transmit re ady f lags a nd the
PDC sends back flags to the peripheral. All these flags are only visible in the Peripheral Status
Register.
Depending on the type of periphe ral, half or full duplex, the flags belong to either one single
channel or two different channels.
25.4.5.1 Receive Transfer End
This flag is set wh en PERIPH_R CR register re aches zero and the last da ta has bee n transferr ed
to memory.
It is reset by writing a non zer o value in PERIPH_RCR or PERIPH_RNCR.
25.4.5.2 Transmit Transfer End
This flag is set when PERIPH_TCR reg ister reaches zero and the last dat a has been written into
peripheral THR.
It is reset by writing a non zer o value in PERIPH_TCR or PERIPH_TNCR.
25.4.5.3 Receive Buffer Fu ll
This flag is set when PERIPH_RCR register reaches zero with PERIP H_RNCR also set to zero
and the last data has been transferred to memory.
It is reset by writing a non zer o value in PERIPH_TCR or PERIPH_TNCR.
372 6500D–ATARM–29-Feb-12
SAM3S
25.4.5.4 Transmit Buffer Empty
This flag is set when PERIPH_TCR register reaches zero with PERIPH_TNCR also set to zero
and the last data has been written into peripheral THR.
It is reset by writing a non zer o value in PERIPH_TCR or PERIPH_TNCR.
373
6500D–ATARM–29-Feb-12
SAM3S
25.5 Peripheral DMA Controller (PDC) User Interface
Note: 1. PERIPH: Ten registers are mapped in the peripheral memory space at the same offset. These can be defined by the user
according to the function and the desired peripheral.)
Table 25-2. Register Mapping
Offset Register Name Access Reset
0x100 Receive Pointer Register PERIPH(1)_RPR Read-write 0
0x104 Receive Counter Register PERIPH_RCR Read-write 0
0x108 Transmit Po inter Register PERIPH_TPR Read-write 0
0x10C Transmit Counter Register PERIPH_TCR Read-write 0
0x110 Receive Next Pointer Register PERIPH_RNPR Read-write 0
0x114 Receive Next Counter Register PERIPH_RNCR Read-write 0
0x118 Transmit Next Pointer Register PERIPH_TNPR Read-write 0
0x11C Transmit Next Counter Register PERIPH_TNCR Read-write 0
0x120 Transfer Control Register PERIPH_PTCR Write-only 0
0x124 Transfer Status Register PERIPH_PTSR Read-only 0
374 6500D–ATARM–29-Feb-12
SAM3S
25.5.1 Receive Pointer Register
Name: PERIPH_RPR
Access: Read-write
RXPTR: Receive Pointer Register
RXPTR must be set to receive buffer address.
When a half duplex peripheral is connected to the PDC, RXPTR = TXPTR.
31 30 29 28 27 26 25 24
RXPTR
23 22 21 20 19 18 17 16
RXPTR
15 14 13 12 11 10 9 8
RXPTR
76543210
RXPTR
375
6500D–ATARM–29-Feb-12
SAM3S
25.5.2 Receive Counter Register
Name: PERIPH_RCR
Access: Read-write
RXCTR: Receive Counter Register
RXCTR must be set to receive buffer size.
When a half duplex peripheral is connected to the PDC, RXCTR = TXCTR.
0 = Stops peripheral dat a transfer to the receiver
1 - 65535 = Starts peripheral data transfer if corresponding channel is active
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
RXCTR
76543210
RXCTR
376 6500D–ATARM–29-Feb-12
SAM3S
25.5.3 Transmit Pointer Register
Name: PERIPH_TPR
Access: Read-write
TXPTR: Transmit Counter Register
TXPTR must be set to transmit buffer address.
When a half duplex peripheral is connected to the PDC, RXPTR = TXPTR.
25.5.4 Transmit Counter Register
Name: PERIPH_TCR
Access: Read-write
TXCTR: Transmit Counter Register
TXCTR must be set to transmit buffer size.
When a half duplex peripheral is connected to the PDC, RXCTR = TXCTR.
0 = Stops peripheral data transfer to the transmitter
1- 65535 = Starts perip h eral da ta tra nsf er if corr es po nd in g cha n ne l is active
31 30 29 28 27 26 25 24
TXPTR
23 22 21 20 19 18 17 16
TXPTR
15 14 13 12 11 10 9 8
TXPTR
76543210
TXPTR
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXCTR
76543210
TXCTR
377
6500D–ATARM–29-Feb-12
SAM3S
25.5.5 Receive Next Pointer Register
Name: PERIPH_RNPR
Access: Read-write
RXNPTR: Receive Next Pointer
RXNPTR contains next receive buffer address.
When a half duplex peripheral is connected to the PDC, RXNPTR = TXNPTR.
25.5.6 Receive Next Counter Register
Name: PERIPH_RNCR
Access: Read-write
RXNCTR: Receive Next Counter
RXNCTR contains next receive buffer size.
When a half duplex peripheral is connected to the PDC, RXNCTR = TXNCTR.
31 30 29 28 27 26 25 24
RXNPTR
23 22 21 20 19 18 17 16
RXNPTR
15 14 13 12 11 10 9 8
RXNPTR
76543210
RXNPTR
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
RXNCTR
76543210
RXNCTR
378 6500D–ATARM–29-Feb-12
SAM3S
25.5.7 Transmit Next Pointer Register
Name: PERIPH_TNPR
Access: Read-write
TXNPTR: Transmit Next Pointer
TXNPTR contains next transmit buffer address.
When a half duplex peripheral is connected to the PDC, RXNPTR = TXNPTR.
25.5.8 Transmit Next Counter Register
Name: PERIPH_TNCR
Access: Read-write
TXNCTR: Transmit Counter Next
TXNCTR contains next transmit buffer size.
When a half duplex peripheral is connected to the PDC, RXNCTR = TXNCTR.
31 30 29 28 27 26 25 24
TXNPTR
23 22 21 20 19 18 17 16
TXNPTR
15 14 13 12 11 10 9 8
TXNPTR
76543210
TXNPTR
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXNCTR
76543210
TXNCTR
379
6500D–ATARM–29-Feb-12
SAM3S
25.5.9 Transfer Control Register
Name: PERIPH_PTCR
Access: Write-only
RXTEN: Receiver Transfer Enable
0 = No effect.
1 = Enables PDC receiver channel requ ests if RXTDIS is not set.
When a half duplex peripheral is connected to the PDC, enabling the receiver channel requests automatically disables the
transmitter channe l requests. It is forbidden to set both TXTEN and RXTE N for a half duplex peripheral.
RXTDIS: Receiver Transfer Disable
0 = No effect.
1 = Disables the PDC receiver channel requests.
When a half duplex peripher al is connecte d to the PDC, disab ling the receiver ch annel requests also disables the transmit-
ter channel requ es ts.
TXTEN: Transmitter Transfer Enable
0 = No effect.
1 = Enables the PDC transmitter channel requests.
When a half duplex peripheral is con nected to the PDC, it enables the transmitter channel requ ests only if RXTEN is not
set. It is forbidden to set both TXTEN and RXTEN for a half duplex peripheral.
TXTDIS: Transmitter Transfer Disable
0 = No effect.
1 = Disables the PDC transmitter channel requests.
When a half duplex peripheral is connected to the PDC, disabling th e transmitter channel requests disables the receiver
channel requests.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––TXTDISTXTEN
76543210
––––––RXTDISRXTEN
380 6500D–ATARM–29-Feb-12
SAM3S
25.5.10 Transfer Status Register
Name: PERIPH_PTSR
Access: Read-only
RXTEN: Receiver Transfer Enable
0 = PDC Receiver channel requests are disabled.
1 = PDC Receiver channel requests are enabled.
TXTEN: Transmitter Transfer Enable
0 = PDC Transmitter channel req uests are disabled.
1 = PDC Transmitter channel req uests are enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––––TXTEN
76543210
–––––––RXTEN
381
6500D–ATARM–29-Feb-12
SAM3S
381
6500D–ATARM–29-Feb-12
SAM3S
26. Clock Generator
26.1 Description The Clock Generator User Interface is embedded within the Power Management Controller and
is described in Section 27.16 ”Power Management Controller (PMC) User Interface”. However,
the Clock Generator registers are named CKGR_.
26.2 Embedded Characteristics
The Clock Generator is made up of:
A Low Power 32,768 Hz Slow Clock Oscillator with bypass mode.
A Low Power RC Oscillator
A 3 to 20 MHz Crystal or Ceramic Resona tor-based Oscillator, which can be bypassed.
A fac tory programmed Fast RC Oscillator. 3 output frequencies can be selected: 4, 8 or
12 MHz. By default 4MHz is selected.
Two 60 to 130 MHz programmable PLL (input from 3.5 to 20 MHz), capable of providing the
clock MCK to the processor and to the peripherals.
It provides the fo llowing clocks:
SLCK, the Slow Clock, which is the only per manent clock within the system.
MAINCK is the output of the Main Clock Oscillator selection: either the Crystal or Ceramic
Resonator-based Oscillator or 4/8/12 MHz Fast RC Oscillator.
PLLACK is the output of the Divider and 60 to 130 MHz programmable PLL (PLLA).
PLLBCK is the output of the Divider and 60 to 130 MHz programmable PLL (PLLB).
382 6500D–ATARM–29-Feb-12
SAM3S
382 6500D–ATARM–29-Feb-12
SAM3S
26.3 Block Diagram
Figure 26-1. Clock Generator Block Diagram
26.4 Slow Clock The Supply Controller embeds a slow clock generator that is supplied with the VDDIO power
supply. As soon a s the VDDIO is supplied, both the crystal oscillator an d the embedded RC
oscillator are powered up, but only the embedded RC os cillator is enabled. This allows the slow
clock to be valid in a short time (about 100 µs).
The Slow Clock is generated either by the Slow Clock Crystal Oscillator or by the Slow Clock RC
Oscillator.
The selection between the RC or the crystal oscillator is made by writing the XTALSEL bit in the
Supply Controller Control Register (SUPC_CR).
P LLA and
Divider /2
P LLB and
Divider /2
PLLADIV2
PLLBDIV2
Power
Ma na ge ment
Controller
Ma in C lo ck
MAINCK
PLLA Cloc
PLLACK
ControlSt at us
MOSCSEL
Clock Gene rator
XIN
XOUT
XIN32
XOUT32
Slow Clock
SLCK
XTALSEL
(Supply Controller)
PLLB Cloc
PLLBCK
0
1
0
1
3-20 MHz
Cryst al
or
Ceramic
Reso nat o r
Oscillator
Emb ed ded
4/8/12 MH z
Fast
RC O sc illa tor
32768 Hz
Cryst al
Oscillator
Emb ed ded
32 kHz
RC Oscil l at or
383
6500D–ATARM–29-Feb-12
SAM3S
383
6500D–ATARM–29-Feb-12
SAM3S
26.4.1 Slow Clock RC Oscillator
By default, the Slow Clock RC Oscillator is enabled and selected. The user has to take into
account the possible drifts of the RC Oscillator. More details are given in the section “Character-
istics” of the product dat asheet.
It can be disabled via the XTALSEL bit in t he Supply Controller Control Register (SUPC_CR).
26.4.2 Slow Clock Crystal Oscillator
The Clock Generator integrates a 32,768 Hz low-power oscillator. In order to use this oscillator,
the XIN32 and XO UT32 pins m ust be conn ecte d t o a 3 2, 768 Hz crysta l. Two e xter nal capacit o rs
must be wired as shown in Figure 26-2. More details are given in the se ctio n “Cha racter ist ics” of
the product datasheet .
Note that the user is not obliged to use the Slow Clock Crystal and can use the RC oscillator
instead.
Figure 26-2. Typical Slow Clock Crystal Oscillator Connection
The user can select th e crystal oscil lator to be t he source of the slow clock, as it provides a more
accurate frequency. The command is made by writing the Supply Controller Control Register
(SUPC_CR) with the XTALSEL bit at 1. This results in a sequ ence which fi rst config ures the PIO
lines multiplexed with XIN32 and XOUT32 to be driven by the oscillator, then enables the crystal
oscillator and then disables the RC oscillator to save power. The switch of the slow clock source
is glitch free. The OSCSEL bit of the Supply Controller Status Register (SUPC_SR) tracks the
oscillator frequency downstream. It must be read in order to be informed when the switch
sequence, initiated when a new value is written in MOSCSEL bit of CKGR_MOR, is done.
Coming back on the RC oscillator is only possible by shutting down the VDDIO power supply. If
the user does not need the crystal oscillator, the XIN32 and XOUT32 pins can be left uncon-
nected since by defau lt t he XIN3 2 an d XOUT 32 syst em I /O pins a re in PI O in put mo de wit h pull-
up after reset.
The user can also set the crysta l oscillator in bypass mode instead of co nnecting a crystal. In
this case, the user has to provide the external clock signal on XIN32. The inpu t char acterist ics of
the XIN32 pin are given in the product electrical characteristics section. In order to set the
bypass mode, the OSCBYPASS bit of the Supply Controller Mode Register (SUPC_MR) needs
to be set at 1.
The user can set the Slow Clock Crystal Oscillator in bypass mode instead of connecting a crys-
tal. In this case, the user has to provide the external clock signal on XIN32. The input
characteristics of the XIN32 pin under these conditions are given in the product electrical char-
acteristics section.
The programmer has to be sure to set the OSCBYPASS bit in the Supply Controller Mode Reg-
ister (SUPC_MR) an d XT ALS E L bit in th e Supply Controller Control Register (SUPC_CR).
XIN32 XOUT32 GND
32,768 Hz
Crystal
384 6500D–ATARM–29-Feb-12
SAM3S
384 6500D–ATARM–29-Feb-12
SAM3S
26.5 Main Clock Figure 26- 3 shows the Main Clock block diagram.
Figure 26-3. Main Clock Block Diagram
The Main Clock has two sources:
4/8/12 MHz Fast RC Oscillator which starts ver y quickly and is used at star tup.
3 to 20 MHz Crystal or Ceramic Resonator-based Oscillator which can be by passed.
26.5.1 4/8/12 MHz Fast RC Oscillator
After reset, the 4/8/12 MHz Fast RC Oscillator is enabled with the 4 MHz frequency selected and
it is selected as the source of MAINCK. MAINCK is the default clock selected to start up the
system.
The Fast RC Oscillator 8 and 12 MHz frequencies are calibrated in production. Note that is not
the case for the 4 MHz freque ncy.
Please refer to the “Characteristics” section of the product datasheet.
The software can disable or enable the 4/8/12 MHz Fast RC Oscillator w ith the MOSCRCEN bit
in the Clock Generator Main Oscillator Register (CKGR_MOR).
The user can also select the output frequency of the Fast RC Oscillator, either 4 MHz, 8 MHz or
12 MHz are available. It can be done through MOSCRCF bits in CKGR_MOR. When changing
XIN
XOUT
MOSCXTEN
MOSCXTCNT
MOSCXTS
Main Clock
Frequency
Counter
MAINF
MAINRDY
SLCK
Slow Clock
3-20 MHz
Crystal
or
Ceramic R esonator
Oscillator
3-20 MHz
Oscillator
Counter
MOSCRCEN
4/8/12 MHz
Fast RC
Oscillator
MOSCRCS
MOSCRCF
MOSCRCEN
MOSCXTEN
MOSCSEL
MOSCSEL MOSCSELS
1
0
MAINCK
Main Clock
MAINCK
Main Clock
Ref.
385
6500D–ATARM–29-Feb-12
SAM3S
385
6500D–ATARM–29-Feb-12
SAM3S
this frequency selection, the MOSCRCS bit in the Power Management Controller Status Regis-
ter (PMC_SR) is automatically cleared and MAINCK is sto pped until the oscillator is stabilized.
Once the oscillator is stabilized, MAINCK restarts and MOSCRCS is set.
When disabling the Main Cloc k by clear ing the MOSCRCEN bit in CKGR_MOR, the MOSCRCS
bit in the Power Management Controller Status Register (PMC_SR) is automatically cleared,
indicating the Main Clock is off.
Setting the MOSCRCS bit in the Power Management Controller Interrupt Enable Register
(PMC_IER) can trigger an int errupt to the processor.
It is recommended to disable the Main Clock as soon as the processor no longer uses it and
runs out of SLCK, PLLACK or PLLBCK.
The CAL4, CAL8 and CAL12 values in the PMC Oscillator Calibration Register (PMC_OCR) are
the default values set by Atmel during production. These values are stored in a specific Flash
memory area different from the main memory plane. These values cannot be modified by the
user and cannot be erased by a Flash erase command or by the ERASE pin. Values written by
the user's application in PMC_OCR are reset after each power up or peripheral reset.
26.5.2 4/8/12 MHz Fast RC Oscillator Clock Frequency Adjustment
It is possible for the user to adjust the main RC oscillator frequency through PMC_OCR. By
default, SEL4/8/12 are low, so the RC oscillator will be driven with Flash calibration bits which
are programmed during chip production.
The user can adjust the trimming of the 4/8/12 MHz Fast RC oscillator through this register in
order to obtain more accurate frequency (to compensate derating factors such as temperature
and voltage).
In order to calibrate the 4 MHz oscillator frequency, SEL4 must be set to 1 and a good frequency
value must be configured in CAL4. Likewise, SEL8/12 must be set to 1 and a trim value must be
configured in CAL8/12 in order to adjust the 8/12 MHz frequency oscillator.
However, the adjustment can not be done to the frequency from which the oscillator is operating.
For example, while running from a frequency of 8 MHz, the user can adjust the 4 and 12 MHz
frequency but not the 8 MHz.
26.5.3 3 to 20 MHz Crystal or Ceramic Resonator-based Oscillator
After reset, the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator is disabled and it is
not selected as the source of MAINCK.
The user can select the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator to be the
source of MAINCK, as it provides a more accurate frequency. The software enables or disables
the main oscillator so as to reduce power c onsumption by clearing the MOSCXTEN bit in the
Main Oscillator Register (CKGR_MOR).
When disabling the main oscillator by clearing the MOSCXTEN bit in CKGR_MOR, the
MOSCXTS bit in PMC_SR is automatically cleared, indicating the Main Clock is off.
When enabling the main oscillator, the user must initiate the main oscillator counter with a value
corresponding to the startup time of the oscillator. This startup time depends on the crystal fre-
quency connected to the oscillator.
When the MOSCXTEN bit and the MOSCXTCNT are written in CKGR_MOR to enable the main
oscillator, the XIN and XOUT pins are automatically switched into oscillator mode and
MOSCXTS bit in the Power Managem ent Controller Status Re gister (PMC_SR) is cleared and
386 6500D–ATARM–29-Feb-12
SAM3S
386 6500D–ATARM–29-Feb-12
SAM3S
the counter starts coun ting down on the slow clock divided by 8 fr om the MOSCXTCNT value.
Since the MOSCXTCNT value is coded with 8 bits, the maximum startup time is abo ut 62 ms.
When the counter reaches 0, the MOSCXTS bit is set, indicating that the main clock is valid.
Setting the MOSCXTS bit in PMC_IMR can trigger an interrupt to the processor.
26.5.4 Main Clock Oscillator Selection
The user can select either the 4/8/12 MHz Fast RC oscillator or the 3 to 20 MHz Crystal or
Ceramic Resonator-based oscillator to be the source of Main Clock.
The advantage of the 4/8/12 MHz Fast RC oscillator is that it provides fast startup time, this is
why it is selected by default (to start up the system) and when ente ring Wait Mode.
The advantage of the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator is that it is very
accurate.
The selection is made by writing the MOSCSEL bit in the Main Oscillator Register
(CKGR_MOR). The switch of the Main Clock source is glitch free, so there is no need to run out
of SLCK, PLLACK or PLLBCK in order to change the selection. The MOSCSELS bit of the
Power Management Cont roller Status Register (PMC_SR) allows knowing when the switch
sequence is done.
Setting the MOSCSELS bit in PMC_IMR can trigger an interrupt to the processor.
Enabling the Fast RC Oscillator (MOSCRCEN = 1) and changing the Fast RC Frequency
(MOSCCRF) at the same time is not allowed.
The Fast RC must be enabled first and its frequency changed in a second step.
26.5.5 Main Clock Frequency Counter
The device features a Main Clock frequency counter that provides the frequency of the Main
Clock.
The Main Clock frequency coun ter is reset and start s incrementing at the Ma in Clock speed after
the next rising edge of the Slow Clock in the following cases:
when the 4/8/12 MHz Fast RC oscillator clock is selected as the source of Main Clock and
when this oscillator becomes stable (i.e., when the MOSCRCS bit is set)
when the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator is selected as the
source of Main Clock and when this oscillator becomes stable (i.e., when the MOSCXTS bit
is set)
when the Main Clock Oscillator selection is modified
Then, at the 16th falling edge of Slow Clock, the MAINFRDY bit in the Clock Generator Main
Clock Frequency Register (CKGR_MCFR) is set and the counter stops coun ting. Its value can
be read in the MAINF field of CKGR_MCFR and gives the number of Main Clock cycles during
16 periods of Slow Clock, so that the frequency of the 4/8/12 MHz Fast RC os cillator or 3 to 20
MHz Crystal or Ceramic Resonator-based oscillator can be determined.
26.6 Divider and PLL Block
The device features two Divider/PLL Blocks that permit a wide range of frequencies to be
selected on either the master clock, the processor clock or the programmable clock outputs.
Additionally, they provide a 48 MHz signal to the embedded USB device port regardless of the
frequency of the main clock.
387
6500D–ATARM–29-Feb-12
SAM3S
387
6500D–ATARM–29-Feb-12
SAM3S
Figure 26-4 shows the block diagram of the dividers and PLL blocks.
Figure 26-4. Dividers and PLL Blocks Diagram
26.6.1 Divider and Phase Lock Loop Programming
The divider can be set betwee n 1 and 255 in steps of 1 . When a divider field (DIV) is se t to 0, the
output of the corresponding divider and the PLL output is a continuous signal at level 0. On
reset, each DIV field is set to 0, thus the corresponding PLL input clock is set to 0.
The PLL (PLLA, PLLB) allows multiplication of the divider’s outputs. The PLL clock signal has a
frequency that depends on the respective source signal frequency and on the parameters DIV
(DIVA, DIVB) and MUL (MUL A, MULB). The factor applied to the source sig nal frequency is
(MUL + 1)/DIV. When MUL is written to 0, the PLL is disabled and its p ower consumption is
saved. Re-enabling the PLL can be performed by writing a value higher than 0 in the MUL field.
Whenever the PLL is re-enabled or one of its parameters is changed, the LOCK (LOCKA,
LOCKB) bit in PMC_SR is automatically cleared. The values written in the PLLCOUNT field
(PLLACOUNT, PLLBCOUNT) in CKGR_PLLR (CKGR_PLLAR, CKGR_PLL BR) are loaded in
the PLL counter. The PLL counter then decrements at the speed of the Slow Clock until it
reaches 0. At this time, the LOCK bit is set in PMC_SR and can trigger an interrupt to the pro-
cessor. The user has to load the number of Slow Clock cycles required to cover the PLL
transient time into the PLLCOUNT field.
The PLL clock can be di vided by 2 by writing the PLLDI V2 (PLLADIV2, PLLBDIV2) bit in PMC
Master Clock Register (PMC_MCKR).
Divider B
DIVB
PLL B
MULB
DIVA
PLL A
Counter
PLLBCOUNT
LOCKB
PLL A
Counter
PLLACOUNT
LOCKA
MULA
OUTB
OUTA
SLCK
PLLACK
PLLBCK
Divider A
PLL B
MAINCK
PLLADIV2
PLLBDIV2
388 6500D–ATARM–29-Feb-12
SAM3S
388 6500D–ATARM–29-Feb-12
SAM3S
It is forbidden to change 4/8/12 MHz Fast RC oscillator, or main selection in CKGR_MOR regis-
ter while Master clock source is PLL and PLL reference clock is the Fast RC oscillator.
The user must:
Switch on the Main RC oscillator by writing 1 in CSS field of PMC_MCKR.
Change the frequency (MOSCRCF) or oscillator selection (MOSCSEL) in CKGR_MOR.
W ait f or MOSCRCS (if frequency changes) or MOSCSELS (if oscillator selection changes) in
PMC_IER.
Disable and then enable the PLL (LOCK in PMC_IDR and PMC_IER).
•Wait for PLLRDY.
Switch back to PLL.
389
6500D–ATARM–29-Feb-12
SAM3S
389
6500D–ATARM–29-Feb-12
SAM3S
27. Power Management Controller (PMC)
27.1 Description The Power Management Controller (PMC) optim izes power consumption by controlling all sys-
tem and user peripheral clocks. The PMC enables/disables the clock inputs to many of the
peripherals and the Cortex-M3 Processor.
The Supply Controller selects between the 32 kHz RC oscillator or the crystal oscillator. The
unused oscillator is disabled automatically so that power consumption is optimized.
By default, at startup the chip runs out of the Master Clock using the Fast RC oscillator running
at 4 MHz.
The user can trim the 8 and 12 MHz RC Oscillator frequencies by software.
27.2 Embedded Characteristics
The Power Management Controller provides the following clocks:
MCK, the Master Clock, programmable from a few hundred Hz to the maximum operating
frequency of the device. It is available to the modules running permanently, such as the
Enhanced Embedded Flash Controller.
Processor Clock (HCLK), must be switched off when entering the processor in Sleep Mode.
Free running processor Clock (FCLK)
the Cortex-M3 SysTick external clock
UDP Clock (UDPCK), required by USB Device P ort operations.
Peripheral Clocks, typically MCK, provid ed to the embedded peripherals (USART, SSC, SPI,
TWI, TC , HSMCI, et c.) and independe ntly controllab le. In order to r educe the n umber of cloc k
names in a product, the Peripheral Clocks are named MCK in the product datasheet .
Programmable Clock Outputs can be selected from the clocks provided by the clock generator
and driven on the PCKx pins.
The Power Management Controller also provides the following operations on clocks:
a main crystal oscillator clock failure detector.
a frequency counter on main clock and an adjustable main RC oscillator frequency.
390 6500D–ATARM–29-Feb-12
SAM3S
390 6500D–ATARM–29-Feb-12
SAM3S
27.3 Block Diagram
Figure 27-1. General Clock Block Diagram
27.4 Master Clock Controller
The Master Clock Controller provides selection and division of the Master Clock (MCK). MCK is
the clock provided to all the peripherals.
The Master Clock is selected from one of the clocks provided by the Clock Generator. Selecting
the Slow Clock provides a Slow Clock signal to the whole device. Selecting the Main Clock
saves power consumption of the PLLs.
The Master Clock Controller is made up of a clock selector and a prescaler.
The Master Clock selection is made by writing the CSS field (Clock Source Selection) in
PMC_MCKR (Master Clock Register) . The pr esca ler supp orts t he division by a power of 2 of the
selected clock between 1 and 64, and the division by 3. The PRES field in PMC_MCKR pro-
grams the prescaler.
Each time PMC_MCKR is written to define a new Master Clock, the MCKRDY bit is cleared in
PMC_SR. It reads 0 until the Master Clock is established. Then, the MCKRDY bit is set and can
Power
Ma na gement
Controller
Ma in C lock
MAINCK
PLLA Clock
PLLACK
ControlSt at us
3-20 MHz
Cryst al
or
Ceramic
Reso nat o r
Oscillator
MOSCSEL
Clock Gene rator
PLLA and
Divider /2
XIN
XOUT
XIN32
XOUT32
Slow Clock
SLCK
XTALSEL
(Supply Controller)
Embedded
32 kHz RC
Oscillator
32768 Hz
Crystal
Oscillator
PLLB Clock
PLLBCK
0
1
0
1
MCK
periph_clk[..
]
int
SLCK
MAINCK
PLLACK
Prescaler
/1,/2,/3,/4,/8,
/16,/32,/64
HCLK
Processor
Clock
Controller
Sleep Mode
Mas te r Cloc k Controlle r
(PMC_MCKR)
Peripherals
Clock Controller
(PMC_PCERx) ON/OFF
USB Clock
Prescaler
/1,/2,/4,/8,
/16,/32,/64 pck[..]
PLLBCK
UDPCK
ON/OFF
FCLK
SysTi ck
Divider
/8
SLCK
MAINCK
PLLACK
PLLBCK
Proce s sor cloc kc
Free running c lock
Ma s ter clock
PLLB and
Divider /2 Divider
/1,/2,/3,...,/16
USB Clock Controlle r (PMC_USB)
PLLBCK
Embedded
4/8/12 MHz
Fast
RC O sc illa tor
Programma ble Clock Controller
(PMC_PCKx)
PRES
USBDIV
PLLADIV2
PLLBDIV2
PRES
USBS
PLLACK
CSS
ON/OFF
CSS
MCK
391
6500D–ATARM–29-Feb-12
SAM3S
391
6500D–ATARM–29-Feb-12
SAM3S
trigger an interrupt to the processor. This feature is us eful when switching from a high-speed
clock to a lower one to inform the soft ware when the change is actually done.
Figure 27-2. Master Clock Controller
27.5 Processor Clock Controller
The PMC features a Processor Clock Controller (HCLK) that implements the Processor Sleep
Mode. The Processor Clock can be disabled by executing the WFI (WaitForInterrupt) or the
WFE (WaitForEvent) processo r instruction while the LPM bit is at 0 in the PM C Fast Startu p
Mode Register (PMC_FSMR).
The Processor Clock HCLK is enabled after a reset and is automatically re-enabled by any
enabled interrupt. Th e Processor Sleep Mode is achieved by disabling the Processor Clock,
which is automatically re-enabled by any enabled fast or normal interrupt, or by the reset of the
product.
When Processor Sleep Mode is entered, the curr ent instruction is finished before the clock is
stopped, but this does not prevent data transfers from other masters of the system bus.
27.6 SysTick ClockThe SysTick calibration value is fixed t o 8000 which allows the gen eration of a time base of 1 ms
with SysTick clock to the maximum frequen cy on MCK divid e d by 8.
27.7 USB Clock Controller
The user can select the PLLA o r the PLLB out put a s the USB Sou rce Clock by writ ing t he USBS
bit in PMC_USB. If using the USB, the user must program the PLL to generate an appropriate
frequency depending on the USBDIV bit in PMC_USB.
When the PLL output is stable, i.e., the LOCK bit is set:
The USB device clock can be enabled by setting the UDP bit in PMC_SCER. To save power
on this peripheral when it is not used, the user can set the UDP bit in PMC_SCDR. The UDP
bit in PMC_SCSR gives the activity of this clock. The USB device port requ ire s bo th the 48
MHz signal and the Master Clock. The Master Clock may be controlled by means of the
Master Clock Controller.
SLCK
Master Clock
Prescaler MCK
PRESCSS
MAINCK
PLLACK
PLLBCK To the Processor
Clock Controller (PCK)
PMC_MCKR PMC_MCKR
392 6500D–ATARM–29-Feb-12
SAM3S
392 6500D–ATARM–29-Feb-12
SAM3S
Figure 27-3. USB Clock Controller
27.8 Peripheral Clock Controller
The Power Management Controller controls the clocks of each embedded peripheral by means
of the Peripher al Clock Cont roller . The u ser can ind ividua lly en able an d di sable th e Clo ck on t he
peripherals.
The user can also enable and disable these clocks by writing Peripheral Clock Enable 0
(PMC_PCER0), Peripheral Clock Disable 0 (PMC_PCDR0), Peripheral Clock Enable 1
(PMC_PCER1) and Peripheral Clock Disable 1 (PMC_PCDR1) registers. The status of the
peripheral clock activity can be read in the Peripheral Clock Status Register (PMC_PCSR0) and
Peripheral Clock Status Register (PMC_PCSR1).
When a peripheral clo ck is disable d, t he clock is immediat e ly st op ped. The p eri phera l clocks a re
automatically disabled after a reset.
In order to stop a peripheral, it is recommended that the system software wait until the peripheral
has executed its last programm ed operatio n before di sabling the clock. This is to avoid data cor-
ruption or erroneous behavior of the system.
The bit number within the Peripheral Clock Control registers (PMC_PCER0-1, PMC_PCDR0-1,
and PMC_PCSR0-1) is t he Per iph eral I dent ifie r defin ed at the pr oduct level. Th e bit nu mbe r co r-
responds to the interrupt source number assigned to the peripheral.
27.9 Free Running Processor Clock
The Free Running Processor Clo ck (FCLK) used for sampling interrupts and clocking debug
blocks ensures that interrupts can be sampled, and sleep events can be traced, while the pro-
cessor is sleeping. It is connect ed to Master Clock (MCK).
27.10 Programmable Clock Output Controller
The PMC controls 3 signals to be output on external pins, PCKx. Each signal can be indep en-
dently programmed via the Programmable Clock Registers (PMC_PCKx).
PCKx can be independently selected between the Slow Clock (SLCK), the Main Clock
(MAINCK), the PLLA Clock (PLLACK), the PLLB Clock (PLLBCK) and the Master Clock (MCK)
by writing the CSS field in PMC_PCKx. Each output signal can also be divided by a power of 2
between 1 and 64 by writing the PRES (Prescaler) field in PMC_PCKx.
Each output signal can be enabled and disabled by writing 1 in the correspond ing bit, PCKx of
PMC_SCER and PMC_SCDR, respectively. Status of the active programmable output clocks
are given in the PCKx bits of PMC_SCSR (System Clock Status Register).
Moreover, like the PCK, a status bit in PMC_SR indicates that the Programmable Clock is actu-
ally what has been programmed in the Programmable Clock registers.
USB
Source
Clock
UDP Clock (UDPCK)
UDP
USBDIV
Divider
/1,/2,/3,.../16
393
6500D–ATARM–29-Feb-12
SAM3S
393
6500D–ATARM–29-Feb-12
SAM3S
As the Programmable Clock Controller does not manage with glitch prevention when switching
clocks, it is strongly recommended to disable the Programmable Clock before any configuration
change and to re-enable it after the change is actually performed.
27.11 Fast Startup The device allows the processor to restart in less than 10 microseconds while the device is in
Wait mode. The system enters Wait mode by executin g the WaitForEvent (WFE) instruction of
the processor while the LPM bit is at 1 in the PMC Fast Startup Mode Register (PMC_FSMR) .
Important: Prior to asserting any WFE instruction to the processor, the internal sources of
wakeup provided by RTT, RTC and USB must be cleared and verified too, that none of the
enabled external wakeup inputs (WKUP) hold an active polarity.
A Fast Startup is enabled upon the detection of a programmed level on one of the 16 wake-up
inputs (WKUP) or upon an active alarm from the RTC, RTT and USB Controller. The polarity of
the 16 wake-up inputs is programmable by writing the PMC Fast Startup Polarity Register
(PMC_FSPR).
The Fast Restart circuitry, as shown in Figure 27-4, is fully asynchronous and provides a fast
startup signal to the Power Management Controller. As soon as the fast startup signal is
asserted, the embedded 4/8/12 MHz Fast RC oscillator restarts automatically.
Figure 27-4. Fast Startup Circuitry
Each wake-up input pin and alarm can be enabled to generate a Fast Startup event by writing 1
to the corresponding bit in the Fast Startup Mode Register PMC_FSMR.
fast_restart
WKUP15
FSTT15
FSTP15
WKUP1
FSTT1
FSTP1
WKUP0
FSTT0
FSTP0
RTTAL
RTCAL
USBAL
RTT Alarm
RTC Alarm
USB Alarm
394 6500D–ATARM–29-Feb-12
SAM3S
394 6500D–ATARM–29-Feb-12
SAM3S
The user interface does not prov ide any status for Fast Startup, but the user can easily recover
this information by reading the PIO Controller, and the status registers of the RTC, RTT and
USB Controller.
27.12 Main Crystal Clock Failure Detector
The clock failure detector monitors the 3 to 20 MHz Crystal or Ceramic Resonator-based oscilla-
tor to identify an eventual defect of this oscillator (for example, if the crystal is unconnected).
The clock failure detector can be enabled or disabled by means of the CFDEN bit in the PMC
Clock Generator Main Oscillator Register (CKGR_MOR). After reset, the detector is disabled.
However, if the 3 to 20 MHz Cr ystal or Ceramic Resonator-based Oscillator is disabled, the
clock failure detector is disabled too.
A failure is detected by means of a counter incrementing on the 3 to 20 MHz Crystal oscillator or
Ceramic Resonator-based oscillator clock edge and timing logic clocked on the slow clock RC
oscillator controlling the counter. The counter is cleared when the slow clock RC oscillator signal
is low and enabled when the slow clock RC oscillator is high. Thus the failure detection time is 1
slow clock RC oscillator clock period. If, during the high level period of the slow clock RC oscilla-
tor, less than 8 fast crystal oscillator clock periods have been counted, then a failure is declared.
If a failure of the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator clock is detected,
the CFDEV flag is set in the PMC Status Register (PMC_SR), and generates an interrupt if it is
not masked. The interrupt remains active until a read operation in the PMC_SR register. The
user can know the status of the clock failure detector at any time by reading the CFDS bit in the
PMC_SR register.
If the 3 to 20 MHz Crystal or Ceramic Resonator-based oscillator clock is selected as the source
clock of MAINCK (MOSCSEL = 1), and if the Master Clock Source is PLLACK or PLLBCK (CSS
= 2 or 3), a clock failure detection automatically forc es MAINCK to be the source clock for the
master clock (MCK).Then, regardless of the PMC configuration, a clock failure detection auto-
matically f orces the 4/8/12 MHz Fast RC oscillator to be the source clock f or MAINCK. If the Fast
RC oscillator is disabled when a clock failure detection occurs, it is automatically re-enabled by
the clock failure detection mechanism.
It takes 2 slow clock RC oscillator cycles to detect and switch from the 3 to 20 MHz Crystal, or
Ceramic Resonator-based oscillator, to the 4/8/12 MHz Fast RC Oscillator if the Master Clock
source is Main Clock, or 3 slow clock RC oscillator cycles if the Master Clock source is PLLACK
or PLLBCK.
A clock failure detection activates a fault output that is connected to the Pulse Width Modulator
(PWM) Controller. With th is connection, the PWM controller is able to force its outputs and to
protect the driven device, if a clock failure is detec ted. This fault output remains active until the
defect is detected and until it is cleared by the bit FOCLR in the PMC Fault Output Clear Regis-
ter (PMC_FOC R).
The user can know the statu s of the fault output at any time by reading the FOS b it in the
PMC_SR register.
27.13 Programming Sequence
1. Enabling the Main Oscillator:
The main oscillator is enabled by setting the MOSCXTEN field in the Main Os cillator Regis-
ter (CKGR_MOR). The user can define a start-up time. This can be achieved by writing a
value in the MOS CXTST fie ld in CKG R_MOR. Once this register has been correctly c onfig-
395
6500D–ATARM–29-Feb-12
SAM3S
395
6500D–ATARM–29-Feb-12
SAM3S
ured, the user must wait for MOSCXTS field in the PMC_SR register to be set. This can be
done either by polling the status register, or by waiting the interrupt line to be raised if the
associated interrupt to MOSCXTS has been enabled in the PMC_IER register.
Start Up Time = 8 * MOSCXTST / SLCK = 56 Slow Clock Cycles.
The main oscillator will be enabled (MOSCXTS bit set) after 56 Slow Clock Cycles.
2. Checking the Main Oscillator Frequency (Optional):
In some situations the user may need an accurate measure of the main clock frequency.
This measure can be accomplished via the Main Clock F requency Regi ster (CKGR_MCFR).
Once the MAINFRDY field is set in CKGR_MCFR, the use r m ay read the MAINF field in
CKGR_MCFR. This provides the number of main clock cycles within sixteen slow clock
cycles.
3. Setting PLL and Divider:
All parameters needed to configure PLL and the divider are located in CKGR_PLLxR.
The DIV field is used to control the divider itself. It must be set to 1 when PLL is used. By
default, DIV parameter is set to 0 which means that the divider is turned off.
The MUL field is the PLL multiplier factor. This parameter can be programmed between 0
and 36. If MUL is set to 0, PLL will be turned off, otherw ise the PLL output frequency is PLL
input frequency multiplied by (MUL + 1).
The PLLCOUNT field specifies the number of slow clock cycles before the LOCK bit is set in
PMC_SR, after CKGR_PLLxR has been writt en.
Once the CKGR_PLL register has been written, the user must wait for the LOCK bit to be
set in the PMC_SR. This can be done either by polling the status register or by waiting the
interrupt line to be raised if the associat ed interru pt to LOCK has b een enabled in PMC_IER.
All parameters in CKGR_PLLxR can be programmed in a single write operation. If at some
stage one of the following parameters, MUL or DIV is modified, the LOCK bit will go low to
indicate that PLL is not ready yet. When PLL is locked, LOCK will be set again. The user is
constrained to wait for LOCK bit to be set before using the PLL output clock.
4. Selection of Master Clock and Processor Clock
The Master Clock and the Processor Clock are configu rable via the Master Clock Register
(PMC_MCKR).
The CSS field is used to select the Master Clock divider source. By default, the selected
clock source is main clock.
The PRES field is used to control th e Master Clock pr escaler. The user can choo se between
different values (1, 2, 3, 4, 8, 16, 32, 64). Master Clock output is prescaler input divided by
PRES parameter . By default, PR ES parameter is set to 1 whic h means that m aster clock is
equal to main clock.
Once PMC_MCKR has been written, the user must wait for the MCKRDY bit to be set in
PMC_SR. This can be done ei ther by polling the stat us register or by waiting f or the int errupt
line to be raised if the associated interrupt to MCKRDY has been enabled in the PMC_IER
register.
The PMC_MCKR must not be programmed in a single write operation. The preferred pro-
gramming sequence for PMC_MCKR is as follows:
If a new value for CSS field corresponds to PLL Clock,
396 6500D–ATARM–29-Feb-12
SAM3S
396 6500D–ATARM–29-Feb-12
SAM3S
Program the PRES field in PMC_MCKR.
Wait for the MCKRDY bit to be set in PMC_SR.
Program the CSS field in PMC_MCKR.
Wait for the MCKRDY bit to be set in PMC_SR.
If a new value for CSS field corresponds to Main Clock or Slow Clock,
Program the CSS field in PMC_MCKR.
Wait for the MCKRDY bit to be set in the PMC_SR.
Program the PRES field in PMC_MCKR.
Wait for the MCKRDY bit to be set in PMC_SR.
If at some stage one of the following pa rameters, CSS or PRES is modified, the MCKRDY
bit will go low to indicate that the Master Clock an d the Processor Clock are not ready yet.
The user must wait for MCKRDY bit to be set again before using the Master and Processor
Clocks.
Note: IF PLLx clock was selected as the Master Clock and the user decides to modify it by writing in
CKGR_PLLR, the MCKRDY flag will go low while PLL is unlocked. Once PLL is locked again,
LOCK goes high and MCKRDY is set.
While PLL is unlock ed, the Master Cloc k selection is automatically changed to Slow Clock. F or fur-
ther information, see Section 27.14.2 “Clock Switching Waveforms” on page 398.
Code Example:
write_register(PMC_MCKR,0x00000001)
wait (MCKRDY=1)
write_register(PMC_MCKR,0x00000011)
wait (MCKRDY=1)
The Master Clock is main clock divided by 2.
The Processor Clock is the Master Clock.
5. Selection of Programmable Clocks
Programmable clocks are controlled via registers, PMC_SCER, PMC_SCDR and
PMC_SCSR.
Programmable clocks can be enabled and/or disabled via PMC_SCER and PMC_SCDR. 3
Programmable clocks can be enabled or disabled. The PMC_SCSR provides a clear indica-
tion as to which Programmable clock is enabled. By default all Programmable clocks are
disabled.
Programmable Clock Registers (PMC_PCKx) are used to configure Programmable clocks.
The CSS field is used to select the Programmable clock divider source. Four clock options
are available: main clock, slow clock, PLLACK, PLLBCK. By default, the clock source
selected is slow clock.
The PRES field is used to cont rol the Progra mmable clock prescaler. It is possible t o choose
between different values (1, 2, 4, 8 , 16, 32, 64). Programmable clock output is prescaler
input divided by PRES p arameter. By defau lt, the PRES param eter is set to 0 which means
that master clock is equal to slow clock.
397
6500D–ATARM–29-Feb-12
SAM3S
397
6500D–ATARM–29-Feb-12
SAM3S
Once PMC_PCKx has been programmed, The corresponding Programmable clock must be
enabled and the us er is constrained to wait for the PCKRDYx bit to be set in PMC_SR. This
can be done either by polling the status register or by waiting the interrupt line to be raised, if
the associated inter rupt to PCKRDYx has been enab led in the PMC_IER r egister. All param-
eters in PMC_PCKx can be programmed in a single write operation.
If the CSS and PRES parameters are to be modified, the corresponding Programmable
clock must be disabled first. T he parameters can then be modified. Once this has been
done, the user must re-enable the Programmable clock and wait for the PCKRDYx bit to be
set.
6. Enabling Peripheral Clocks
Once all of the previous steps have been completed, the peripheral clocks can be enabled
and/or disabled via registers PMC_PCER0, PMC_PCER, PMC_PCDR0 and PMC_PCDR.
27.14 Clock Switching Details
27.14.1 Master Clock Switching Timings
Table 27-1 and Table 27-2 give the worst case ti mings required for the Master Clock to switch
from one selected clock to another one. This is in the event that the prescaler is de-activated.
When the prescaler is activate d, an addition al time of 64 clock cycles of the newly selected clock
has to be added.
Notes: 1. PLL designates either the PLLA or the PLLB Clock.
2. PLLCOUNT designates either PLLACOUNT or PLLBCOUNT.
Table 27-1. Clock Switching Timings (Worst Case)
From Main Clock SLCK PLL Clock
To
Main Clock 4 x SLCK +
2.5 x Main Clock
3 x PLL Clock +
4 x SLCK +
1 x Main Clock
SLCK 0.5 x Main Clock +
4.5 x SLCK 3 x PLL Clock +
5 x SLCK
PLL Clock
0.5 x Main Clock +
4 x SLCK +
PLLCOUNT x SLCK +
2.5 x PLLx Clock
2.5 x PLL Clock +
5 x SLCK +
PLLCOUNT x SLCK
2.5 x PLL Clock +
4 x SLCK +
PLLCOUNT x SLCK
Table 27-2. Clock Switching Timings between Two PLLs (Worst Case)
From PLLA Clock PLLB Clock
To
PLLA Clock 2.5 x PLLA Clock +
4 x SLCK +
PLLACOUNT x SLCK
3 x PLLA Clock +
4 x SLCK +
1.5 x PLLA Clock
PLLB Clock 3 x PLLB Clock +
4 x SLCK +
1.5 x PLLB Clock
2.5 x PLLB Clock +
4 x SLCK +
PLLBCOUNT x SLCK
398 6500D–ATARM–29-Feb-12
SAM3S
398 6500D–ATARM–29-Feb-12
SAM3S
27.14.2 Clock Switching Waveforms
Figure 27-5. Switch Mast er Clock from Slow Clock to PLLx Clock
Figure 27-6. Switch Master Clock from Main Clock to Slow Clock
Slow Clock
LOCK
MCKRDY
Master Clock
Write PMC_MCKR
PLLx Clock
Slow Clock
Main Clock
MCKRDY
Master Clock
Write PMC_MCKR
399
6500D–ATARM–29-Feb-12
SAM3S
399
6500D–ATARM–29-Feb-12
SAM3S
Figure 27-7. Change PLLx Programming
Figure 27-8. Programmable Clock Output Programming
Slow Clock
Slow Clock
PLLx Clock
LOCKx
MCKRDY
Master Clock
Write CKGR_PLLxR
PLLx Clock
PCKRDY
PCKx Output
Write PMC_PCKx
Write PMC_SCER
Write PMC_SCDR PCKx is disabled
PCKx is enabled
PLL Clock is selected
400 6500D–ATARM–29-Feb-12
SAM3S
400 6500D–ATARM–29-Feb-12
SAM3S
27.15 Write Protection Registers
To prevent any single software error that may corru pt PMC behavior, certain addr ess spaces
can be write protected by setting the WPEN bit in the “PMC Write Protect Mode Register”
(PMC_WPMR).
If a write access to the protected registers is detected, then the WPVS flag in the PMC Write
Protect Status Register (PMC_WPSR) is set and the field WPVSRC indicates in which register
the write access has been attempted.
The WPVS flag is reset by writing the PMC Write Protect Mode Register (PMC_WPMR) with the
appropriate access key, WPKEY.
The protected registers are:
“PMC System Clock Enable Register
“PMC System Clock Disable Register”
“PMC Peripher al Clock Enable Register 0”
“PMC Peripheral Clock Disable Register 0”
“PMC Clock Generator Main Oscillator Register”
“PMC Clock Generator PLLA Register”
“PMC Clock Generator PLLB Register”
“PMC Master Clock Register”
“PMC USB Clock Register”
“PMC Programmable Clock Register”
“PMC Fast Startup Mode Register”
“PMC Fast Startup Polarity Register”
“PMC Peripher al Clock Enable Register 1”
“PMC Peripheral Clock Disable Register 1”
“PMC Oscillator Calibration Register”
401
6500D–ATARM–29-Feb-12
SAM3S
401
6500D–ATARM–29-Feb-12
SAM3S
27.16 Power Management Controller (PMC) User Interface
Table 27-3. Register Mapping
Offset Register Name Access Reset
0x0000 System Clock Enable Register PMC_SCER Write-only
0x0004 System Clock Disable Register PMC_SCDR Write-only
0x0008 System Clock Status Register PMC_SCSR Read-only 0x0000_0001
0x000C Reserved
0x0010 Peripheral Clock Enable Register 0 PMC_PCER0 Write-only
0x0014 Peripheral Clock Disable Register 0 PMC_PCDR0 Write-only
0x0018 Peripheral Clock Status Register 0 PMC_PCSR0 Read-only 0x0000_0000
0x001C Reserved
0x0020 Main Oscillator Register CKGR_MOR Read-write 0x0000_0001
0x0024 Main Clock Frequency Register CKGR_MCFR Read-only 0x0 000_0000
0x0028 PLLA Register CKGR_PLLAR Read-write 0x0000_3F00
0x002C PLLB Registe r CKGR_PLLBR Read-write 0x0000_3F00
0x0030 Master Clock Register PMC_MCKR Read-write 0x0000_0001
0x0034 Reserved
0x0038 USB Clock Register PMC_USB Read/Write 0x0000_0000
0x003C Reserved
0x0040 Programmable Clock 0 Register PMC_PCK0 Read-write 0x0000_0000
0x0044 Programmable Clock 1 Register PMC_PCK1 Read-write 0x0000_0000
0x0048 Programmable Clock 2 Register PMC_PCK2 Read-write 0x0000_0000
0x004C - 0x005C Reserved
0x0060 Interrupt Enable Register PMC_IER Write-only
0x0064 Interrupt Disable Register PMC_IDR Write-only
0x0068 Status Register PMC_SR Read-only 0x0001_0008
0x006C Interrupt Mask Register PMC_IMR Read-only 0x0000_0000
0x0070 Fast Startup Mode Register PMC_FSMR Read-write 0x0000_0000
0x0074 Fast Startup Polarity Register PMC_FSPR Read-write 0x0000_0000
0x0078 Fault Output Clear Register PMC_FOCR Write-only
0x007C- 0x00E0 Reserved
0x00E4 Write Protect Mode Register PMC_WPMR Read-write 0x0
0x00E8 Write Protect Status Register PMC_WPSR Read-only 0x0
0x00EC-0x00FC Reserved
0x0100 Peripheral Clock Enable Register 1 PMC_PCER1 Write-only
0x0104 Peripheral Clock Disable Register 1 PMC_PCDR1 Write-only
402 6500D–ATARM–29-Feb-12
SAM3S
402 6500D–ATARM–29-Feb-12
SAM3S
Note: If an offset is not listed in the table it must be considered as “reserved”.
0x0108 Peripheral Clock Status Register 1 PMC_PCSR1 Read-only 0x0000_0000
0x010C Reserved
0x0110 Oscillator Calibration Register PMC_OCR Read-write 0x0040_4040
Table 27-3. Register Mapping
Offset Register Name Access Reset
403
6500D–ATARM–29-Feb-12
SAM3S
403
6500D–ATARM–29-Feb-12
SAM3S
27.16.1 PMC System Clock Enable Register
Name: PMC_SCER
Address: 0x400E0400
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
UDP: USB Device Port Clock Enable
0 = No effect.
1 = Enables the 48 MHz clock (UDPCK) of the USB Device Port.
PCKx: Programmable Clock x Output Enable
0 = No effect.
1 = Enables the corresponding Programmable Clock output.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––PCK2PCK1PCK0
76543210
UDP–––––––
404 6500D–ATARM–29-Feb-12
SAM3S
404 6500D–ATARM–29-Feb-12
SAM3S
27.16.2 PMC System Clock Disable Register
Name: PMC_SCDR
Address: 0x400E0404
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
UDP: USB Device Port Clock Disable
0 = No effect.
1 = Disables the 48 MHz clock (UDPCK) of the USB Device Port.
PCKx: Programmable Clock x Output Disable
0 = No effect.
1 = Disables the corresponding Programmable Clock output.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––PCK2PCK1PCK0
76543210
UDP–––––––
405
6500D–ATARM–29-Feb-12
SAM3S
405
6500D–ATARM–29-Feb-12
SAM3S
27.16.3 PMC System Clock Status Register
Name: PMC_SCSR
Address: 0x400E0408
Access: Read-only
UDP: USB Device Port Clock S tatus
0 = The 48 MHz clock (UDPCK) of the USB Device Port is disabled.
1 = The 48 MHz clock (UDPCK) of the USB Device Port is enabled .
PCKx: Programmable Clock x Output Status
0 = The corresponding Programmable Clock output is disabled.
1 = The corresponding Programmable Clock output is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––PCK2PCK1PCK0
76543210
UDP–––––––
406 6500D–ATARM–29-Feb-12
SAM3S
406 6500D–ATARM–29-Feb-12
SAM3S
27.16.4 PMC Peripheral Clock Enable Register 0
Name: PMC_PCER0
Address: 0x400E0410
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
PIDx: Peripheral Clock x Enable
0 = No effect.
1 = Enables the corresponding peripheral clock.
Note: To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet. Other peripherals can
be enabled in PMC_PCER1 (Section 27.16.23 ”PMC Peripheral Clock Enable Regi ster 1”).
Note: Programming the control bits of the Per ipheral ID that are not implemented has no effect on the behavior of the PMC.
31 30 29 28 27 26 25 24
PID31 PID30 PID29 PID28 PID27 PID26 PID25 PID24
23 22 21 20 19 18 17 16
PID23 PID22 PID21 PID20 PID19 PID18
15 14 13 12 11 10 9 8
PID15 PID14 PID13 PID12 PID11 PID10 PID9 PID8
76543210
PID7 PID6 PID5 PID4 PID3 PID2
407
6500D–ATARM–29-Feb-12
SAM3S
407
6500D–ATARM–29-Feb-12
SAM3S
27.16.5 PMC Peripheral Clock Disable Register 0
Name: PMC_PCDR0
Address: 0x400E0414
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
PIDx: Peripheral Clock x Disable
0 = No effect.
1 = Disables the corresponding peripheral clock.
Note: To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet. Other peripherals can
be disabled in PMC_PCDR1 (Section 27.16.24 ”PMC Peripheral Clock Disable Register 1”).
31 30 29 28 27 26 25 24
PID31 PID30 PID29 PID28 PID27 PID26 PID25 PID24
23 22 21 20 19 18 17 16
PID23 PID22 PID21 PID20 PID19 PID18
15 14 13 12 11 10 9 8
PID15 PID14 PID13 PID12 PID11 PID10 PID9 PID8
76543210
PID7 PID6 PID5 PID4 PID3 PID2 - -
408 6500D–ATARM–29-Feb-12
SAM3S
408 6500D–ATARM–29-Feb-12
SAM3S
27.16.6 PMC Peripheral Clock Status Register 0
Name: PMC_PCSR0
Address: 0x400E0418
Access: Read-only
PIDx: Peripheral Clock x Status
0 = The corresponding peripheral clock is disabled.
1 = The corresponding peripheral clock is enabled.
Note: To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet. Other peripherals sta-
tus can be read in PMC_PCSR1 (Section 27.16.25 ”PMC Peripheral Clock Status Register 1”).
31 30 29 28 27 26 25 24
PID31 PID30 PID29 PID28 PID27 PID26 PID25 PID24
23 22 21 20 19 18 17 16
PID23 PID22 PID21 PID20 PID19 PID18
15 14 13 12 11 10 9 8
PID15 PID14 PID13 PID12 PID11 PID10 PID9 PID8
76543210
PID7 PID6 PID5 PID4 PID3 PID2
409
6500D–ATARM–29-Feb-12
SAM3S
409
6500D–ATARM–29-Feb-12
SAM3S
27.16.7 PMC Clock Ge nerator Main Oscillator Regist er
Name: CKGR_MOR
Address: 0x400E0420
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
•KEY: Password
Should be written at value 0x37. Writing any other value in this field aborts the write operation.
MOSCXTEN: Main Crystal Oscillator Enable
A crystal must be connected between XIN and XOUT.
0 = The Main Crystal Oscillator is disabled.
1 = The Main Crystal Oscillator is enabled. MOSCXTBY must be set to 0.
When MOSCXTEN is set, the MOSCXTS flag is set once the Main Crystal Oscillator startup time is achieved.
MOSCXTBY: Main Crystal Oscillator Bypass
0 = No effect.
1 = The Main Crystal Oscillator is bypassed. MOSCXTEN must be set to 0. An external clock must be connected on XIN.
When MOSCXTBY is set, the MOSCXTS flag in PMC_SR is automatically set.
Clearing MOSCXTEN and MOSCXTBY bits allows resetting th e MO SCX TS flag.
MOSCRCEN: Main On-Chip RC Oscillator Enable
0 = The Main On-Chip RC Oscillator is disabled.
1 = The Main On-Chip RC Oscillator is enabled.
When MOSCRCEN is set, the MOSCRCS flag is set once the Main On-Chip RC Oscillator startup time is achieved.
31 30 29 28 27 26 25 24
––––––CFDENMOSCSEL
23 22 21 20 19 18 17 16
KEY
15 14 13 12 11 10 9 8
MOSCXTST
76543210
MOSCRCF MOSCRCEN MOSCXTBY MOSCXTEN
410 6500D–ATARM–29-Feb-12
SAM3S
410 6500D–ATARM–29-Feb-12
SAM3S
MOSCRCF: Main On-Chip RC Oscillat or Frequency Selection
At start-up, the Main On-Chip RC Oscillator frequency is 4 MHz.
MOSCXTST: Main Crystal Oscillator Start-up Time
Specifies the number of Slow Clock cycles multiplied by 8 for the Main Crystal Oscillator start-up time.
MOSCSEL: Main Oscillator Selection
0 = The Main On-Chip RC Oscillator is selected.
1 = The Main Crystal Oscillator is selected.
CFDEN: Clock Failur e Detector Enable
0 = The Clock Failure Detector is disabled.
1 = The Clock Failure Detector is enabled.
Value Name Description
0x0 4_MHz The Fast RC Oscillator Fre quency is at 4 MHz (default)
0x1 8_MHz The Fast RC Oscillator Freque ncy is at 8 MHz
0x2 12_MHz The Fast RC Oscillator Frequency is at 12 MHz
411
6500D–ATARM–29-Feb-12
SAM3S
411
6500D–ATARM–29-Feb-12
SAM3S
27.16.8 PMC Clock Generator Main Clock Frequency Register
Name: CKGR_MCFR
Address: 0x400E0424
Access: Read-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
MAINF: Main Clock Frequency
Gives the numb er of Main Clock cycles within 16 Slow Clock periods.
MAINFRDY: Main Clock Ready
0 = MAINF value is not valid or the Main Oscillator is disabled.
1 = The Main Oscillator has been enabled previously and MAINF value is available.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––––MAINFRDY
15 14 13 12 11 10 9 8
MAINF
76543210
MAINF
412 6500D–ATARM–29-Feb-12
SAM3S
412 6500D–ATARM–29-Feb-12
SAM3S
27.16.9 PMC Clock Generator PLLA Register
Name: CKGR_PLLAR
Address: 0x400E0428
Access: Read-write
Possible limitations on PLLA input fr equencies and multiplier factors should be checked before using the PMC.
Warning: Bit 29 must always be set to 1 when programming the CKGR_PLLAR register.
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
DIVA: Divider
PLLACOUNT: PLLA Counter
Specifies the number of Slow Clock cycles x8 before the LOCKA bit is set in PMC_SR after CKGR_PLLAR is written.
MULA: PLLA Multiplier
0 = The PLLA is deactivated.
1 up to 36 = The PLLA Clock frequency is the PLLA input frequency multiplied by MULA + 1.
ONE: Must Be Set to 1
Bit 29 must always be set to 1 when pro gramming the CKGR_PLLAR register.
31 30 29 28 27 26 25 24
––ONE–– MULA
23 22 21 20 19 18 17 16
MULA
15 14 13 12 11 10 9 8
PLLACOUNT
76543210
DIVA
DIVA Divider Selected
0 Divider output is 0
1 Divider is bypassed (DIVA=1)
2 - 255 Divider output is DIVA
413
6500D–ATARM–29-Feb-12
SAM3S
413
6500D–ATARM–29-Feb-12
SAM3S
27.16.10 PMC Clock Generator PLLB Register
Name: CKGR_PLLBR
Address: 0x400E042C
Access: Read-write
Possible limitations on PLLB input fr equencies and multiplier factors should be checked before using the PMC.
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
DIVB: Divider
PLLBCOUNT: PLLB Counter
Specifies the number of Slow Clock cycles x8 before the LOCKB bit is set in PMC_SR after CKGR_PLLBR is written.
MULB: PLLB Multiplier
0 = The PLLB is deactivated.
1 up to 36 = The PLLB Clock frequency is the PLLB input frequency multiplied by MULB + 1.
31 30 29 28 27 26 25 24
––––– MULB
23 22 21 20 19 18 17 16
MULB
15 14 13 12 11 10 9 8
PLLBCOUNT
76543210
DIVB
DIVB Divider Selected
0 Divider output is 0
1 Divider is bypassed (DIVB=1)
2 - 255 Divider output is DIVB
414 6500D–ATARM–29-Feb-12
SAM3S
414 6500D–ATARM–29-Feb-12
SAM3S
27.16.11 PMC Master Clock Register
Name: PMC_MCKR
Address: 0x400E0430
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
CSS: Master Clock Source Selection
PRES: Processor Clock Prescaler
PLLADIV2: PLLA Divisor by 2
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––PLLBDIV2PLLADIV2––––
76543210
–PRES–CSS
Value Name Description
0 SLOW_CLK Slow Clock is selected
1 MAIN_CLK Main Clock is selected
2 PLLA_CLK PLLA Clock is selected
3 PLLB_CLK PLLB Clock is selected
Value Name Description
0 CLK_1 Selected clock
1 CLK_2 Selected clock divided by 2
2 CLK_4 Selected clock divided by 4
3 CLK_8 Selected clock divided by 8
4 CLK_16 Selected clock divided by 16
5 CLK_32 Selected clock divided by 32
6 CLK_64 Selected clock divided by 64
7 CLK_3 Selected clock divided by 3
PLLADIV2 PLLA Clock Division
0 PLLA clock frequency is divided by 1.
1 PLLA clock frequency is divided by 2.
415
6500D–ATARM–29-Feb-12
SAM3S
415
6500D–ATARM–29-Feb-12
SAM3S
PLLBDIV2: PLLB Divisor by 2
PLLBDIV2 PLLB Clock Division
0 PLLB clock frequency is divided by 1.
1 PLLB clock frequency is divided by 2.
416 6500D–ATARM–29-Feb-12
SAM3S
416 6500D–ATARM–29-Feb-12
SAM3S
27.16.12 PMC USB Clock Register
Name: PMC_USB
Address: 0x400E0438
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
USBS: USB Input Clock Selection
0 = USB Clock Input is PLLA.
1 = USB Clock Input is PLLB.
USBDIV: Divider for USB Clock.
USB Clock is Input clock divided by USBDIV+1.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––– USBDIV
76543210
–––––––USBS
417
6500D–ATARM–29-Feb-12
SAM3S
417
6500D–ATARM–29-Feb-12
SAM3S
27.16.13 PMC Programmable Clock Register
Name: PMC_PCKx
Address: 0x400E0440
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
CSS: Master Clock Source Selection
PRES: Programmable Clock Prescaler
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–PRES– CSS
Value Name Description
0 SLO W_CLK Slow Cloc k is selected
1 MAIN_CLK Main Clock is selected
2 PLLA_CLK PLLA Clock is selected
3 PLLB_CLK PLLB Clock is selected
4 MCK Master Clock is selected
Value Name Description
0 CLK_1 Selected clock
1 CLK_2 Selected clock divided by 2
2 CLK_4 Selected clock divided by 4
3 CLK_8 Selected clock divided by 8
4 CLK_16 Selected clock divided by 16
5 CLK_32 Selected clock divided by 32
6 CLK_64 Selected clock divided by 64
418 6500D–ATARM–29-Feb-12
SAM3S
418 6500D–ATARM–29-Feb-12
SAM3S
27.16.14 PMC Interrupt Enable Register
Name: PMC_IER
Address: 0x400E0460
Access: Write-only
MOSCXTS: Main Crystal Oscillator Status Interrupt Enable
LOCKA: PLLA Lock Interrupt Enable
LOCKB: PLLB Lock Interrupt Enable
MCKRDY: Master Clock Ready Interrupt Enable
PCKRDYx: Programmable Clock Re ady x Interrupt Enable
MOSCSELS: Main Oscillator Selection Status Interrupt Enable
MOSCRCS: Main On-Chip RC Status Interrupt Enable
CFDEV: Clock Failure Detector Event Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––CFDEVMOSCRCSMOSCSELS
15 14 13 12 11 10 9 8
–––––PCKRDY2PCKRDY1PCKRDY0
76543210
––––MCKRDYLOCKBLOCKAMOSCXTS
419
6500D–ATARM–29-Feb-12
SAM3S
419
6500D–ATARM–29-Feb-12
SAM3S
27.16.15 PMC Interrupt Disabl e Register
Name: PMC_IDR
Address: 0x400E0464
Access: Write-only
MOSCXTS: Main Crystal Oscillator Status Interrupt Disable
LOCKA: PLLA Lock Interrupt Disable
LOCKB: PLLB Lock Interrupt Disable
MCKRDY: Master Clock Ready Interrupt Disable
PCKRDYx: Programmable Clock Re ady x Interrupt Disabl e
MOSCSELS: Main Oscillator Selection Status Interrupt Disable
MOSCRCS: Main On-Chip RC Status Interrupt Disable
CFDEV: Clock Failure Detector Event Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––CFDEVMOSCRCSMOSCSELS
15 14 13 12 11 10 9 8
–––––PCKRDY2PCKRDY1PCKRDY0
76543210
––––MCKRDYLOCKBLOCKAMOSCXTS
420 6500D–ATARM–29-Feb-12
SAM3S
420 6500D–ATARM–29-Feb-12
SAM3S
27.16.16 PMC Status Register
Name: PMC_SR
Address: 0x400E0468
Access: Read-only
MOSCXTS: Main XTAL Oscillator Status
0 = Main XTAL oscillator is not stabilized.
1 = Main XTAL oscillator is stabilized.
LOCKA: PLLA Lock Status
0 = PLLA is not locked
1 = PLLA is locked.
LOCKB: PLLB Lock Status
0 = PLLB is not locked
1 = PLLB is locked.
MCKRDY: Master Clock Status
0 = Master Clock is not ready.
1 = Master Clock is ready.
OSCSELS: Slow Clock Oscillator Selection
0 = Internal slow clock RC oscillator is selected.
1 = External slow clock 32 kHz oscillator is selected.
PCKRDYx: Programmable Clock Ready Status
0 = Programmable Clock x is not ready.
1 = Programmable Clock x is ready.
MOSCSELS: Main Oscillator Selection Status
0 = Selection is in progress.
1 = Selection is done.
MOSCRCS: Main On-Chip RC Oscillator Stat us
0 = Main on-chip RC oscillator is not stabilized.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
FOS CFDS CFDEV MOSCRCS MOSCSELS
15 14 13 12 11 10 9 8
–––––PCKRDY2PCKRDY1PCKRDY0
76543210
OSCSELS MCKRDY LOCKB LOCKA MOSCXTS
421
6500D–ATARM–29-Feb-12
SAM3S
421
6500D–ATARM–29-Feb-12
SAM3S
1 = Main on-chip RC oscillator is stabilized.
CFDEV: Clock Failure Detector Event
0 = No clock failure detection of the main on-chip RC oscillator clock has occurred since the last read of PMC_SR.
1 = At least one clock failure detection of the main on-chip RC oscillator clock has occurred since the last read of PMC_SR.
CFDS: Clock Failure Detector Status
0 = A clock failure of the main on-chip RC oscillator clock is not detected.
1 = A clock failure of the main on-chip RC oscillator clock is detected.
FOS: Clock Failure Detector Fault Output Status
0 = The fault output of the clock failure detector is inactive.
1 = The fault output of the clock failure detector is active.
422 6500D–ATARM–29-Feb-12
SAM3S
422 6500D–ATARM–29-Feb-12
SAM3S
27.16.17 PMC Interrupt Mask Register
Name: PMC_IMR
Address: 0x400E046C
Access: Read-only
MOSCXTS: Main Crystal Oscillator Status Interrupt Mask
LOCKA: PLLA Lock Interrupt Mask
LOCKB: PLLB Lock Interrupt Mask
MCKRDY: Master Clock Ready Interrupt Mask
PCKRDYx: Programmable Clock Ready x Interrupt Mask
MOSCSELS: Main Oscillator Selection Status Interrupt Mask
MOSCRCS: Main On-Chip RC Status Interrupt Mask
CFDEV: Clock Failure Detector Event Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––CFDEVMOSCRCSMOSCSELS
15 14 13 12 11 10 9 8
–––––PCKRDY2PCKRDY1PCKRDY0
76543210
––––MCKRDYLOCKBLOCKAMOSCXTS
423
6500D–ATARM–29-Feb-12
SAM3S
423
6500D–ATARM–29-Feb-12
SAM3S
27.16.18 PMC Fast Startup Mode Register
Name: PMC_FSMR
Address: 0x400E0470
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
FSTT0 - FSTT15: Fast Startup Input Enable 0 to 15
0 = The corresponding wake up input has no effect on the Power Management Controller.
1 = The corresponding wake up input enables a fast restart signal to the Power Management Controller.
RTTAL: RTT Alarm En able
0 = The RTT alarm has no effect on the Power Management Controller.
1 = The RTT alarm enables a fast rest art signal to the Power Management Controller.
RTCAL: RTC Alarm Enable
0 = The RTC alarm has no effect on the Power Management Cont roller.
1 = The RTC alarm enables a fast restart signal to the Power Management Controller.
USB AL: USB Alarm Enable
0 = The USB alarm has no effect on the Power Management Controller.
1 = The USB alarm enables a fast restart signal to the Power Manageme nt Controller.
LPM: Low Power Mode
0 = The WaitForInterrupt (WFI) or WaitForEvent (WFE) instruction of the processor makes the processor enter Sleep
Mode.
1 = The WaitForEvent (WFE) instruction of the processor makes the system to enter in Wait Mode.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
LPM USBAL RTCAL RTTAL
15 14 13 12 11 10 9 8
FSTT15 FSTT14 FSTT13 FSTT12 FSTT11 FSTT10 FSTT9 FSTT8
76543210
FSTT7 FSTT6 FSTT5 FSTT4 FSTT3 FSTT2 FSTT1 FSTT0
424 6500D–ATARM–29-Feb-12
SAM3S
424 6500D–ATARM–29-Feb-12
SAM3S
27.16.19 PMC Fast Startup Polarity Register
Name: PMC_FSPR
Address: 0x400E0474
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
FSTPx: Fast Startup Input Polarityx
Defines the active polarity of the corresponding wake up input. If the corresponding wake up input is enabled and at the
FSTP level, it enables a fast restart signal.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
FSTP15 FSTP14 FSTP13 FSTP12 FSTP11 FSTP10 FSTP9 FSTP8
76543210
FSTP7 FSTP6 FSTP5 FSTP4 FSTP3 FSTP2 FSTP1 FSTP0
425
6500D–ATARM–29-Feb-12
SAM3S
425
6500D–ATARM–29-Feb-12
SAM3S
27.16.20 PMC Fault Output Clear Register
Name: PMC_FOCR
Address: 0x400E0478
Access: Write-only
FOCLR: Fault Output Clear
Clears the clock failure detector fault output.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––FOCLR
426 6500D–ATARM–29-Feb-12
SAM3S
426 6500D–ATARM–29-Feb-12
SAM3S
27.16.21 PMC Write Protect Mode Regi ster
Name: PMC_WPMR
Address: 0x400E04E4
Access: Read-write
Reset: See Table 27-3
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x504D43 (“PMC” in ASCII).
1 = Enables the Write Pr otect if WPKEY corresponds to 0x504D43 (“PMC” in ASCII).
Protects the registers:
“PMC System Clock Enable Register
“PMC System Clock Disable Register”
“PMC Peripheral Clock Enable Register 0”
“PMC Peripheral Clock Disable Register 0”
“PMC Clock Generator Main Oscillator Register”
“PMC Clock Generator PLLA Register”
“PMC Clock Generator PLLB Register”
“PMC Master Clock Register”
“PMC USB Clock Register”
“PMC Programmable Clock Register”
“PMC Fast Startup Mode Register
“PMC Fast Startup Polarity Register”
“PMC Peripheral Clock Enable Register 1”
“PMC Peripheral Clock Disable Register 1”
“PMC Oscillator Calibration Register”
WPKEY: Write Protect KEY
Should be written at value 0x504D43 (“PMC” in ASCII). Writ ing any othe r va lue in this f i eld ab or ts the writ e op er ation of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
427
6500D–ATARM–29-Feb-12
SAM3S
427
6500D–ATARM–29-Feb-12
SAM3S
27.16.22 PMC Write Protect Status Register
Name: PMC_WPSR
Address: 0x400E04E8
Access: Read-only
Reset: See Table 27-3
WPVS: Write Protect Violation Status
0 = No Write Protect Violation has occurred since the last read of the PMC_WPSR register.
1 = A Write Protect Violation has occurred since the last read of the PMC_WPSR register. If this violation is an unauthor-
ized attempt to write a pr ot ected register , the ass ocia te d violat ion is repor te d in to field WP VSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Reading PMC_WPSR automat ically clears all fields.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
–––––––WPVS
428 6500D–ATARM–29-Feb-12
SAM3S
428 6500D–ATARM–29-Feb-12
SAM3S
27.16.23 PMC Peripheral Clock Enable Register 1
Name: PMC_PCER1
Address: 0x400E0500
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
PIDx: Peripheral Clock x Enable
0 = No effect.
1 = Enables the corresponding peripheral clock.
Notes: 1. To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet.
2. Programming the control bits of the Periph eral ID that are not implemented has no effect on the behavior of the PMC.
27.16.24 PMC Peripheral Clock Disable Register 1
Name: PMC_PCDR1
Address: 0x400E0504
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” on page 426.
PIDx: Peripheral Clock x Disable
0 = No effect.
1 = Disables the corresponding peripheral clock.
Note: To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––PID34PID33PID32
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––PID34PID33PID32
429
6500D–ATARM–29-Feb-12
SAM3S
429
6500D–ATARM–29-Feb-12
SAM3S
27.16.25 PMC Peripheral Clock Status Register 1
Name: PMC_PCSR1
Address: 0x400E0508
Access: Read-only
PIDx: Peripheral Clock x Status
0 = The corresponding peripheral clock is disabled.
1 = The corresponding peripheral clock is enabled.
Note: To get PIDx, refer to identifiers as defined in the section “Peripheral Identifiers” in the product datasheet.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––PID34PID33PID32
430 6500D–ATARM–29-Feb-12
SAM3S
430 6500D–ATARM–29-Feb-12
SAM3S
27.16.26 PMC Oscillator Calibration Register
Name: PMC_OCR
Address: 0x400E0510
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PMC Write Protect Mode Register” .
CAL4: RC Oscillator Calibration bits for 4 Mhz
Calibration bits applied to the RC Oscillator when SEL4 is set.
SEL4: Selection of RC Oscillator Calibration bits for 4 Mhz
0 = Default value stored in Flash memory.
1 = Value written by user in CAL4 field of this register.
CAL8: RC Oscillator Calibration bits for 8 Mhz
Calibration bits applied to the RC Oscillator when SEL8 is set.
SEL8: Selection of RC Oscillator Calibration bits for 8 Mhz
0 = Factory determined value stored in Flash memory.
1 = Value written by user in CAL8 field of this register.
CAL12: RC Oscillator Calibration bits for 12 Mhz
Calibration bits applied to the RC Oscillator when SEL12 is set.
SEL12: Selection of RC Osci llator Calibration bits for 12 Mhz
0 = Factory determined value stored in Flash memory.
1 = Value written by user in CAL12 field of this register.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
SEL12 CAL12
15 14 13 12 11 10 9 8
SEL8 CAL8
76543210
SEL4 CAL4
431
6500D–ATARM–29-Feb-12
SAM3S
28. Chip Identifier (CHIPID)
28.1 Description Chip Identifier registers permit r ecognit ion of t he d evice and its revision. Th ese regist er s provide
the sizes and types of the on-chip memories, as well as the set of embedded peripherals.
Two chip identifier registers are embedded: CHI PID_CIDR (Chip ID Regist er) and CHIP ID_EXID
(Extension ID). Bo th r egister s co ntain a hard- wired valu e tha t is re ad -on ly. The fi rst re gist er con-
tains the following fields:
EXT - shows the use of the extension identifier register
NVPTYP and NVPSIZ - identifies the typ e of embedded non-volatile memory and its size
ARCH - identifies the set of embedded peripherals
SRAMSIZ - indicates the siz e of the embedded SRAM
EPROC - ind icates the embedded ARM processor
VERSION - gives the revision of the silicon
The second register is device-dependent and reads 0 if the bit EXT is 0.
Table 28-1. ATSAM3S Chip IDs Register
Chip Name CHIPID_CIDR CHIPID_EXID
ATSAM3S4A (Rev A) 0x28800960 0x0
ATSAM3S2A (Rev A) 0x288A0760 0x0
ATSAM3S1A (Rev A) 0x28890560 0x0
ATSAM3S4B (Rev A) 0x28900960 0x0
ATSAM3S2B (Rev A) 0x289A0760 0x0
ATSAM3S1B (Rev A) 0x28990560 0x0
ATSAM3S4C (Rev A) 0x28A00960 0x0
ATSAM3S2C (Rev A) 0x28AA0760 0x0
ATSAM3S1C (Rev A) 0x28A90560 0x0
ATSAM3S8A (Rev A) 0x288B0A60 0x0
ATSAM3S8B (Rev A) 0x289B0A60 0x0
ATSAM3S8C (Rev A) 0x28AB0A60 0x0
ATSAM3SD8A (Rev A) 0x298B0A60 0x0
ATSAM3SD8B (Rev A) 0x299B0A60 0x0
ATSAM3SD8C (Rev A) 0x29AB0A60 0x0
432 6500D–ATARM–29-Feb-12
SAM3S
28.2 Chip Identifier (CHIPID) User Interface
Table 28-2. Register Mapping
Offset Register Name Access Reset
0x0 Chip ID Register CHIPID_CIDR Read-only
0x4 Chip ID Extension Register CHIPID_EXID Read-only
433
6500D–ATARM–29-Feb-12
SAM3S
28.2.1 Chip ID Register
Name: CHIPID_CIDR
Address: 0x400E0740
Access: Read-only
VERSION: Version of the Device
Current version of the device.
EPROC: Embedd ed Processor
NVPSIZ: Nonvolatile Program Memory Size
31 30 29 28 27 26 25 24
EXT NVPTYP ARCH
23 22 21 20 19 18 17 16
ARCH SRAMSIZ
15 14 13 12 11 10 9 8
NVPSIZ2 NVPSIZ
76543210
EPROC VERSION
Value Name Description
1 ARM946ES ARM946ES
2 ARM7TDMI ARM7TDMI
3 CM3 Cortex-M3
4 ARM920T ARM920T
5 ARM926EJS ARM926EJS
6CA5 Cortex-A5
Value Name Description
0NONE None
18K 8K bytes
216K 16K bytes
332K 32K bytes
4Reserved
564K 64K bytes
6Reserved
7 128K 128K bytes
8Reserved
9 256K 256K bytes
10 512K 512K bytes
11 Reserved
12 1024K 1024K bytes
13 Reserved
14 2048K 2048K bytes
15 Reserved
434 6500D–ATARM–29-Feb-12
SAM3S
NVPSIZ2 Second Nonvolatile Program Memory Size
SRAMSIZ: Internal SRAM Size
Value Name Description
0 NONE None
18K 8K bytes
2 16K 16K bytes
3 32K 32K bytes
4 Reserved
5 64K 64K bytes
6 Reserved
7 128 K 128K bytes
8 Reserved
9 256 K 256K bytes
10 512K 512K bytes
11 Reserved
12 1024K 1024K bytes
13 Reserved
14 2048K 2048K bytes
15 Reserved
Value Name Description
048K 48K bytes
11K 1K bytes
22K 2K bytes
36K 6K bytes
4 112K 112K bytes
54K 4K bytes
680K 80K bytes
7 160K 160K bytes
88K 8K bytes
916K 16K bytes
10 32K 32K bytes
11 64K 64K bytes
12 128K 128K b ytes
13 256K 256K b ytes
14 96K 96K bytes
15 512K 512K b ytes
435
6500D–ATARM–29-Feb-12
SAM3S
ARCH: Architecture Identifier
Value Name Description
0x19 AT91SAM9xx AT91SAM9xx Series
0x29 AT91SAM9XExx AT91SAM9XExx Series
0x34 AT91x34 AT91x34 Series
0x37 CAP7 CAP7 Series
0x39 CAP9 CAP9 Series
0x3B CAP11 CAP11 Series
0x40 AT91x40 AT91x40 Series
0x42 AT91x42 AT91x42 Series
0x55 AT91x55 AT91x55 Series
0x60 AT91SAM7Axx AT91SAM7Axx Series
0x61 AT91SAM7AQxx AT91SAM7AQxx Series
0x63 AT91x63 AT91x63 Series
0x70 AT91SAM7Sxx AT91SAM7Sxx Series
0x71 AT91SAM7XCxx AT91SAM7XCxx Series
0x72 AT91SAM7SExx AT91SAM7SExx Series
0x73 AT91SAM7Lxx AT 91SAM7Lxx Series
0x75 AT91SAM7Xxx AT91SAM7Xxx Series
0x76 AT91SAM7SLxx AT91SAM7SLxx Series
0x80 ATSAM3UxC ATSAM3UxC Series (100-pin version)
0x81 ATSAM3UxE ATSAM3UxE Series (144-pin version)
0x83 ATSAM3AxC ATSAM3AxC Series (100-pi n version)
0x84 ATSAM3XxC ATSAM3XxC Series (100-pi n version)
0x85 ATSAM3XxE ATSAM3XxE Series (144-pin version)
0x86 ATSAM3XxG ATSAM3XxG Series (208/217-pin version)
0x88 ATSAM3SxA ATSAM3SxA Series (48-pin version)
0x89 ATSAM3SxB ATSAM3SxB Series (64-pin version)
0x8A ATSAM3SxC ATSAM3SxC Series (100-pin version)
0x92 AT91x92 AT91x92 Series
0x93 ATSAM3NxA ATSAM3NxA Series (48-pin version)
0x94 ATSAM3NxB ATSAM3NxB Series (64-pin version)
0x95 ATSAM3NxC ATSAM3NxC Series (100-pin version)
0x98 ATSAM3SDxA ATSAM3SDxA Series (48-pin version)
0x99 ATSAM3SDxB ATSAM3SDxB Series (64-pin version)
0x9A ATSAM3SDxC ATSAM3SDxC Series (100-p in version)
0xA5 ATSAM5A ATSAM5A
0xF0 AT75Cxx AT75Cxx Series
436 6500D–ATARM–29-Feb-12
SAM3S
NVPTYP: Nonvolatile Program Memory Type
EXT: Extension Flag
0 = Chip ID has a single register definition without extension
1 = An extended Chip ID exists.
Value Name Description
0ROM ROM
1 ROMLESS ROMless or on-chip Flash
4 SRAM SRAM emulating ROM
2 FLASH Embedded Flash Memory
3 ROM_FLASH ROM and Embedded Flash Memory
NVPSIZ is ROM size
NVPSIZ2 is Flash size
437
6500D–ATARM–29-Feb-12
SAM3S
28.2.2 Chip ID Extension Register
Name: CHIPID_EXID
Address: 0x400E0744
Access: Read-only
EXID: Chip ID Extension
Reads 0 if the bit EXT in CHIPID_CIDR is 0.
31 30 29 28 27 26 25 24
EXID
23 22 21 20 19 18 17 16
EXID
15 14 13 12 11 10 9 8
EXID
76543210
EXID
438 6500D–ATARM–29-Feb-12
SAM3S
439
6500D–ATARM–29-Feb-12
SAM3S
439
6500D–ATARM–29-Feb-12
SAM3S
29. Parallel Input/Output Contro ller (PIO)
29.1 Description The Parallel Input/Output Controller (PIO) manages up to 32 fully programmable input/output
lines. Each I/O line may be dedicated as a general-purpose I/O or be assigned to a function of
an embedded peripheral. This assures effective optimization of the pins of a product.
Each I/O line is associated with a bit number in all of the 32-bit registers of the 32-bit wide User
Interface.
Each I/O line of the PIO Controller features:
An input change interrupt enabling level change detection on any I/O line.
Additional Interrupt modes enabling rising edge, f alling edge , low lev e l or high level detection
on any I/O line.
A glitch filter providing rejection of glitches lower than one-ha lf of PIO clock cycle.
A debouncing filter providing rejection of unw anted pulses from key or push button
operations.
Multi-drive capability similar to an open drain I/O line.
Control of the pull-up and pull-down of the I/O line.
Input visibility and output control.
The PIO Controller also featu res a synchronou s output pr oviding up to 32 bi ts of data ou tput in a
single write operation.
An 8-bit parallel capture mode is also available which can be used to interface a CMOS digital
image sensor, an ADC, a DSP synchronous port in synchronous mode, etc...
29.2 Embedded Characteristics
Up to 32 Programmable I/O Lines
Fully Programmable through Set/Cle ar Registers
Multiplexing of Four Peripheral Functions per I/O Line
For each I/O Line (Whether Assigned to a Peripheral or Used as General Purpose I/O)
Input Change Interrupt
Programmable Glitch Filter
Programmable Debouncing Filter
Multi-drive Option Enables Driving in Open Drain
Programmable Pull Up on Each I/O Line
Pin Data Status Register, Supplies Visibility of the Level on the Pin at Any Time
Additional Interrupt Modes on a Programmable Event: Rising Edge, Falling Edge,
Low Level or High Level
Lock of the Configuration by the Connected Peripheral
Synchronous Output, Provides Set and Clear of Se veral I/O lines in a Single Write
Write Protect Registers
Programmable Schmitt Trigger Inputs
Parallel Captur e Mode
440 6500D–ATARM–29-Feb-12
SAM3S
440 6500D–ATARM–29-Feb-12
SAM3S
Can be used to interface a CMOS digital image sensor, an ADC....
One Clock, 8-bit Parallel Data and Two Data Enable on I/O Lines
Data Can be Sampled one time of out two (For Chrominance Sampling Only)
Supports Connection of one Peripheral DMA Controller Channel (PDC) Which
Offers Buffer Reception Without Processor Intervention
29.3 Block Diagram
Figure 29-1. Block Diagram
Embedded
Peripheral
Embedded
Peripheral
PIO Interrupt
PIO Controller
Parallel Capture
Mode
Up to 32 pins
PMC
Up to 32
peripheral IOs
Up to 32
peripheral IOs
PIO Clock
APB
Data, Enable
PIN 31
PIN 1
PIN 0
Data, Enable
PDC
Data
Status
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
Interrupt Controller
441
6500D–ATARM–29-Feb-12
SAM3S
441
6500D–ATARM–29-Feb-12
SAM3S
Figure 29-2. Application Block Diagram
29.4 Product Dependencies
29.4.1 Pin Multiplexing
Each pin is configurab le, according to product de finition as either a gene ral-purpose I/O line
only, or as an I/O line multiplexed with one or two peripheral I/Os. As the multiplexing is hard-
ware defined and thus product-dependent, the hardware designer and programmer must
carefully determine the configuration of the PIO controllers required by their application. When
an I/O line is general-purpose only, i.e. not multiplexed with any peripheral I/O, programming of
the PIO Controller regarding the assignment to a peripheral has no effect and only the PIO Con-
troller can contro l how th e pin is drive n by th e pr od u ct.
29.4.2 Power Management
The Power Management Controller controls the PIO Controller clock in order to save power.
Writing any of the registers of the user interface does not require the PIO Controller clock to be
enabled. This means th at the configuration o f the I/O lines does not requir e the PIO Controller
clock to be enabled.
However, when the clock is disabled, not all of the features of the PIO Controller are available,
including glitch filtering. Note that the Input Change Interrupt, Interrupt Modes on a programma-
ble event and the re ad of the pin level require the clock to be validated.
After a hardware reset, the PIO clock is disabled by default.
The user must configure the Power Management Controller before any access to the input line
information.
29.4.3 Interrupt Generation
The PIO Contro ller is conn ected o n o ne of the sour ces of t he Nest ed Vecto re d Int erru pt Con trol-
ler (NVIC). Using the PIO Controller requires the NVIC to be programmed first.
Table 29-1. Signal Description
Signal Name Signal Description Signal Type
PIODCCLK Parallel Capture Mode Clock Input
PIODC[7:0] Parallel Capture Mode Data Input
PIODCEN1 Parallel Capture Mode Data En able 1 Input
PIODCEN2 Parallel Capture Mode Data En able 2 Input
On-Chip Peripherals
PIO Controller
On-Chip Peripheral Drivers
Control & Command
Driver
Keyboard Driver
Keyboard Driver General Purpose I/Os External Devices
442 6500D–ATARM–29-Feb-12
SAM3S
442 6500D–ATARM–29-Feb-12
SAM3S
The PIO Controller inte rrupt can be generated only if the PIO Controller clock is enabled.
29.5 Functional Description
The PIO Contro ller features u p to 32 fully-pro grammable I/O lines. Most of t he control logic asso-
ciated to each I/O is represented in Figure 29-3. In this description each signal shown
represents but one of up to 32 possible indexes.
Figure 29-3. I/O Line Control Logic
1
0
1
0
1
0
1
0
DQ DQ
DFF
1
0
1
0
11
00
01
10
Programmable
Glitch
or
Debouncing
Filter
PIO_PDSR[0] PIO_ISR[0]
PIO_IDR[0]
PIO_IMR[0]
PIO_IER[0]
PIO Interrupt
(Up to 32 possible inputs)
PIO_ISR[31]
PIO_IDR[31]
PIO_IMR[31]
PIO_IER[31]
Pad
PIO_PUDR[0]
PIO_PUSR[0]
PIO_PUER[0]
PIO_MDDR[0]
PIO_MDSR[0]
PIO_MDER[0]
PIO_CODR[0]
PIO_ODSR[0]
PIO_SODR[0]
PIO_PDR[0]
PIO_PSR[0]
PIO_PER[0]
PIO_ABCDSR1[0]
PIO_ODR[0]
PIO_OSR[0]
PIO_OER[0]
Resynchronization
Stage
Peripheral A Input
Peripheral D Output Enable
Peripheral A Output Enable
EVENT
DETECTOR
DFF
PIO_IFDR[0]
PIO_IFSR[0]
PIO_IFER[0]
PIO Clock
Clock
Divider
PIO_IFSCSR[0]
PIO_IFSCER[0]
PIO_IFSCDR[0]
PIO_SCDR
Slow Clock
Peripheral B Output Enable
Peripheral C Output Enable
11
00
01
10
Peripheral D Output
Peripheral A Output
Peripheral B Output
Peripheral C Output
PIO_ABCDSR2[0]
Peripheral B Input
Peripheral C Input
Peripheral D Input
443
6500D–ATARM–29-Feb-12
SAM3S
443
6500D–ATARM–29-Feb-12
SAM3S
29.5.1 Pull-up and Pull-down Resistor Control
Each I/O line is designed with an embedded pull-up resistor and an embedded pull-down resis-
tor. The pull-up resistor can be enabled or disabled by writing respectively PIO_PUER (Pull-up
Enable Register) and PIO_PUDR (Pull-up Disable Resistor). Writing in these registers results in
setting or clearing the corresponding bit in PIO_PUSR (Pull-up Status Register). Reading a 1 in
PIO_PUSR means the pull-up is disabled and reading a 0 means the pull-up is enabled. The
pull-down resistor can be ena bled or disabled by writing respectively PIO_PPDER (Pull-d own
Enable Register) and PIO_PPDDR (Pull-down Disable Resistor). Writing in these registers
results in setting or clearing the correspo nding bit in PIO_PPDSR (Pull-down Status Register).
Reading a 1 in PIO_PPDSR means the pull-u p is disabl ed and read ing a 0 mea ns the pull- do wn
is enabled.
Enabling the pull-down resistor while the pull-up resistor is still enabled is not possible. In this
case, the write of PIO_PPDER for the co ncerned I/O line is discarded. Likewise, enabling the
pull-up resistor while the pull-down resistor is still enabled is not possible. In this case, the write
of PIO_PUER for the concerned I/O line is discarded.
Control of the pull-up resistor is possible regardless of the configuration of the I/O line.
After reset, all of the pull-ups are enabled, i.e. PIO_PUSR resets at the value 0x0, and all the
pull-downs are disabled, i.e. PIO_PPDSR resets at the value 0xFFFFFFFF.
29.5.2 I/O Line or Peripheral Function Selection
When a pin is multiplexed with one or two peripheral functions, the selection is controlled with
the registers PIO_PER (PIO Enable Register) and PIO_PDR (PIO Disable Register). The regis-
ter PIO_PSR (PIO Status Register) is the result of the set and clear registers and indicates
whether the pin is co ntrolled by the cor respond ing perip heral or by the PIO Contr oller. A va lue of
0 indicates that the pin is controlled by the corresponding on-chip peripheral selected in the
PIO_ABCDSR1 and PIO_ABCDSR2 (ABCD Select Registers). A value of 1 indicates the pin is
controlled by the PIO controller.
If a pin is used as a general purpose I/O line (not multiplexed with an on-chip peripheral),
PIO_PER and PIO_PDR have no effect and PIO_PSR returns 1 for the corresponding bit.
After reset, most generally, the I/O lines are controlled by the PIO controller, i.e. PIO _PSR
resets at 1. However, in some events, it is important that PIO lines are controlled by the periph-
eral (as in the case of memory chip select lines that must be driven inactive after reset or for
address lines that must be driven low for booting out of an external memory). Thu s, the reset
value of PIO_PSR is defined at the product level, depending on the multiplexing of the device.
29.5.3 Peripheral A or B or C or D Selection
The PIO Controller provides multiplexing of up to four peripheral functions on a single pin. The
selection is performed by writ ing PIO_ABCDSR1 and PIO_ABCDSR2 (ABCD Select Registers).
For each pin:
the corresponding bit at level 0 in PIO_ABCDSR1 and the corresponding bit at level 0 in
PIO_ABCDSR2 means peripheral A is selected.
the corresponding bit at level 1 in PIO_ABCDSR1 and the corresponding bit at level 0 in
PIO_ABCDSR2 means peripheral B is selected.
the corresponding bit at level 0 in PIO_ABCDSR1 and the corresponding bit at level 1 in
PIO_ABCDSR2 means peripheral C is selected.
444 6500D–ATARM–29-Feb-12
SAM3S
444 6500D–ATARM–29-Feb-12
SAM3S
the corresponding bit at level 1 in PIO_ABCDSR1 and the corresponding bit at level 1 in
PIO_ABCDSR2 means peripheral D is selected.
Note that multiplexing of peripheral lines A, B, C and D only affects the output line. The periph-
eral input lines are always connected to the pin input.
After reset, PIO_ABCDSR1 and PIO_ABCDSR2 are 0, thus indicating that all the PIO lines are
configured on peripheral A. However, peripheral A generally does not drive the pin as the PIO
Controller resets in I/O line mode.
Writing in PIO_ABCDSR1 and PIO_ABCDSR2 manages the multiplexing regardless of the con-
figuration of the pin. However, assignment of a pin to a peripheral function requires a write in the
peripheral selection registers (PIO_ABCDSR1 and PIO_ABCDSR2) in addition to a write in
PIO_PDR.
29.5.4 Output ControlWhen the I/0 line is assigned to a peripheral fu nc tion, i.e. the corresp onding bit in PIO _PSR is at
0, the drive of the I/O line is controlled by the peripheral. Peripheral A or B or C or D depending
on the value in PIO_ABCDSR1 and PIO_ABCDSR2 (ABCD Select Registers) determines
whether the pin is drive n or not .
When the I/O line is cont ro lled by th e PI O contr oller, th e pi n can be con figur ed t o be d riven . T his
is done by writing PIO_ OER (Output Enable Register) and PIO_ODR (Output Disable Register).
The results of these write operations are detected in PIO_OSR (Output Status Register). When
a bit in this r egister is at 0, the corresponding I/O line is used as an input only. When the bit is at
1, the corresponding I/O line is driven by the PIO controller.
The level driven on an I/O line can be determined by writing in PIO_SODR (Set Output Data
Register) and PIO_CODR (Clear Output Data Register). These write operations respectively set
and clear PIO_ODSR (Ou tput Data Status Re gister), wh ich represents the d ata driven on the I /O
lines. Writing in PIO_OER and PIO_ODR manages PIO_OSR whet her the pin is configured to
be controlled by the PIO controller or assigned to a peripheral function. This enables configura-
tion of the I/O line prior to setting it to be managed by the PIO Controller.
Similarly, writing in PIO_SODR and PIO_CODR effects PIO_ODSR. This is important as it
defines the first level driven on the I/O line.
29.5.5 Synchronous Data Output
Clearing one (or more) PIO line(s) and setting another one (or more) PIO line(s) synchronously
cannot be done by using PIO_SODR and PIO_ CODR registers. It requires two successive write
operations into two different registers. To overcome this, the PIO Controller offers a direct con-
trol of PIO outputs by single write access to PIO_ODSR (Output Data Status Register).Only bits
unmasked by PIO_OWSR (Output Write Status Register) are written. The mask bits in
PIO_OWSR are set by writing to PIO_OWER (Output Write Enable Register) and cleared by
writing to PIO_OWDR (Output Write Disable Register).
After reset, the synchronous data output is disabled on all the I/O lines as PIO_OWSR resets at
0x0.
29.5.6 Multi Drive Control (Open Drain)
Each I/O can be independently progr ammed in Open Drain by using the Multi Drive feature. This
feature permits several drivers to be connected on the I/O line which is driven low only by each
device. An ext ernal pull- up resist or (or ena bling of th e interna l one) is ge nerally requir ed to gua r-
antee a high level on the line.
445
6500D–ATARM–29-Feb-12
SAM3S
445
6500D–ATARM–29-Feb-12
SAM3S
The Multi Drive feature is controlled by PIO_MDER (Multi-driver Enable Register) and
PIO_MDDR (Multi-driver Disable Register). The Multi Drive can be selected whether the I/O line
is controlled by the PIO contro ller or assigne d to a peripher al function. PI O_MDSR (Multi-dr iver
Status Register) indicates the pins that are configured to support external drivers.
After reset, the Multi Drive feature is disabled on all pins, i.e. PIO_MDSR resets at value 0x0.
29.5.7 Output Line Timings
Figure 29-4 shows how the outputs are driven either by writing PIO_SODR or PIO_CODR, or by
directly writing PIO_ODSR. This last case is valid only if the corresponding bit in PIO_OWSR is
set. Figure 29-4 also shows when the feedback in PIO_PDSR is available.
Figure 29-4. Output Line Timings
29.5.8 Inputs The level on each I/O line can be read through PIO_ PDSR (Pin Data Status Register). This reg-
ister indicates the level of the I/O lines regardless of their configuration, whether uniquely as an
input or driven by the PIO controller or driven by a peripheral.
Reading the I/O line levels requires the clock of the PIO controller to be enabled, otherwise
PIO_PDSR reads the levels present on the I/ O line at the time the clock was disabled.
29.5.9 Input Glitch and Debouncing Filters
Optional input glit ch and debouncing filters are independently progr ammable on each I/O line.
The glitch filter can filter a glitch with a duration of less than 1/2 Master Clock (MCK) and the
debouncing filter can filte r a pulse of less than 1/2 Period of a Programm able Divided Slow
Clock.
The selection between glitch filtering or debounce filterin g is done by writing in the registers
PIO_IFSCDR (PIO Input Filter Slow Clock Dis able Register) and PIO_IFSCER (PIO Input Filter
Slow Clock Enable Register). Writing PIO_IFSCDR and PIO_IFSCER respectively, sets and
clears bits in PIO_IFSCSR.
The current selection status can be checked by reading the register PIO_IFSCSR (Input Filter
Slow Clock Status Register) .
If PIO_IFSCSR[i ] = 0: The g litch filt er can f ilter a glitch wit h a duration of less than 1/2 Period
of Master Clock.
2 cycles
APB Access
2 cycles
APB Access
MCK
Write PIO_SODR
Write PIO_ODSR at 1
PIO_ODSR
PIO_PDSR
Write PIO_CODR
Write PIO_ODSR at 0
446 6500D–ATARM–29-Feb-12
SAM3S
446 6500D–ATARM–29-Feb-12
SAM3S
If PIO_IFSCSR[i] = 1: The debouncing filter can filter a pulse with a duration of less than 1/2
Period of the Programmable Divided Slow Clock.
For the debouncing filt er, the Per iod of th e Divided Slow Clock is perf ormed by writing in the DIV
field of the PIO_SCDR (Slow Clock Divider Register)
Tdiv_slclk = ((DIV+1)*2).Tslow_clock
When the glitch or debouncing filter is enabled, a glitch or pulse with a duration of less than 1/2
Selected Clock Cycle (Selected Clock repre sents MCK or Divided Slow Clock depending on
PIO_IFSCDR and PIO_IFSCER programming) is automatically rejected, while a pulse with a
duration of 1 Selected Clock (MCK or Divided Slow Clock) cycle or more is accept ed. F or pulse
durations between 1/2 Selected Clock cycle and 1 Selecte d Clock cycle the pulse may or may
not be taken into account, depending on the precise timing of its occurrence. Thus for a pulse to
be visible it must exceed 1 Selected Clock cycle, whereas for a glitch to be reliably filtered out,
its duration mu st not exceed 1/2 Selected Clock cycle.
The filters also introduce some latencies, this is illustrated in Figure 29-5 an d Figure 29-6.
The glitch filters are controlled by the register set: PIO_IFER (Input Filter Enable Register),
PIO_IFDR (Input Filter Disable Register) and PIO_IFSR (Input Filter Status Register). Writing
PIO_IFER and PIO_IFDR respectively sets and clears bits in PIO_ IFSR. This last register
enables the glitch filter on the I/O lines.
When the glitch and/or debouncing filter is enabled, it does not modify the behavior of the inputs
on the peripher als. It acts o nly on the value r ead in PIO_PDSR and on the input change interrupt
detection. The glitch and debouncing filters require that the PIO Controller clock is enabled.
Figure 29-5. Input Glitch Filter Timing
MCK
Pin Level
PIO_PDSR
if PIO_IFSR = 0
PIO_PDSR
if PIO_IFSR = 1
1 cycle 1 cycle 1 cycle
up to 1.5 cycles
2 cycles
up to 2.5 cycles up to 2 cycles
1 cycle
1 cycle
PIO_IFCSR = 0
447
6500D–ATARM–29-Feb-12
SAM3S
447
6500D–ATARM–29-Feb-12
SAM3S
Figure 29-6. Input Debouncing Filter Timing
29.5.10 Input Edge/Lev el Interrupt
The PIO Controller can be programmed to generate an interrupt when it detects an edge or a
level on an I/O line. The Input Edge/Level Interrupt is controlled by writing PIO_IER (Interrupt
Enable Register) and PIO_IDR (Interrupt Disable Register), which respectively enable and dis-
able the input change interrupt by setting and clearing the corresponding bit in PIO_IMR
(Interrupt Mask Register). As Input change detection is possible only by comparing two succes-
sive samplings of the input of the I/O line, the PIO Controller clock must be enabled. The Input
Change Interrup t is available, regard less of the configu ration of the I/O line , i.e. conf igured as an
input only, controlled by the PIO Controller or assigned to a peripheral function.
By default, the interrupt can be generated at any time an edge is detected on the input.
Some additional Interrupt modes can be enab led/disabled by writing in the PIO_AIMER (Addi-
tional Interrupt Modes Enable Register) and PIO_AIMDR (Additional Interrupt Modes Disable
Register). The current state of this selection can be read through the PIO_AIM MR (Additional
Interrupt Modes Mask Register)
These Additional Modes are:
Rising Edge Detection
Falling Edge Detection
Low Level Detection
High Level Detection
In order to select an Additional Interrupt Mode:
The type of e v ent detect ion (Edge or Le v e l) must be sele cted b y writing in the set of register s;
PIO_ESR (Edge Select Register) and PIO_LSR (Level Select Register) which enable
respectively, the Edge and Leve l Detect ion. The current status of this selection is accessible
through the PIO_EL SR (Edge/Level Status Register).
The Polarity of the event detection (Rising/Falling Edge or High/Low Level) m ust be selected
by writing in the set of registers; PIO_FELLSR (F alling Edge /Low Level Select Register) and
PIO_REHLSR (Rising Edge/High Level Select Register) which allow to select Falling or
Rising Edge (if Edge is selected in the PIO_ELSR) Edge or High or Low Level Detection (if
Divided Slow Clock
Pin Level
PIO_PDSR
if PIO_IFSR = 0
PIO_PDSR
if PIO_IFSR = 1
1 cycle Tdiv_slclk
up to 1.5 cycles Tdiv_slclk
1 cycle Tdiv_slclk
up to 2 cycles Tmck up to 2 cycles Tmck
up to 2 cycles Tmck
up to 2 cycles Tmck
up to 1.5 cycles Tdiv_slclk
PIO_IFCSR = 1
448 6500D–ATARM–29-Feb-12
SAM3S
448 6500D–ATARM–29-Feb-12
SAM3S
Le v el is selected in the PI O_ELSR). The current sta tus of this selection is accessib le through
the PIO_FRLHSR (Fall/Rise - Low/High Status Register).
When an input Edge or Level is detected on an I/O line, the corresponding bit in PIO_ISR (Inter-
rupt Status Register) is set. If the corresponding bit in PIO_IMR is set, the PIO Controller
interrupt line is asserted. The interrupt signals of the thirty-two channels are ORed-wired
together to generate a single interrupt signal to the Nested Vector Interrupt Cont roller (NVIC).
When the software re ads PIO_ISR, all t he interrupts ar e automaticall y cleared. T his signifies that
all the interrupts that are pending when PIO_ISR is read must be handled. When an Interrupt is
enabled on a “Level”, the interrupt is generated as long as the interrupt source is not cleared,
even if some read accesses in PIO_ISR are performed.
Figure 29-7. Event Detector on Input Lines (Figure represents line 0)
29.5.10.1 Example If generating an interrupt is required on the following:
Rising edge on PIO line 0
Falling edge on PIO line 1
Rising edge on PIO line 2
Low Level on PIO line 3
High Level on PIO line 4
High Level on PIO line 5
Falling edge on PIO line 6
Rising edge on PIO line 7
Any edge on the other lines
The configuratio n required is described below.
Event Detector
0
1
0
1
1
0
0
1
Edge
Detector
Falling Edge
Detector
Rising Edge
Detector
PIO_FELLSR[0]
PIO_FRLHSR[0]
PIO_REHLSR[0]
Low Level
Detector
High Level
Detector
PIO_ESR[0]
PIO_ELSR[0]
PIO_LSR[0]
PIO_AIMDR[0]
PIO_AIMMR[0]
PIO_AIMER[0]
Event detection on line 0
Resynchronized input on line 0
449
6500D–ATARM–29-Feb-12
SAM3S
449
6500D–ATARM–29-Feb-12
SAM3S
29.5.10.2 Interrupt Mode Configuration
All the interrupt sources are enabled by writing 32’hFFFF_FFFF in PIO_IER.
Then the Additional Interrupt Mode is enabled for line 0 to 7 by writing 32’h0000_00FF in
PIO_AIMER.
29.5.10.3 Edge or Level Detection Con figuration
Lines 3, 4 and 5 are configured in Level detection by writing 32’h0000_0038 in PIO_LSR.
The other lines are co nfigured in Edge d etection by defau lt, if they have not been previously con-
figured. Otherwise, lines 0, 1, 2, 6 and 7 must be configured in Edge detection by writing
32’h0000_00C7 in PIO_ESR.
29.5.10.4 Falling/Rising Edge or Low/High Level Detection Configuration.
Lines 0, 2, 4, 5 and 7 are configured in Rising Edge or High Level detection by writing
32’h0000_00B5 in PIO_REHLSR.
The other lines are configured in Falling Edge or Low Level det ection by default, if they have not
been previously configured. Otherwise, lines 1, 3 and 6 must be configured in Falling Edge/Low
Level detection by writing 32’h0000_004A in PIO_FELLSR.
Figure 29-8. Input Change Interrupt Timings if there are no Additional Interrupt Modes
29.5.11 I/O Lines Lock When an I/O line is co nt rolled by a pe rip heral (pa rti cularly t he Pulse Wid th Mo du lat ion Cont ro ller
PWM), it can become locked by the action of this peripheral via an input of the PIO controller.
When an I/O line is locked, the write of the corresponding bit in the registers PIO_PER,
PIO_PDR, PIO_MDER, PIO_MDDR, PIO_PUDR, PIO_PUER, PIO_ABCDSR1 and
PIO_ABCDSR2 is discarded in order to lock its configuration. The user can know at anytime
which I/O line is locked by reading the PIO Lock Status register PIO_LOCKSR. Once an I/O line
is locked, the only way to unlock it is to apply a hardware reset to the PIO Controller.
29.5.12 Programmable Schmitt Trigger
It is possible to configure each input for the Schmitt Trigger. By default the Schmitt trigger is
active. Disabling the Schmitt Trigger is requeste d when using the QTouch Library.
MCK
Pin Level
Read PIO_ISR APB Access
PIO_ISR
APB Access
450 6500D–ATARM–29-Feb-12
SAM3S
450 6500D–ATARM–29-Feb-12
SAM3S
29.5.13 Parallel Capt ure Mode
29.5.13.1 Overview The PIO Controller integrates an interface able to read data from a CMOS digital image sensor,
a high-speed parallel ADC, a DSP syn chronous port in synchronous mode , etc.... For better
understanding and to ease reading, the following descriptio n uses an exa mple with a CMOS d ig-
ital image sensor.
29.5.13.2 Functional Description
The CMOS digital image sensor provides a sensor clock, an 8-bit data synchronous with the
sensor clock, and two data enables which are synchronous with the sensor clock too.
Figure 29-9. PIO controller connection with CMOS digital image sensor
As soon as the parallel capture mo de is enabled by writing the PCEN bit at 1 in PIO_PCMR
(“PIO Parallel Capture Mode Register” ), the I/O lines connected to the sensor clock (PIO DC-
CLK), the sensor data (PIODC[7:0]) and the sensor data enable signals (PIODCEN1 and
PIODCEN2) are configured automatically as INPUTS. To know which I/O lines are associated
with the sensor clock, the sensor data and the sensor data enable signals, refer to the I/O mult i-
plexing table(s) in the product datasheet.
Once it is enabled, the parallel capture mode samples the data at rising edge of the sensor clock
and resynchr on ize s it with th e PIO clock do m ain .
The size of the data which can be read in PIO_PCRHR (“PIO Parallel Capture Reception H old-
ing Register” ) can be programmed thanks to the DSIZE field in PIO_PCMR. If this data size is
larger than 8 bits, then the parallel capture mode samples several sensor data to form a concat-
enated data of size defined by DSIZE. Then this data is stored in PIO_PCRHR and the flag
DRDY is set to 1 in PIO_PCISR (“PIO Parallel Capture Interrupt Status Register” ).
The parallel capture mode can be associated with a reception channel of the Peripheral DMA
Controller (PDC). This enables performing reception transfer from parallel capture mode to a
memory buffer without an y intervention from the CPU. Transfe r status signals from Pare avail-
able in PIO_PCISR through the flags ENDRX and RXBUFF (see “PIO Paralle l Ca pt ur e In te rr up t
Status Register” on page 492).
The parallel capture mode can take into account the sensor data enable signals or not. If the bit
ALWYS is set to 0 in PIO_PCMR, the parallel capture mode samples the sensor data at the ris-
ing edge of the sensor clock only if both data enable signals are act ive (at 1). If the bit ALWYS is
PIO Controller
Parallel Capture
Mode CMOS Digital
Image Sensor
PDC
Data
Status
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
PCLK
DATA[7:0]
VSYNC
HSYNC
451
6500D–ATARM–29-Feb-12
SAM3S
451
6500D–ATARM–29-Feb-12
SAM3S
set to 1, the parallel capture mo de samples the sensor data at the r ising edge of the sensor
clock wh ichever the data enable signals are.
The parallel capture mode can sample the sensor data only one time out of two. This is particu-
larly useful when t he user wants only to sa mple the luminance Y of a CMOS digita l image sensor
which outputs a YUV422 data stream. If the HALFS bit is set to 0 in PIO_PCMR, the parallel
capture mode samples the sensor data in t he conditions described abo v e . If the HAL FS bit is set
to 1 in PIO_PCMR, the parallel capture mode samples the sensor data in the conditions
described above, but only one time out of two. Depending on the FRSTS bit in PIO_PCMR, th e
sensor can either sample the even or odd sensor data. If sensor data are numbered in the order
that they are received with an index from 0 to n, if FRSTS = 0 then only data with an even index
are sampled, if FRSTS = 1 then only data with an odd index are sampled. If data is ready in
PIO_PCRHR and it is not read before a new data is stored in PIO_PCRHR, then an overrun
error occurs. The previous data is lost and the OVRE flag in PIO_PCISR is set to 1. This flag is
automatically reset when PIO_PCISR is read (reset after read).
The flags DRDY, OVRE, ENDRX and RXBUFF can be a source of the PIO interrupt.
Figure 29-10. Parallel Capture Mode Waveforms (DSIZE = 2, ALWYS = 0, HALFS = 0)
0x23 0x34 0x450x12 0x56 0x67 0x78 0x89
0x5645_3423
MCK
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
DRDY (PIO_PCISR)
RDATA (PIO_PCRHR)
0x01
Read of PIO_PCISR
452 6500D–ATARM–29-Feb-12
SAM3S
452 6500D–ATARM–29-Feb-12
SAM3S
Figure 29-11. Parallel Capture Mode Waveforms (DSIZE=2, ALWYS=1, HALFS=0)
Figure 29-12. Parallel Capture Mode Waveforms (DSIZE=2, ALWYS=0, HALFS=1, FR STS=0)
0x23 0x34 0x450x12 0x56 0x67 0x78 0x89
0x3423_1201
MCK
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
DRDY (PIO_PCISR)
RDATA (PIO_PCRHR)
0x01
Read of PIO_PCISR
0x7867_5645
0x23 0x34 0x450x12 0x56 0x67 0x78 0x89
0x6745_2301
MCK
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
DRDY (PIO_PCISR)
RDATA (PIO_PCRHR)
0x01
Read of PIO_PCISR
453
6500D–ATARM–29-Feb-12
SAM3S
453
6500D–ATARM–29-Feb-12
SAM3S
Figure 29-13. Parallel Capture Mode Waveforms (DSIZE=2, ALWYS=0, HALFS=1, FR STS=1)
29.5.13.3 Restrictions Configuration fiel ds DSIZE, ALWYS, HALFS and FRSTS in PIO_PCMR (“PIO Parallel
Capture Mode Regi ster” ) can be changed ONLY if the parallel capture mode is disabled at
this time (PCEN = 0 in PIO_PCMR ).
Frequ en cy of PIO con tr olle r clock must be str ictly super io r to 2 tim es the fr eq ue n cy of th e
clock of the device which generates the parallel data.
29.5.13.4 Programming Sequence Without PDC
1. Write PIO_ PCI DR and PIO _PCIER (“PIO Parallel Capture Interrupt Disable Register”
and “PIO Parallel Capture Interrupt Enable Register” ) in order to configure the parallel
capture mode interrupt mask.
2. Write PIO_PCMR (“PIO Parallel Capture Mode Register” ) to set the fields DSIZE,
ALWYS, HALFS and FRSTS in order to conf igure the parallel captu re mode WITHOUT
enabling the parallel captur e mo d e.
3. Write PIO_PCMR to set the PCEN bit to 1 in order to enable the parallel capture mod e
WITHOUT changing the previous configuration.
4. Wait for a data ready by polling the DRDY flag in PIO_PCISR (“PIO Parallel Capture
Interrupt Status Register” ) or by waiting the corresponding interrupt.
5. Check OVRE flag in PIO_PCISR.
6. Read the data in PIO_PCRHR (“PIO Parallel Capture Reception Holding Register” ).
7. If new data are expected go to step 4.
8. Write PIO_PCMR to set th e PCEN bit to 0 in order to disab l e the pa rallel capt ure mode
WITHOUT changing the previous configuration.
With PDC
1. Write PIO_ PCI DR and PIO _PCIER (“PIO Parallel Capture Interrupt Disable Register”
and “PIO Parallel Capture Interrupt Enable Register” ) in order to configure the parallel
capture mode interrupt mask.
2. Configure PDC transfer in PDC registers.
0x23 0x34 0x450x12 0x56 0x67 0x78 0x89
0x7856_3412
MCK
PIODCCLK
PIODC[7:0]
PIODCEN1
PIODCEN2
DRDY (PIO_PCISR)
RDATA (PIO_PCRHR)
0x01
Read of PIO_PCISR
454 6500D–ATARM–29-Feb-12
SAM3S
454 6500D–ATARM–29-Feb-12
SAM3S
3. Write PIO_PCMR (“PIO Parallel Capture Mode Register” ) to set the fields DSIZE,
ALWYS, HALFS and FRSTS in order to conf igure the parallel captu re mode WITHOUT
enabling the parallel captur e mo d e.
4. Write PIO_PCMR to set PCEN bit to 1 in order to enable the parallel capture mode
WITHOUT changing the previous configuration.
5. Wait for end of transfer by waiting the interrupt corresponding the flag ENDRX in
PIO_PCISR (“PIO Parallel Capture Interrupt Status Register” ).
6. Check OVRE flag in PIO_PCISR.
7. If a new buffer transfer is expected go to step 5.
8. Write PIO_PCMR to set th e PCEN bit to 0 in order to disab l e the pa rallel capt ure mode
WITHOUT changing the previous configuration.
29.5.14 Write Protection Registers
To prevent any single software error that may corrupt PIO behavior, certain address spaces can
be write-protected by setting the WPEN bit in the “PIO Write Protect Mode Register”
(PIO_WPMR).
If a write access to the protected registers is detected, then the WPVS flag in the PIO Write Pro-
tect Status Register (PIO_WPSR) is set and the field WPVSRC indicates in which register the
write access has been attempted.
The WPVS flag is reset by writing the PIO Write Protect Mode Register (PIO_WPMR) with the
appropriate access key, WPKEY.
The protected registers are:
“PIO Enable Re gist er” on pag e 459
“PIO Disable Register” on page 459
“PIO Output Enable Register” on page 460
“PIO Output Disable Register” on page 461
“PIO Input Filter Enable Register” on page 462
“PIO Input Filt er Disab le Re gister” on page 462
“PIO Multi-driver Enable Register” on page 467
“PIO Multi-driver Disable Register” on page 468
“PIO Pull Up Disable Register” on page 469
“PIO Pull Up Enable Register” on page 469
“PIO Peripheral ABCD Select Register 1” on page 471
“PIO Peripheral ABCD Select Register 2” on page 472
“PIO Output Write Enable Register” on page 477
“PIO Output Write Disable Register” on page 477
“PIO Pad Pull Down Disable Register” on page 475
“PIO Pad Pull Down Status Register” on page 476
“PIO Parallel Capture Mode Register” on page 488
455
6500D–ATARM–29-Feb-12
SAM3S
455
6500D–ATARM–29-Feb-12
SAM3S
29.6 I/O Lines Programming Example
The programing example as shown in Table 29-2 below is used to obtain the following
configuration.
4-bit output po rt on I/O lines 0 to 3, (should be written in a sin gle write operation), ope n-drain,
with pull-up resistor
Four output signals on I/O lines 4 to 7 (to drive LEDs for example), driven high and low, no
pull-up resistor, no pull-down resistor
Four input signals on I/O lines 8 to 11 (to read push-button states for example), with pull-up
resistors, glitch filters and input change interrupts
F our input signals on I/O line 1 2 to 15 to r ead an external device st atus (polle d, thus no inp ut
change interrupt), no pull-up resistor, no glitch filter
I/O lines 16 to 19 assigned to peripheral A functions with pull-up resistor
I/O lines 20 to 23 assigned to peripheral B functions with pull-down resist or
I/O line 24 to 27 assigned to peripheral C with Inpu t Change Interrupt, no pull- up resistor and
no pull-down resistor
I/O line 28 to 31 assigne d to peripheral D, no pull-up resistor and no pull-down resistor
Table 29-2. Programming Example
Register Value to be Written
PIO_PER 0x0000_FFFF
PIO_PDR 0xFFFF_0000
PIO_OER 0x0000_00FF
PIO_ODR 0xFFFF_FF00
PIO_IFER 0x0000_0F00
PIO_IFDR 0xFFFF_F0FF
PIO_SODR 0x0000_0000
PIO_CODR 0x0FFF_FFFF
PIO_IER 0x0F00_0F00
PIO_IDR 0xF0FF_F0FF
PIO_MDER 0x0000_000F
PIO_MDDR 0xFFFF_FFF0
PIO_PUDR 0xFFF0_00F0
PIO_PUER 0x000F_FF0F
PIO_PPDDR 0xFF0F_FFFF
PIO_PPDER 0x00F0_0000
PIO_ABCDSR1 0xF0F0_0000
PIO_ABCDSR2 0xFF00_0000
PIO_OWER 0x0000_000F
PIO_OWDR 0x0FFF_ FFF0
456 6500D–ATARM–29-Feb-12
SAM3S
456 6500D–ATARM–29-Feb-12
SAM3S
29.7 Parallel Input/Output Controller (PIO) User Interface
Each I/O line controlled by the PIO Controller is associated with a bit in each of the PIO Control-
ler User Interface reg isters. Each register is 32 bits wide. If a parallel I/O lin e is not defined,
writing to the corr esponding bits ha s no effect. Undefined b its read zer o. If the I/ O line is not mul-
tiplexed with any peripheral, the I/O line is controlled by the PIO Controller and PIO_PSR returns
1 systematically.
Table 29-3. Register Mapping
Offset Register Name Access Reset
0x0000 PIO Enable Register PIO_PER Write-only
0x0004 PIO Disable Register PIO_PDR Write-only
0x0008 PIO Status Register PIO_PSR Read-only (1)
0x000C Reserved
0x0010 Output Enable Register PIO_OER Write-only
0x0014 Output Disable Register PIO_ODR Wr ite-only
0x0018 Output Status Register PIO_OSR Read-only 0x0000 0000
0x001C Reserved
0x0020 Glitch Input Filter Enable Register PIO_IFER Write-only
0x0024 Glitch Input Filter Disable Register PIO_IFDR Write-only
0x0028 Glitch Input Filter Status Register PIO_IFSR Read-only 0x0000 0000
0x002C Reserved
0x0030 Set Output Data Register PIO_SODR Write-only
0x0034 Clear Output Data Register PIO_CODR Write-only
0x0038 Output Data Status Register PIO_ODSR Read-only
or(2)
Read-write
0x003C Pin Data Statu s Re gister PIO_PDSR Read-only (3)
0x0040 Interrupt Enable Register PIO_IER Write-only
0x0044 Interrupt Disable Register PIO_IDR Write-only
0x0048 Interrupt Mask Register PIO_IMR Read-only 0x00000000
0x004C Interrupt Status Register(4) PIO_ISR Read-only 0x00000000
0x0050 Multi-driver Enab le Register PIO_MDER Write-only
0x0054 Multi-driver Disab le Register PIO_MDDR Write-only
0x0058 Multi-driver Status Register PIO_MDSR Read-only 0x00000000
0x005C Reserved
0x0060 Pull-up Disable Register PIO_PUDR Write-only
0x0064 Pull-up Enable Register PIO_PUER Wr ite-only
0x0068 Pad Pull-up Status Register PIO_PUSR Read-only (1)
0x006C Reserv ed
457
6500D–ATARM–29-Feb-12
SAM3S
457
6500D–ATARM–29-Feb-12
SAM3S
0x0070 Peripheral Select Register 1 PIO_ABCDSR1 Read-write 0x00000000
0x0074 Peripheral Select Register 2 PIO_ABCDSR2 Read-write 0x00000000
0x0078
to
0x007C Reserved
0x0080 Input Filter Slow Clock Disab le Register PIO_IFSCDR Write-only
0x0084 Input Filter Slow Clock Enable Register PIO_IFSCER Write-only
0x0088 Input Filter Slow Clock Status Register PIO_IFSCSR Read-only 0x00000000
0x008C Slow Clock Divider Debouncing Register PIO_SCDR Read-write 0x00000000
0x0090 Pad Pull-down Disable Register PIO_PPDDR Write-only
0x0094 Pad Pull-down Enable Register PIO_PPDER Write-only
0x0098 Pad Pull-down Status Register PIO_PPDSR Read-only (1)
0x009C Reserv ed
0x00A0 Output Write Enable PIO_OWER Write-only
0x00A4 Output Write Disable PIO_OWDR Write-only
0x00A8 Output Write Status Register PIO_OWSR Read-only 0x00000000
0x00AC Reserved
0x00B0 Additional Interrup t Modes Enable Register PIO_AIMER Write-only
0x00B4 Additional Interrup t Modes Disables Register PIO_AIMDR Write-only
0x00B8 Additional Interrupt Modes Mask Register PIO_AIMMR Read-only 0x00000000
0x00BC Reserved
0x00C0 Edge Select Register PIO_ESR Write-only
0x00C4 Level Sel ect Register PIO_LSR Write-only
0x00C8 Edge/Level Status Register PIO_ELSR Read-only 0x00000000
0x00CC Reserved
0x00D0 Falling Edge/Low Level Select Register PIO_FELLSR Write-only
0x00D4 Rising Edge/ High Level Select Register PIO_REHLSR Write-only
0x00D8 Fall/Rise - Low/High Status Register PIO_FRLHSR Read-only 0x00000000
0x00DC Reserved
0x00E0 Lock Status PIO_LOCKSR Read-only 0x00000000
0x00E4 Write Protect Mode Register PIO_WPMR Read-write 0x0
0x00E8 Write Protect Status Register PIO_WPSR Read-only 0x0
0x00EC
to
0x00F8 Reserved
0x0100 Schmitt Trigger Register PIO_SCHMITT Read-write 0x00000000
0x0104-
0x010C Reserved
0x0110 Reserved
0x0114-
0x011C Reserved
Table 29-3. Register Mapping (Continued)
Offset Register Name Access Reset
458 6500D–ATARM–29-Feb-12
SAM3S
458 6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. Reset value depends on the product implementation.
2. PIO_ODSR is Read-only or Read/Write depending on PIO_OWSR I/O lines.
3. Reset value of PIO_PDSR depends on the level of the I/O lines. Reading the I/O line levels requires the clock of the PIO
Controller to be enabled, otherwise PIO_PDSR reads the levels present on the I/O line at the time the clock was disabled.
4. PIO_ISR is reset at 0x0. However, the first read of the register may read a different value as input changes may have
occurred.
Note: if an offset is not listed in the table it must be considered as reser ved.
0x150 Parallel Capture Mode Register PIO_PCMR Read-write 0x00000000
0x154 Parallel Capture Interrupt Enable Register PIO_PCIER Write-only
0x158 Parallel Capture Interrupt Disable Register PIO_PCIDR Write-only
0x15C Parallel Capture Interrupt Mask Register PIO_PCIMR Read-only 0x00000000
0x160 Parallel Capture Interrupt Status Register PIO_PCISR Read-only 0x00000000
0x164 Parallel Capture Reception Holding Register PIO_PCRHR Read-only 0x00000000
0x0168
to
0x018C Reserved for PDC Registers
Table 29-3. Register Mapping (Continued)
Offset Register Name Access Reset
459
6500D–ATARM–29-Feb-12
SAM3S
459
6500D–ATARM–29-Feb-12
SAM3S
29.7.1 PIO Enable Register
Name: PIO_PER
Address: 0x400E0E00 (PIOA), 0x400E1000 (PIOB), 0x400E1200 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: PIO Enable
0: No effect.
1: Enables the PIO to control the corresponding pin (disables peripheral control of the pin).
29.7.2 PIO Disable Register
Name: PIO_PDR
Address: 0x400E0E04 (PIOA), 0x400E1004 (PIOB), 0x400E1204 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: PIO Disable
0: No effect.
1: Disables the PIO from controlling the corresponding pin (enables peripheral control of the pin).
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
460 6500D–ATARM–29-Feb-12
SAM3S
460 6500D–ATARM–29-Feb-12
SAM3S
29.7.3 PIO Status Register
Name: PIO_PSR
Address: 0x400E0E08 (PIOA), 0x400E1008 (PIOB), 0x400E1208 (PIOC)
Access: Read-only
P0-P31: PIO Status
0: PIO is inactive on the corresponding I/O line (peripheral is active ).
1: PIO is active on the corresponding I/O line (peripheral is inactive).
29.7.4 PIO Output Enable Register
Name: PIO_OER
Address: 0x400E0E10 (PIOA), 0x400E1010 (PIOB), 0x400E1210 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Output Enable
0: No effect.
1: Enables the output on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
461
6500D–ATARM–29-Feb-12
SAM3S
461
6500D–ATARM–29-Feb-12
SAM3S
29.7.5 PIO Output Disable Register
Name: PIO_ODR
Address: 0x400E0E14 (PIOA), 0x400E1014 (PIOB), 0x400E1214 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Output Disable
0: No effect.
1: Disables the output on the I/O line.
29.7.6 PIO Output Status Register
Name: PIO_OSR
Address: 0x400E0E18 (PIOA), 0x400E1018 (PIOB), 0x400E1218 (PIOC)
Access: Read-only
P0-P31: Output Status
0: The I/O line is a pure input.
1: The I/O line is enabled in output.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
462 6500D–ATARM–29-Feb-12
SAM3S
462 6500D–ATARM–29-Feb-12
SAM3S
29.7.7 PIO Input Filter Enable Register
Name: PIO_IFER
Address: 0x400E0E20 (PIOA), 0x400E1020 (PIOB), 0x400E1220 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Input Filter Enable
0: No effect.
1: Enables the input glitch filter on the I/O line .
29.7.8 PIO Input Filter Disable Register
Name: PIO_IFDR
Address: 0x400E0E24 (PIOA), 0x400E1024 (PIOB), 0x400E1224 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Input Filter Disable
0: No effect.
1: Disables the input glitch filter on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
463
6500D–ATARM–29-Feb-12
SAM3S
463
6500D–ATARM–29-Feb-12
SAM3S
29.7.9 PIO Input Filter Status Register
Name: PIO_IFSR
Address: 0x400E0E28 (PIOA), 0x400E1028 (PIOB), 0x400E1228 (PIOC)
Access: Read-only
P0-P31: Input Filer Status
0: The input glitch filter is disabled on the I/O line.
1: The input glitch filter is enabled on the I/O line .
29.7.10 PIO Set Output Data Register
Name: PIO_SODR
Address: 0x400E0E30 (PIOA), 0x400E1030 (PIOB), 0x400E1230 (PIOC)
Access: Write-only
P0-P31: Set Output Data
0: No effect.
1: Sets the data to be driven on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
464 6500D–ATARM–29-Feb-12
SAM3S
464 6500D–ATARM–29-Feb-12
SAM3S
29.7.11 PIO Clear Output Data Register
Name: PIO_CODR
Address: 0x400E0E34 (PIOA), 0x400E1034 (PIOB), 0x400E1234 (PIOC)
Access: Write-only
P0-P31: Clear Output Data
0: No effect.
1: Clears the data to be driven on the I/O line.
29.7.12 PIO Output Data Status Register
Name: PIO_ODSR
Address: 0x400E0E38 (PIOA), 0x400E1038 (PIOB), 0x400E1238 (PIOC)
Access: Read-only or Read-write
P0-P31: Output Data Status
0: The data to be driven on the I/O line is 0.
1: The data to be driven on the I/O line is 1.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
465
6500D–ATARM–29-Feb-12
SAM3S
465
6500D–ATARM–29-Feb-12
SAM3S
29.7.13 PIO Pin Data Status Register
Name: PIO_PDSR
Address: 0x400E0E3C (PIOA), 0x400E103C (PIOB), 0x400E123C (PIOC)
Access: Read-only
P0-P31: Output Data Status
0: The I/O line is at level 0.
1: The I/O line is at level 1.
29.7.14 PIO Interrupt Enable Register
Name: PIO_IER
Address: 0x400E0E40 (PIOA), 0x400E1040 (PIOB), 0x400E1240 (PIOC)
Access: Write-only
P0-P31: Input Change Interrupt Enable
0: No effect.
1: Enables the Input Change Interrupt on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
466 6500D–ATARM–29-Feb-12
SAM3S
466 6500D–ATARM–29-Feb-12
SAM3S
29.7.15 PIO Interrupt Disable Register
Name: PIO_IDR
Address: 0x400E0E44 (PIOA), 0x400E1044 (PIOB), 0x400E1244 (PIOC)
Access: Write-only
P0-P31: Input Change Interrupt Disable
0: No effect.
1: Disables the Input Change Interrupt on the I/O line.
29.7.16 PIO Interrupt Mask Register
Name: PIO_IMR
Address: 0x400E0E48 (PIOA), 0x400E1048 (PIOB), 0x400E1248 (PIOC)
Access: Read-only
P0-P31: Input Change Interrupt Mask
0: Input Change Interrupt is disabled on the I/O line.
1: Input Change Interrupt is enabled on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
467
6500D–ATARM–29-Feb-12
SAM3S
467
6500D–ATARM–29-Feb-12
SAM3S
29.7.17 PIO Interrupt Status Register
Name: PIO_ISR
Address: 0x400E0E4C (PIOA), 0x400E104C (PIOB), 0x400E124C (PIOC)
Access: Read-only
P0-P31: Input Change Interrupt Status
0: No Input Change has been detected on the I/O line since PIO_ISR was last read or since reset.
1: At least one Input Change has been detected on the I/O line since PIO_ISR was last read or since reset.
29.7.18 PIO Multi-driver Enable Register
Name: PIO_MDER
Address: 0x400E0E50 (PIOA), 0x400E1050 (PIOB), 0x400E1250 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Multi Drive Enable.
0: No effect.
1: Enables Multi Drive on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
468 6500D–ATARM–29-Feb-12
SAM3S
468 6500D–ATARM–29-Feb-12
SAM3S
29.7.19 PIO Mult i-driv e r Disable Re gi st er
Name: PIO_MDDR
Address: 0x400E0E54 (PIOA), 0x400E1054 (PIOB), 0x400E1254 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Multi Drive Disable.
0: No effect.
1: Disables Multi Drive on the I/O line.
29.7.20 PIO Multi-driver Status Register
Name: PIO_MDSR
Address: 0x400E0E58 (PIOA), 0x400E1058 (PIOB), 0x400E1258 (PIOC)
Access: Read-only
P0-P31: Multi Drive Status.
0: The Multi Drive is disabled on the I/O line. The pin is driven at high and low level.
1: The Multi Drive is enabled on the I/O line. The pin is driven at low level only.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
469
6500D–ATARM–29-Feb-12
SAM3S
469
6500D–ATARM–29-Feb-12
SAM3S
29.7.21 PIO Pull Up Disable Register
Name: PIO_PUDR
Address: 0x400E0E60 (PIOA), 0x400E1060 (PIOB), 0x400E1260 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Pull Up Disable.
0: No effect.
1: Disables the pull up resistor on the I/O line.
29.7.22 PIO Pull Up Enable Register
Name: PIO_PUER
Address: 0x400E0E64 (PIOA), 0x400E1064 (PIOB), 0x400E1264 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Pull Up Enable.
0: No effect.
1: Enables the pull up resistor on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
470 6500D–ATARM–29-Feb-12
SAM3S
470 6500D–ATARM–29-Feb-12
SAM3S
29.7.23 PIO Pull Up Status Register
Name: PIO_PUSR
Address: 0x400E0E68 (PIOA), 0x400E1068 (PIOB), 0x400E1268 (PIOC)
Access: Read-only
P0-P31: Pull Up Status.
0: Pull Up resistor is enabled on the I/O line.
1: Pull Up resistor is disabled on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
471
6500D–ATARM–29-Feb-12
SAM3S
471
6500D–ATARM–29-Feb-12
SAM3S
29.7.24 PIO Peripheral ABCD Select Register 1
Name: PIO_ABCDSR1
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Peripheral Select.
If the same bit is set to 0 in PIO_ABCDSR2:
0: Assigns the I/O line to th e Per iph e ra l A funct ion .
1: Assigns the I/O line to th e Per iph e ra l B funct ion .
If the same bit is set to 1 in PIO_ABCDSR2:
0: Assigns the I/O line to th e Per iph e ra l C func tion .
1: Assigns the I/O line to th e Per iph e ra l D func tion .
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
472 6500D–ATARM–29-Feb-12
SAM3S
472 6500D–ATARM–29-Feb-12
SAM3S
29.7.25 PIO Peripheral ABCD Select Register 2
Name: PIO_ABCDSR2
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Peripheral Select.
If the same bit is set to 0 in PIO_ABCDSR1:
0: Assigns the I/O line to th e Per iph e ra l A funct ion .
1: Assigns the I/O line to th e Per iph e ra l C func tion .
If the same bit is set to 1 in PIO_ABCDSR1:
0: Assigns the I/O line to th e Per iph e ra l B funct ion .
1: Assigns the I/O line to th e Per iph e ra l D func tion .
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
473
6500D–ATARM–29-Feb-12
SAM3S
473
6500D–ATARM–29-Feb-12
SAM3S
29.7.26 PIO Input Filter Slow Clock Disable Register
Name: PIO_IFSCDR
Address: 0x400E0E80 (PIOA), 0x400E1080 (PIOB), 0x400E1280 (PIOC)
Access: Write-only
P0-P31: PIO Clock Glitch Filtering Select.
0: No Effect.
1: The Glitch Filter is able to filter glitches with a duration < Tmck/2.
29.7.27 PIO Input Filter Slow Clock Enable Register
Name: PIO_IFSCER
Address: 0x400E0E84 (PIOA), 0x400E1084 (PIOB), 0x400E1284 (PIOC)
Access: Write-only
P0-P31: Debouncing Filtering Select.
0: No Effect.
1: The Debouncing Filter is able to filter pulses with a duration < Tdiv_slclk/2.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
474 6500D–ATARM–29-Feb-12
SAM3S
474 6500D–ATARM–29-Feb-12
SAM3S
29.7.28 PIO Input Filter Slow Clock Status Register
Name: PIO_IFSCSR
Address: 0x400E0E88 (PIOA), 0x400E1088 (PIOB), 0x400E1288 (PIOC)
Access: Read-only
P0-P31: Glitch or Debouncing Filter Selection Status
0: The Glitch Filter is able to filter glitches with a duration < Tmck2.
1: The Debouncing Filter is able to filter pulses with a duration < Tdiv_slclk/2.
29.7.29 PIO Slow Clock Divider Debouncing Register
Name: PIO_SCDR
Address: 0x400E0E8C (PIOA), 0x400E108C (PIOB), 0x400E128C (PIOC)
Access: Read-write
DIVx: Slow Clock Divider Selection for Debouncing
Tdiv_slclk = 2*(DIV+1)*Tslow_clock.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–– DIV
76543210
DIV
475
6500D–ATARM–29-Feb-12
SAM3S
475
6500D–ATARM–29-Feb-12
SAM3S
29.7.30 PIO Pad Pull Down Disable Register
Name: PIO_PPDDR
Address: 0x400E0E90 (PIOA), 0x400E1090 (PIOB), 0x400E1290 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Pull Down Disable.
0: No effect.
1: Disables the pull down resistor on the I/O line.
29.7.31 PIO Pad Pull Down Enable Register
Name: PIO_PPDER
Address: 0x400E0E94 (PIOA), 0x400E1094 (PIOB), 0x400E1294 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Pull Down Enable.
0: No effect.
1: Enables the pull down resistor on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
476 6500D–ATARM–29-Feb-12
SAM3S
476 6500D–ATARM–29-Feb-12
SAM3S
29.7.32 PIO Pad Pull Down Status Register
Name: PIO_PPDSR
Address: 0x400E0E98 (PIOA), 0x400E1098 (PIOB), 0x400E1298 (PIOC)
Access: Read-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Pull Down Status.
0: Pull Down resistor is enabled on the I/O line.
1: Pull Down resistor is disabled on the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
477
6500D–ATARM–29-Feb-12
SAM3S
477
6500D–ATARM–29-Feb-12
SAM3S
29.7.33 PIO Output Write Enable Register
Name: PIO_OWER
Address: 0x400E0EA0 (PIOA), 0x400E10A0 (PIOB), 0x400E12A0 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Output Write Enable.
0: No effect.
1: Enables writing PIO_ODSR for the I/O line.
29.7.34 PIO Output Write Disable Register
Name: PIO_OWDR
Address: 0x400E0EA4 (PIOA), 0x400E10A4 (PIOB), 0x400E12A4 (PIOC)
Access: Write-only
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
P0-P31: Output Write Disable.
0: No effect.
1: Disables writing PIO_ODSR for the I/O line.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
478 6500D–ATARM–29-Feb-12
SAM3S
478 6500D–ATARM–29-Feb-12
SAM3S
29.7.35 PIO Output Write Status Register
Name: PIO_OWSR
Address: 0x400E0EA8 (PIOA), 0x400E10A8 (PIOB), 0x400E12A8 (PIOC)
Access: Read-only
P0-P31: Output Write Status.
0: Writing PIO_ODSR does not affect the I/O line.
1: Writing PIO_ODSR affects the I/O line.
29.7.36 PIO Additional Interrupt Modes Enable Register
Name: PIO_AIMER
Address: 0x400E0EB0 (PIOA), 0x400E10B0 (PIOB), 0x400E12B0 (PIOC)
Access: Write-only
P0-P31: Additional Interrupt Modes Enable.
0: No effect.
1: The interrupt source is the event described in PIO_ELSR and PIO_FRLHSR.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
479
6500D–ATARM–29-Feb-12
SAM3S
479
6500D–ATARM–29-Feb-12
SAM3S
29.7.37 PIO Additional Interrupt Modes Disable Register
Name: PIO_AIMDR
Address: 0x400E0EB4 (PIOA), 0x400E10B4 (PIOB), 0x400E12B4 (PIOC)
Access: Write-only
P0-P31: Additional Interrupt Modes Disab le.
0: No effect.
1: The interrupt mode is set to the default interrupt mode (Both Edge detection).
29.7.38 PIO Additional Interrupt Modes Mask Register
Name: PIO_AIMMR
Address: 0x400E0EB8 (PIOA), 0x400E10B8 (PIOB), 0x400E12B8 (PIOC)
Access: Read-only
P0-P31: Peripheral CD Status.
0: The interr upt source is a Both Edge de tection event
1: The interrupt source is describe d by the registers PIO_ELSR and PIO_FRLHSR
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
480 6500D–ATARM–29-Feb-12
SAM3S
480 6500D–ATARM–29-Feb-12
SAM3S
29.7.39 PIO Edg e Select Regi st er
Name: PIO_ESR
Address: 0x400E0EC0 (PIOA), 0x400E10C0 (PIOB), 0x400E12C 0 (PIOC)
Access: Write-only
P0-P31: Edge Interrupt Selection.
0: No effect.
1: The interrupt source is an Edge detection event.
29.7.40 PIO Level Select Register
Name: PIO_LSR
Address: 0x400E0EC4 (PIOA), 0x400E10C4 (PIOB), 0x400E12C 4 (PIOC)
Access: Write-only
P0-P31: Level Interrupt Selection.
0: No effect.
1: The interrupt source is a Level detection event.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
481
6500D–ATARM–29-Feb-12
SAM3S
481
6500D–ATARM–29-Feb-12
SAM3S
29.7.41 PIO Edge/Level Status Register
Name: PIO_ELSR
Address: 0x400E0EC8 (PIOA), 0x400E10C8 (PIOB), 0x400E12C 8 (PIOC)
Access: Read-only
P0-P31: Edge/Level Interrupt source selection.
0: The interrupt source is an Edge detection event.
1: The interrupt source is a Level detection event.
29.7.42 PIO Falling Edge/Low Level Select Register
Name: PIO_FELLSR
Address: 0x400E0ED0 (PIOA), 0x400E10D0 (PIOB), 0x400E12D 0 (PIOC)
Access: Write-only
P0-P31: Falling Edge/Low Level Interrupt Selection.
0: No effect.
1: The interrupt source is set to a Falling Edge detection or Low Level detection event, depending on PIO_ELSR.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
482 6500D–ATARM–29-Feb-12
SAM3S
482 6500D–ATARM–29-Feb-12
SAM3S
29.7.43 PIO Rising Edge/High Level Select Register
Name: PIO_REHLSR
Address: 0x400E0ED4 (PIOA), 0x400E10D4 (PIOB), 0x400E12D 4 (PIOC)
Access: Write-only
P0-P31: Rising Edge /High Level Interrupt Selection.
0: No effect.
1: The interrupt source is set to a Rising Edge detection or High Level detection event, depending on PIO_ELSR.
29.7.44 PIO Fall/Rise - Low/High Status Register
Name: PIO_FRLHSR
Address: 0x400E0ED8 (PIOA), 0x400E10D8 (PIOB), 0x400E12D8 (PIOC)
Access: Read-only
P0-P31: Edge /Level Interrupt Source Selection.
0: The interrupt source is a Falling Edge detection (if PIO_ELSR = 0) or Low Level detection event (if PIO_ELSR = 1).
1: The interrupt source is a Rising Edge detection (if PIO_ELSR = 0) or High Level detection event (if PIO_ELSR = 1).
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
483
6500D–ATARM–29-Feb-12
SAM3S
483
6500D–ATARM–29-Feb-12
SAM3S
29.7.45 PIO Lock Status Register
Name: PIO_LOCKSR
Address: 0x400E0EE0 (PIOA), 0x400E10E0 (PIOB), 0x400E12E0 (PIOC)
Access: Read-only
P0-P31: Lock Status.
0: The I/O line is not locked.
1: The I/O line is locked.
31 30 29 28 27 26 25 24
P31 P30 P29 P28 P27 P26 P25 P24
23 22 21 20 19 18 17 16
P23 P22 P21 P20 P19 P18 P17 P16
15 14 13 12 11 10 9 8
P15 P14 P13 P12 P11 P10 P9 P8
76543210
P7 P6 P5 P4 P3 P2 P1 P0
484 6500D–ATARM–29-Feb-12
SAM3S
484 6500D–ATARM–29-Feb-12
SAM3S
29.7.46 PIO Write Protect Mode Register
Name: PIO_WPMR
Address: 0x400E0EE4 (PIOA), 0x400E10E4 (PIOB), 0x400E12E4 (PIOC)
Access: Read-write
Reset: See Table 29-3
For more infor mation on Write Protection Re gisters, refer to Section 29.7 ”Parallel Input/Output Controller (PIO) User
Interface”.
WPEN: Write Protect Enable
0: Disables the Write Protect if WPKEY corresponds to 0x50494F (“PIO” in ASCII).
1: Enables the Write Protect if WPKEY corresponds to 0x50494F (“PIO” in ASCII).
Protects the registers:
“PIO Enable Register” on page 459
“PIO Disable Register” on page 459
“PIO Output Enable Register” on page 460
“PIO Output Disab le Re gis te r” on pa ge 461
“PIO Input Filter Enable Register” on page 462
“PIO Input Filter Disable Register” on page 462
“PIO Multi-driver Enable Register” on page 467
“PIO Multi-driver Disable Register” on page 468
“PIO Pull Up Disable Register” on page 469
“PIO Pull Up Enable Register” on page 469
“PIO Peripheral ABCD Select Register 1” on page 471
“PIO Peripheral ABCD Select Register 2” on page 472
“PIO Output Write Enable Register” on page 477
“PIO Output Write Disable Register” on page 477
“PIO Pad Pull Down Disable Register” on page 475
“PIO Pad Pull Down Status Register” on page 476
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––
WPEN
485
6500D–ATARM–29-Feb-12
SAM3S
485
6500D–ATARM–29-Feb-12
SAM3S
“PIO Parallel Capture Mode Register” on page 488
WPKEY: Write Protect KEY
Should be written at value 0x50494F (“PIO” in ASCII). Writing any other value in this field aborts the write operation of the
WPEN bit. Always reads as 0.
486 6500D–ATARM–29-Feb-12
SAM3S
486 6500D–ATARM–29-Feb-12
SAM3S
29.7.47 PIO Write Protect Status Register
Name: PIO_WPSR
Address: 0x400E0EE8 (PIOA), 0x400E10E8 (PIOB), 0x400E12E8 (PIOC)
Access: Read-only
Reset: See Table 29-3
WPVS: Write Protect Violation Status
0: No Write Protect Violat ion has occurred since the last rea d of the PIO_WPSR register.
1: A Write Protect Violation has occ urred sin ce the la st read of the PIO_WPSR register. If this violation is an unauthorized
attempt to write a prot ected register, the associated violation is reported into field WPVSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Note: Reading PIO_WPSR automatically clears all fields.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
–––––––
WPVS
487
6500D–ATARM–29-Feb-12
SAM3S
487
6500D–ATARM–29-Feb-12
SAM3S
29.7.48 PIO Schmitt Trigge r Re gi st er
Name: PIO_SCHMITT
Address: 0x400E0F00 (PIOA), 0x400E1100 (PI OB), 0x400E1300 (PIOC)
Access: Read-write
Reset: See Figure 29-3
SCHMITTx [x=0..31]:
0: Schmitt Trigger is enabled.
1= Schmitt Trigger is disabled.
31 30 29 28 27 26 25 24
SCHMITT31 SCHMITT30 SCHMITT29 SCHMITT28 SCHMITT27 SCHMITT26 SCHMITT25 SCHMITT24
23 22 21 20 19 18 17 16
SCHMITT23 SCHMITT22 SCHMITT21 SCHMITT20 SCHMITT19 SCHMITT18 SCHMITT17 SCHMITT16
15 14 13 12 11 10 9 8
SCHMITT15 SCHMITT14 SCHMITT13 SCHMITT12 SCHMITT11 SCHMITT10 SCHMITT9 SCHMITT8
76543210
SCHMITT7 SCHMITT6 SCHMITT5 SCHMITT4 SCHMITT3 SCHMITT2 SCHMITT1 SCHMITT0
488 6500D–ATARM–29-Feb-12
SAM3S
488 6500D–ATARM–29-Feb-12
SAM3S
29.7.49 PIO P arallel Capture Mode Register
Name: PIO_PCMR
Address: 0x400E0F50 (PIOA), 0x400E1150 (PIOB), 0x400E1350 (PIOC)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “PIO Write Protect Mode Register” .
PCEN: Parallel Capture Mode Enable
0: The parallel capture mode is disabled.
1: The parallel capture mode is enabled.
DSIZE: Parallel Capture Mode Data Size
ALWYS: Parallel Capture Mode Always Sampling
0: The parallel capture mode samples the data when both data enables are active.
1: The parallel capture mode samples the data whatever the data enables are.
HALFS: Parallel Capture Mode Half Sampling
Independently from the ALWYS bit:
0: The parallel capture mode samples all the data.
1: The parallel capture mode samples the data only one time out of two.
FRSTS: Parallel Capture Mode Fi rst Sample
This bit is useful o nly if the HALFS b it is set to 1. If data are n umbered in t he order th at they are re ceived with an index fr om
0 to n:
0: Only data with an even index are sampled.
1: Only data with an odd index are sampled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––FRSTSHALFSALWYS
76543210
–– DSIZE –––
PCEN
Value Name Description
0 BYTE The reception data in the PIO_PCRHR register is a BYTE (8-bit)
1 HALF-WORD The reception data in the PIO_PCRHR register is a HALF-WORD (16-bit)
2 WORD Th e reception data in the PIO_PCRHR register is a WORD (32-bit)
3- Reserved
489
6500D–ATARM–29-Feb-12
SAM3S
489
6500D–ATARM–29-Feb-12
SAM3S
29.7.50 PIO Parallel Capture Interrupt Enable Register
Name: PIO_PCIER
Address: 0x400E0F54 (PIOA), 0x400E1154 (PIOB), 0x400E1354 (PIOC)
Access: Write-only
DRDY: Parallel Capture Mode Data Ready Interrup t Enable
OVRE: Parallel Capture Mode Overrun Error Interrupt Enable
ENDRX: End of Reception Transfer Interrupt Enable
RXBUFF: Reception Buffer Full Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––RXBUFFENDRXOVRE
DRDY
490 6500D–ATARM–29-Feb-12
SAM3S
490 6500D–ATARM–29-Feb-12
SAM3S
29.7.51 PIO Parallel Capture Interrupt Disable Re gister
Name: PIO_PCIDR
Address: 0x400E0F58 (PIOA), 0x400E1158 (PIOB), 0x400E1358 (PIOC)
Access: Write-only
DRDY: Parallel Capture Mode Data Ready Interrupt Disable
OVRE: Parallel Capture Mode Overrun Error Interrupt Disable
ENDRX: End of Reception Transfer Interrupt Disable
RXBUFF: Reception Buffer Full Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––RXBUFFENDRXOVRE
DRDY
491
6500D–ATARM–29-Feb-12
SAM3S
491
6500D–ATARM–29-Feb-12
SAM3S
29.7.52 PIO P arallel Capture Interrupt Mask Register
Name: PIO_PCIMR
Address: 0x400E0F5C (PIOA), 0x400E115C (PIOB), 0x400E135C (PIOC)
Access: Read-only
DRDY: Parallel Capture Mode Data Ready Interrupt Mask
OVRE: Parallel Capture Mode Overrun Error Interrupt Mask
ENDRX: End of Reception Transfer Interrupt Mask
RXBUFF: Reception Buffer Full Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––RXBUFFENDRXOVRE
DRDY
492 6500D–ATARM–29-Feb-12
SAM3S
492 6500D–ATARM–29-Feb-12
SAM3S
29.7.53 PIO Parallel Capture Interrupt Status Register
Name: PIO_PCISR
Address: 0x400E0F60 (PIOA), 0x400E1160 (PIOB), 0x400E1360 (PIOC)
Access: Read-only
DRDY: Parallel Capture Mode Data Ready
0: No new data is ready to be read since the last read of PIO_PCRHR.
1: A new data is ready to be read since the last read of PIO_PCRHR.
The DRDY flag is automatically reset when PIO_PCRHR is read or when the parallel capt ure mode is disabled.
OVRE: Parallel Capture Mode Overrun Error.
0: No overrun error occurred since the last read of this register.
1: At least one overrun error occurred since the last read of this register.
The OVRE flag is automatically reset when this register is r ea d or whe n th e pa ra llel ca pt ur e mo d e is disa ble d.
ENDRX: End of Reception Transfer.
0: The End of Transf er signal from the Reception PDC channel is inactive.
1: The End of Transf er signal from the Reception PDC channel is active.
RXBUFF: Reception Buffer Full
0: The signal Buffer Full from the Reception PDC channel is inactive.
1: The signal Buffer Full from the Reception PDC channel is active.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––RXBUFFENDRXOVRE
DRDY
493
6500D–ATARM–29-Feb-12
SAM3S
493
6500D–ATARM–29-Feb-12
SAM3S
29.7.54 PIO Parallel Capture Reception Holding Register
Name: PIO_PCRHR
Address: 0x400E0F64 (PIOA), 0x400E1164 (PIOB), 0x400E1364 (PIOC)
Access: Read-only
RDATA: Parallel Capture Mode Reception Data.
if DSIZE = 0 in PIO_PCMR, only the 8 LSBs of RDATA are useful.
if DSIZE = 1 in PIO_PCMR, only the 16 LSBs of RDATA are useful.
31 30 29 28 27 26 25 24
RDATA
23 22 21 20 19 18 17 16
RDATA
15 14 13 12 11 10 9 8
RDATA
76543210
RDATA
494 6500D–ATARM–29-Feb-12
SAM3S
494 6500D–ATARM–29-Feb-12
SAM3S
495
6500D–ATARM–29-Feb-12
SAM3S
495
6500D–ATARM–29-Feb-12
SAM3S
30. Synchronous Serial Controller (SSC)
30.1 Description The Atmel Synchronous Serial Controller (SSC) provides a synchronous communication link
with external devices. It supports many serial synchronous communication protocols generally
used in audio and telecom applications such as I2S, Short Frame Sync, Long Frame Sync, etc.
The SSC contains an in dependent receiver and transmitter and a com mon clock divider. The
receiver and the transmitter each interface with three signals: the TD/RD signal for data, th e
TK/RK signal for the clock and the TF/RF signal for the Frame Sync. The transfers can be pro-
grammed to star t au tom a tica lly or on diff er e nt ev en ts dete ct ed on th e Fr am e Sync sig nal .
The SSC’s high-level of programmability and its two dedicated PDC channels of up to 32 bits
permit a continuous high bit rate data transfer without processor intervention.
Featuring connection to two PDC channels, the SSC permits interfacing with low processor
overhead to the following:
CODEC’s in master or slave mode
DAC th rough dedicated serial interface, particularly I2S
Magnetic card reader
30.2 Embedded Characteristics
Provides Se rial Synchronous Comm unication Lin ks Used in Audio and Telecom Applications
Contains an Independent Receiver and Transmitter and a Common Clock Divider
Interfaced with Two PDC Channels (DM A Access) to Reduce Processor Overhead
Offers a Configurable Frame Sync and Data Length
Receiver and Transmitter Can be Programmed to Start Automatically or on Detection of
Different Events on the Frame Sync Signal
Receiv er and Transmitt er Include a Data Sign al, a Cloc k Signal and a Frame Synchronization
Signal
496 6500D–ATARM–29-Feb-12
SAM3S
496 6500D–ATARM–29-Feb-12
SAM3S
30.3 Block Diagram
Figure 30-1. Block Diagram
30.4 Application Block Diagram
Figure 30-2. Application Block Diagram
SSC Interface PIO
PDC
APB Bridge
MCK
System
Bus
Peripheral
Bus TF
TK
TD
RF
RK
RD
Interrupt Control
SSC Interrupt
PMC
Interrupt
Management
Power
Management Test
Management
SSC
Serial AUDIO
OS or RTOS Driver
Codec Frame
Management Line Interface
Time Slot
Management
497
6500D–ATARM–29-Feb-12
SAM3S
497
6500D–ATARM–29-Feb-12
SAM3S
30.5 Pin Name List
30.6 Product Dependencies
30.6.1 I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with PIO lines.
Before using the SSC receiver, the PIO controller must be configured to dedicate the SSC
receiver I/O lines to the SSC peripheral mode.
Before using the SSC tra nsmitter, the PIO controller mu st be configured to dedicat e the SSC
transmitter I/O lines to the SSC peripheral mode.
30.6.2 Power Management
The SSC is not continuously clocked. The SSC interface may be clocked through the Power
Management Controller (PMC), therefore the programmer must first configure the PMC to
enable the SSC clock.
30.6.3 Interrupt The SSC interface has an interrupt line connected to the Nested Vector Interrupt Controller
(NVIC). Handling interrupts requires programming the NVIC before configuring the SSC.
All SSC interrupts can be enabled/disabled configuring the SSC Interrupt mask register. Each
pending and unmasked SSC interrupt will assert the SSC interrupt line. The SSC interrupt ser-
vice routine can get the interrupt origin by reading the SSC interrupt status register.
Table 30-1. I/O Lines Description
Pin Name Pin Description Type
RF Receiver Frame Synchro Input/Output
RK Receiver Clock Input/Output
RD Receiver Data Input
TF Transmitter Frame Synchro Input/Output
TK Transmitter Clock Input/Output
TD Transmitter Data Output
Table 30-2. I/ O Lin es
Instance Signal I/O Line Peripheral
SSC RD PA18 A
SSC RF PA20 A
SSC RK PA19 A
SSC TD PA17 A
SSC TF PA15 A
SSC TK PA16 A
Table 30-3. Peripheral IDs
Instance ID
SSC 22
498 6500D–ATARM–29-Feb-12
SAM3S
498 6500D–ATARM–29-Feb-12
SAM3S
30.7 Functional Description
This chapter contains the functional description of the following: SSC Functional Block, Clock
Management, Data format, Start, Transmitter, Receiver and Frame Sync.
The receiver and transmitter operate separately. However, they can work synchronously by pro-
gramming the receiver to use the tr ansmit clock and/or to start a data t ransfer when tr ansmission
starts. Alternatively, this can be done by programming the transmitter to use the receive clock
and/or to start a data transf er when recept ion starts. The t ransmitter and the receiver can be pr o-
grammed to operate with the clock signals provided on either the TK or RK pins. This allows the
SSC to support many slave-mode data transfers. The maximum clock speed allowed on the TK
and RK pins is the master clock divided by 2.
Figure 30-3. SSC Functional Block Diagram
30.7.1 Clock Management
The transmitter clock can be generated by:
an external clock received on the TK I/O pad
the receiver clock
the internal clock divider
NVIC
Frame Sync
Controller
Clock Output
Controller
Data
Controller
Start
Selector
Start
Selector
RF
RXEN
RC0R
TX Start
TXEN
TF
RX Start
TX Start
Interrupt Control
User
Interface
APB
MCK
Receive Clock
Controller
TX Clock
RK Input
Transmit Clock
Controller
Transmit Shift Register
Transmit Sync
Holding Register
Transmit Holding
Register
RX clock
TX clock
TK Input
RD
RF
RK
Clock Output
Controller
Frame Sync
Controller
Receive Shift Register
Receive Sync
Holding Register
Receive Holding
Register
TD
TF
TK
RX Clock
Receiver
Transmitter
Data
Controller
Clock
Divider
RX Start
499
6500D–ATARM–29-Feb-12
SAM3S
499
6500D–ATARM–29-Feb-12
SAM3S
The receiver clock can be gener ated by:
an external clock received on the RK I/O pad
the tran smitter clock
the internal clock divider
Furthermore, the transmitter block can generate an external clock on the TK I/O pad, and the
receiver block can generate an external clock on t he RK I/O pad.
This allows the SSC to support many Master and Slave Mode data transfers.
30.7.1.1 Clock Divider
Figure 30-4. Divided Clock Block Diagram
The Master Clock divider is determined by the 12-bit field DIV counter and compa rator (so its
maximal value is 4095) in the Clock Mode Register SSC_CMR, allowing a Master Clock division
by up to 8190. The Divided Clock is provided to both the Receiver and Transmitte r. When this
field is programmed to 0, the Clock Divider is not used and remains inactive.
When DIV is set to a value equal to or greater th an 1, the Divided Clock has a freq uency of Mas-
ter Clock divided by 2 times DIV. Each level of the Divided Clock has a duration of the Master
Clock multiplied by DIV. This ensures a 50% duty cycle for the Divided Clock regardless of
whether the DIV value is ev en or odd.
Figure 30-5. Divided Clock Generation
Maximum Minimum
MCK / 2 MCK / 8190
MCK
Divided Clock
Clock Divider
/ 2 12-bit Counter
SSC_CMR
Master Clock
Divided Clock
DIV = 1
Master Clock
Divided Clock
DIV = 3
Divided Clock Frequency = MCK/2
Divided Clock Frequency = MCK/6
500 6500D–ATARM–29-Feb-12
SAM3S
500 6500D–ATARM–29-Feb-12
SAM3S
30.7.1.2 Transmitter Clock Management
The transmitter clock is generated from the receiver clock or the divider clock or an external
clock scanned on the TK I/O pad. The transmitter clock is selected by the CKS field in
SSC_TCMR (Transmit Clock Mode Register). Transmit Clock can be inverted independently by
the CKI bits in SSC_TCMR.
The transmitter can also drive the TK I/O pad continuously or be limited to the ac tu al da ta tra ns -
fer. The clock output is configured by the SSC_TCMR r egister. The Transmit Clock Inve rsion
(CKI) bits have no effe ct on the clock outputs. Programming the TCMR register to select TK pin
(CKS field) and at the same time Continuous Transmit Clock (CKO field) might lead to unpredict-
able results.
Figure 30-6. Transmitter Clock Management
30.7.1.3 Receiver Clock Management
The receiver clock is generated from the transmitter clock or the divider clock or an exter nal
clock scanned on the RK I/O pad. The Receive Clock is selected by the CKS field in
SSC_RCMR (Receive Clock Mode Register). Receive Clocks can be inverted independently by
the CKI bits in SSC_RCMR.
The receiver can also drive the RK I/O pad continuously or be limited to the actual data transfer.
The clock output is configured by the SSC_RCMR register. The Receive Clock Inversion (CKI)
bits have no effect on the clock outputs. Programming the RCMR register to select RK pin (CKS
field) and at the same time Continuous Rece ive Clock (CKO field) can lead to unpredictable
results.
TK (pin)
Receiver
Clock
Divider
Clock
CKS
CKO Data Transfer
CKI CKG
Transmitter
Clock
Clock
Output
MUX Tri_state
Controller
Tri-state
Controller
INV
MUX
501
6500D–ATARM–29-Feb-12
SAM3S
501
6500D–ATARM–29-Feb-12
SAM3S
Figure 30-7. Receiver Clock Management
30.7.1.4 Serial Clock Ratio Consider ations
The Transmitter and the Receiver can be progra mmed to operate wit h the clock signals provided
on either the TK or RK pins. This allows the SSC to supp or t m a ny slav e- m od e da ta tr an sf er s. I n
this case, the maximum clock speed allowed on the RK pin is:
Master Clock divided by 2 if Receiver Frame Synchro is input
Master Clock divided by 3 if Receiver Frame Synchro is output
In addition, the maximum clock speed allowed on the TK pin is:
Master Clock divided by 6 if Transmit Frame Synchro is input
Master Clock divided by 2 if Transmit Frame Synchro is output
30.7.2 Transmitter Operations
A transmitted frame is trig gered by a start event and ca n be followed by synchronization data
before data tran sm iss i on .
The start event is configured by setting the Transmit Clock Mode Register (SSC_TCMR). See
“Start” on page 503.
The frame synchronization is configured setting the Transmit Frame Mode Register
(SSC_TFMR). See “Frame Sync” on page 505.
To transmit data, the transmitter uses a shift register clocked by the transmitter clock signal and
the start mode selected in the SSC_TCMR. Data is written by the application to the SSC_THR
register then transferred to the shift register according to the data format selected.
When both the SSC_THR and the transmit shift register are empty, the status flag TXEMPTY is
set in SSC_SR. When the Transmit Holding register is transferred in the Transmit shift register,
the status flag TXRDY is set in SSC_SR and additional data can be loaded in the holding
register.
RK (pin)
Transmitter
Clock
Divider
Clock
CKS
CKO Data Transfer
CKI CKG
Receiver
Clock
Clock
Output
MUX Tri-state
Controller
Tri-state
Controller
INV
MUX
502 6500D–ATARM–29-Feb-12
SAM3S
502 6500D–ATARM–29-Feb-12
SAM3S
Figure 30-8. Transmitter Block Diagram
30.7.3 Re ce ive r Ope rat ions
A received frame is triggered by a start event and can be followed by synchronization data
before data tran sm iss i on .
The start event is configured setting the Receive Clock Mode Register (SSC_RCMR). See
“Start” on page 503.
The frame synchronization is configured setting the Receive Frame Mode Register
(SSC_RFMR). See “Frame Sync” on page 505.
The receiver uses a shift register clocked by the receiver clock signal and the start mode
selected in the SSC_RCMR. The data is transferred from the shift register depending on the
data format selected.
When the receiver shift re gister is full, t he SSC tr ansfers this data in the holdin g registe r, the sta-
tus flag RXRDY is set in SSC_SR and the data can be read in the receiver holding register. If
another transfer occurs before read of the RHR register, the status flag OVERUN is set in
SSC_SR and the receiver shift re gister is transferred in the RHR register.
Transmit Shift Register
TD
SSC_TFMR.FSLENSSC_TFMR.DATLEN
SSC_TCMR.STTDLY
SSC_TFMR.FSDEN
SSC_TFMR.DATNB
SSC_TFMR.DATDEF
SSC_TFMR.MSBF
SSC_TCMR.STTDLY != 0
SSC_TFMR.FSDEN 10
TX Controller
SSC_TCMR.START
RF
Start
Selector
TXEN
RX Start
TXEN
RF
Start
Selector
RXEN
RC0R
TX Start TX Start
Transmitter Clock
TX Controller counter reached STTDLY
SSC_RCMR.START
SSC_THR SSC_TSHR
SSC_CRTXEN
SSC_SRTXEN
SSC_CRTXDIS
503
6500D–ATARM–29-Feb-12
SAM3S
503
6500D–ATARM–29-Feb-12
SAM3S
Figure 30-9. Receiver Block Diagram
30.7.4 Start The transmitter and receiver can both be programmed to start their operations when an event
occurs, respec tively in the Transmit Start Sele ction (START) field of SSC_TCMR and in the
Receive Start Selection (START) field of SSC_RCMR.
Under the following conditions the start event is independently programmable:
Continuous. In this case, the transmission starts as soon as a word is written in SSC_THR
and the reception st arts as soon as the Receiver is enabled.
Synchronously with the transmitter/receiver
On detection of a falling/rising edge on TF/RF
On detection of a low level/high level on TF/RF
On detection of a level change or an edge on TF/RF
A start can be programmed in the same manner on either side of the Transmit/Receive Clock
Register (RCMR/TCMR) . Thus, the start could be on TF (Transmit) or RF (Rece ive ).
Moreover, the Receiver can start when data is detected in the bit stream with the Compare
Functions.
Detection on TF/RF in put/outp ut is done by the field FSOS of the Tr ansmit/Receive F rame Mode
Register (TFMR/RFMR).
SSC_RFMR.MSBF
SSC_RFMR.DATNB
SSC_TCMR.START SSC_RCMR.START
SSC_RHRSSC_RSHR
SSC_RFMR.FSLEN SSC_RFMR.DATLEN
RX Controller counter reached STTDLY
RX Controller
RD
SSC_CR.RXEN
SSC_CR.RXDIS
SSC_SR.RXEN
Receiver Clock
RF
TXEN
RX Start
RF
RXEN
RC0R
SSC_RCMR.STTDLY != 0
Receive Shift Register
Start
Selector Start
Selector
RX Start
load load
504 6500D–ATARM–29-Feb-12
SAM3S
504 6500D–ATARM–29-Feb-12
SAM3S
Figure 30-10. Transmit Start Mode
Figure 30-11. Receive Pulse/Edge Start Modes
X
TK
TF
(Input)
TD
(Output)
TD
(Output)
TD
(Output)
TD
(Output)
TD
(Output)
TD
(Output)
XBOB1
XBO B1
BO B1
BO B1
BO B1BO B1
BO B1B1
BO
X
X
X
STTDLY
STTDLY
STTDLY
STTDLY
STTDLY
STTDLY
Start = Falling Edge on TF
Start = Rising Edge on TF
Start = Low Level on TF
Start = High Level on TF
Start = Any Edge on TF
Start = Level Change on TF
X
RK
RF
(Input)
RD
(Input)
RD
(Input)
RD
(Input)
RD
(Input)
RD
(Input)
RD
(Input)
XBOB1
XBO B1
BO B1
BO B1
BO B1BO B1
BO B1B1
BO
X
X
X
STTDLY
STTDLY
STTDLY
STTDLY
STTDLY
STTDLY
Start = Falling Edge on RF
Start = Rising Edge on RF
Start = Low Level on RF
Start = High Level on RF
Start = Any Edge on RF
Start = Level Change on RF
505
6500D–ATARM–29-Feb-12
SAM3S
505
6500D–ATARM–29-Feb-12
SAM3S
30.7.5 Frame Sync The Transmitter and Receiver Frame Sync pins, TF and RF, can be programmed to generate
different kinds of frame synchronization signals. The Frame Sync Output Selection (FSOS) field
in the Receive Frame Mode Register (SSC_RFMR) and in the Transmit Frame Mode Register
(SSC_TFMR) are used to select the required waveform.
Programmable low or high levels during data transfer are supported.
Programmable high levels before the start of data transfers or toggling are also supported.
If a pulse waveform is selected, the Frame Sync Length (FSLEN) field in SSC_RFMR and
SSC_TFMR programs the length of the pulse, from 1 bit time up to 256 bit time.
The periodicity of the Receive and Transmit Frame Sync pulse output can be programmed
through the Period Divider Selection (PERIOD) field in SSC_RCMR and SSC_TCMR.
30.7.5.1 Frame Sync Data
Frame Sync Data transmits or receives a specific tag during the Frame Sync signal.
During the Frame Sync signal, the Receiver can sample the RD line and store the data in the
Receive Sync Holding Register and the transmitter can transfer Transmit Sync Holding Register
in the Shifter Register. The data length to be sampled/shifted out during the Frame Sync signal
is programmed by the FSLEN f ield in SSC_ RFMR/SSC_TFMR and has a m aximum value o f 16.
Concerning the Rece ive Frame Sync Data operatio n, if the Frame Sync Length is equ al to or
lower than the delay between the start event and the actual data reception, the data sampling
operation is perfor med in the Re ceive Sync Holding Register through the Receive Shift Register.
The Transmit Frame Sync Operation is performed by the transmitter only if the bit Fra me Sync
Data Enable (FSDEN) in SSC_TFMR is set. If the Frame Sync length is equal to or lower than
the delay bet ween th e st ar t event an d the a ctua l d at a tr ansmission , th e n ormal tr an smissio n h as
priority and the data contained in the Tr ansmit Sync Ho lding Register is transferr ed in the Tr ans-
mit Register, then shifted out.
30.7.5.2 Frame Sync Edge Detection
The Frame Sync Edge detection is programmed by the FSEDGE field in
SSC_RFMR/SSC_TFMR. This sets the corresponding flags RXSYN/TXSYN in the SSC Status
Register (SSC_SR) on frame synchro edge detection (signals RF/TF).
30.7.6 Receive Compare Modes
Figure 30-12. Receive Compare Modes
CMP0 CMP3
CMP2
CMP1 Ignored B0 B2
B1
Start
RK
RD
(Input)
FSLEN
Up to 16 Bits
(4 in This Example)
STDLY DATLEN
506 6500D–ATARM–29-Feb-12
SAM3S
506 6500D–ATARM–29-Feb-12
SAM3S
30.7.6.1 Compare Functions
Length of the comparison patterns (Compare 0, Compare 1) and thus the nu mber of bits they
are compared to is defined by FSLEN, but with a maximum value of 16 bits. Comparison is
always done by comp aring t he last bits received with the co mparison pa ttern . Compa re 0 can be
one start event of the Receiver. In this case, the receiver compares at each new sample the last
bits received at the Compare 0 pattern contained in the Compare 0 Register (SSC_RC0R).
When this start event is selected , the user can pr ogram the Receiver to start a ne w data transf er
either by writing a new Compare 0, or by receiving continuously until Compare 1 occurs. This
selection is done with the bit (STOP) in SSC_RCMR.
30.7.7 Data Format The data framing format of both the transmitter and the receiver are programmable through the
Transmitter Frame Mode Register (SSC_TFMR) and the Receiver Frame Mode Register
(SSC_RFMR). In either case, the user can independently select:
the event that starts the data transfer (START)
the delay in number of bit pe riods between the start event and the first data bit (STTDLY)
the length of t he data (DATLEN)
the number of data to be transferred for each start event (DATNB).
the length of synchronization transferred for each start event (FSLEN)
the bit sense: most or lowest significant bit first (MSBF)
Additionally, the transmitter can be used to transfer synchronization and select the level driven
on the TD pin while not in data transfer operation. This is done respectively by the Frame Sync
Data Enable (FSDEN) and by the Data Default Value (DATDEF) bits in SSC_TFMR.
507
6500D–ATARM–29-Feb-12
SAM3S
507
6500D–ATARM–29-Feb-12
SAM3S
Figure 30-13. Transmit and Receive Frame Format in Edge/Pulse Start Modes
Note: 1. Example of input on falling edge of TF/RF.
Figure 30-14. Transmit Frame Format in Continuous Mode
Table 30-4. Data Frame Registers
Transmitter Receiver Field Length Comment
SSC_TFMR SSC_RFMR DATLEN Up to 32 Size of word
SSC_TFMR SSC_RFMR DATNB Up to 16 Number of words transm it ted in frame
SSC_TFMR SSC_RFMR MSBF Most significant bit first
SSC_TFMR SSC_RFMR FSLEN Up to 16 Size of Synchro data register
SSC_TFMR DATDEF 0 or 1 Data default va lue ended
SSC_TFMR FSDEN Enable send SSC_TSHR
SSC_TCMR SSC_RCMR PERIOD Up to 512 Frame size
SSC_TCMR SSC_RCMR STTDLY Up to 255 Size of transmit start delay
Sync Data
Default
STTDLY
Sync Data Ignored
RD
Default
Data
DATLEN
Data
Data
Data
DATLEN
Data
Data Default
Default
Ignored
Sync Data
Sync Data
FSLEN
TF/RF
(1)
Start
Start
From SSC_TSHR From SSC_THR
From SSC_THR
From SSC_THR
From SSC_THR
To SSC_RHR To SSC_RHRTo SSC_RSHR
TD
(If FSDEN = 0)
TD
(If FSDEN = 1)
DATNB
PERIOD
FromDATDEF FromDATDEF
From DATDEF From DATDEF
DATLEN
Data
DATLEN
Data Default
Start
From SSC_THR From SSC_THR
TD
Start: 1. TXEMPTY set to 1
2. Write into the SSC_THR
508 6500D–ATARM–29-Feb-12
SAM3S
508 6500D–ATARM–29-Feb-12
SAM3S
Note: 1. STTDLY is set to 0. In this example , SSC_THR is loaded twice. FSDEN value has no effect on
the transmission. SyncData cannot be output in continuous mo de.
Figure 30-15. Receive Frame Format in Continuous Mode
Note: 1. STTDLY is set to 0.
30.7.8 Loop Mode The receiver can be programmed to receive transmissions from the transmitter. This is done by
setting the Loop Mode (L OOP) bit in SSC_RFMR. In this case, RD is conn ected to TD, RF is
connected to TF and RK is connected to TK.
30.7.9 Interrupt Most bits in SSC_SR have a corresponding bit in interrupt management registers.
The SSC can be programmed to generate an interrupt when it detects an event. The interrupt is
controlled by writing SSC_IER (Int erru pt Enab le Regist er ) and SSC_I DR ( Inte rrup t Disable Re g-
ister) These reg isters enable and disa ble, respectively, the cor responding inter rupt by setting
and clearing the corresponding bit in SSC_IMR (Interrupt Mask Register), which controls the
generation of interrupts by asserting the SSC interrupt line connected to the NVIC.
Figure 30-16. Interr up t Bloc k D iag ra m
Data
DATLEN
Data
DATLEN
Start = Enable Receiver
To SSC_RHR To SSC_RHR
RD
SSC_IMR
PDC
Interrupt
Control SSC Interrupt
Set
RXRDY
OVRUN
RXSYNC
Receiver
Transmitter
TXRDY
TXEMPTY
TXSYNC
TXBUFE
ENDTX
RXBUFF
ENDRX
Clear
SSC_IER SSC_IDR
509
6500D–ATARM–29-Feb-12
SAM3S
509
6500D–ATARM–29-Feb-12
SAM3S
30.8 SSC Application Examples
The SSC can support several serial communication modes used in audio or high speed serial
links. Some standard applications are shown in the following figures. All seria l link applications
supported by the SSC are not listed here.
Figure 30-17. Audio Application Block Diagram
Figure 30-18. Codec App lica tio n Bloc k Diag ra m
SSC
RK
RF
RD
TD
TF
TK Clock SCK
Word Select WS
Data SD
I2S
RECEIVER
Clock SCK
Word Select WS
Data SD
Right Channel
Left Channel
MSB MSB
LSB
SSC
RK
RF
RD
TD
TF
TK Serial Data Clock (SCLK)
Frame sync (FSYNC)
Serial Data Out
Serial Data In
CODEC
Serial Data Clock (SCLK)
Frame sync (FSYNC)
Serial Data Out
Serial Data In
First Time Slot
Dstart Dend
510 6500D–ATARM–29-Feb-12
SAM3S
510 6500D–ATARM–29-Feb-12
SAM3S
Figure 30-19. Time Slot Application Block Diagram
SSC
RK
RF
RD
TD
TF
TK SCLK
FSYNC
Data Out
Data in
CODEC
First
Time Slot
Serial Data Clock (SCLK)
Frame sync (FSYNC)
Serial Data Out
Serial Data in
CODEC
Second
Time Slot
First Time Slot Second Time Slot
Dstart Dend
511
6500D–ATARM–29-Feb-12
SAM3S
511
6500D–ATARM–29-Feb-12
SAM3S
30.8.1 Write Protection Registers
To prevent any single sof tware error t hat may corr upt SSC behavior, certain address spaces can
be write-protected by setting the WPEN bit in the “SSC Write Protect Mode Register”
(SSC_WPMR).
If a write access to the protected registers is detected, then the WPVS flag in the SSC Write Pro-
tect Status Register (US_WPSR) is set and the field WPVSRC indicates in which register the
write access has been attempted.
The WPVS flag is reset by writing the SSC Write Protect Mode Register (SSC_WPMR) with the
appropriate access key, WPKEY.
The protected registers are:
“SSC Clock Mode Register” on page 514
“SSC Receive Clock Mode Register” on page 515
“SSC Receive Frame Mode Register” on page 517
“SSC Transmit Clock Mode Register” on page 519
“SSC Transmit Frame Mode Register” on page 521
“SSC Receive Compare 0 Register” on page 527
“SSC Receive Compare 1 Register” on page 528
512 6500D–ATARM–29-Feb-12
SAM3S
512 6500D–ATARM–29-Feb-12
SAM3S
30.9 Synchronous Serial Controller (SSC) User Interface
Table 30-5. Register Mapping
Offset Register Name Access Reset
0x0 Control Register SSC_CR Write-only
0x4 Clock Mode Register SSC_CMR Read-write 0x0
0x8 Reserved
0xC Reserved
0x10 Receive Clock Mode Register SSC_RCMR Read-write 0x0
0x14 Receive Frame Mode Reg ister SSC_RFMR Read-write 0x0
0x18 Transmit Clock Mode Register SSC_TCMR Read-write 0x0
0x1C Transmit Frame Mode Register SSC_TFMR Read-write 0x0
0x20 Receive Holding Register SSC_RHR Read-only 0x0
0x24 Transmit Holding Register SSC_THR Write-only
0x28 Reserved
0x2C Reserved
0x30 Receive Sync. Holding Register SSC_RSHR Read-only 0x0
0x34 Transmit Sync. Holding Register SSC_TSHR Read-write 0x0
0x38 Receive Compare 0 Register SSC_RC0R Read-write 0x0
0x3C Receive Compare 1 Register SSC_RC1R Read-write 0x0
0x40 Status Register SSC_SR Read-only 0x000000CC
0x44 Interrupt Enable Register SSC_IER Write-only
0x48 Interrupt Disable Register SSC_IDR Write-only
0x4C Interrupt Mask Register SSC_IMR Read-only 0x0
0xE4 Write Protect Mode Register SSC_WPMR Read-write 0x0
0xE8 Write Protect Status Register SSC_WPSR Read-only 0x0
0x50-0xFC Reserved
0x100- 0x124 Reserved for Peripheral Data Controller (PDC)
513
6500D–ATARM–29-Feb-12
SAM3S
513
6500D–ATARM–29-Feb-12
SAM3S
30.9.1 SSC Control Register
Name: SSC_CR:
Address: 0x40004000
Access: Write-only
RXEN: Receive Enable
0 = No effect.
1 = Enables Receive if RXDIS is not set.
RXDIS: Receive Dis a ble
0 = No effect.
1 = Disables Receive . If a cha r act er is curren tly be in g received, disables at end of current character reception.
TXEN: Transmit Enable
0 = No effect.
1 = Enables Transmit if TXDIS is not set.
TXDIS: Transmit Disable
0 = No effect.
1 = Disables Transmit. If a character is currently being transmitted, disables at end of current character transmission.
SWRST: Software Reset
0 = No effect.
1 = Performs a software reset. Has priority on any other bit in SSC_CR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
SWRST–––––TXDISTXEN
76543210
––––––RXDISRXEN
514 6500D–ATARM–29-Feb-12
SAM3S
514 6500D–ATARM–29-Feb-12
SAM3S
30.9.2 SSC Clock Mode Register
Name: SSC_CMR
Address: 0x40004004
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
DIV: Clock Divider
0 = The Clock Divider is not active.
Any Other Value: The Divided Clock equals the Master Clock divided by 2 times DIV. The ma ximum bit rate is MCK/2. The
minimum bit rate is MCK/ 2 x 4095 = MCK/8190.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––– DIV
76543210
DIV
515
6500D–ATARM–29-Feb-12
SAM3S
515
6500D–ATARM–29-Feb-12
SAM3S
30.9.3 SSC Re ce ive Clo ck Mode Regi st er
Name: SSC_RCMR
Address: 0x40004010
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
CKS: Receive Clock Selection
CKO: Receive Clock Output Mode Selection
CKI: Receive Clock Inversion
0 = The data inputs (Data and Frame Sync signals) are sampled on Receive Clock falling edge. The Frame Sync signal
output is shifted ou t on Receive Clock rising edge.
1 = The data i nputs (Data and Frame Sync sign als) are sam pled on Receive Clock rising edge. The Frame Sync signal out-
put is shifted out on Receive Clock fal ling edge.
CKI affects only the Receive Clock and not the output clock signal.
31 30 29 28 27 26 25 24
PERIOD
23 22 21 20 19 18 17 16
STTDLY
15 14 13 12 11 10 9 8
STOP START
76543210
CKG CKI CKO CKS
Value Name Description
0 MCK Divided Clock
1TK TK Clock signal
2RK RK pin
3Reserved
Value Name Description RK Pin
0 NONE None Input-only
1 CONTINUOUS Continuous Receive Clock Output
2 TRANSFER Receive Clock only during data transfers Output
3-7 Reserved
516 6500D–ATARM–29-Feb-12
SAM3S
516 6500D–ATARM–29-Feb-12
SAM3S
CKG: Receive Clock Gating Selection
START: Receive Start Selection
STOP: Receive Stop Selection
0 = After completion of a data transfer when starting with a Compare 0, the receiver stop s the data transfer and waits for a
new compare 0.
1 = After starting a receive with a Compare 0, the receiver operates in a continuous mode until a Compare 1 is detected.
STTDLY: Receive Start Delay
If STTDLY is not 0, a delay of STTDLY clock cycles is inse rted between the start event and the a ctual start of reception.
When the Receiver is programmed to start synchronously with the Transmitter, the delay is also applied.
Note: It is very important that STTDLY be s et carefully. If STTDLY must be set, it should be done in relation to TAG
(Receive Sync Data) reception.
PERIOD: Receive Period Divider Selection
This field selects the divider to apply to the selected Receive Clock in order to generate a new Frame Sync Signal. If 0, no
PERIOD signal is generated. If not 0, a PERIOD signal is generated each 2 x (PERIOD+1) Receive Clock.
Value Name Description RK Pin
0 NONE None Input-only
1 CONTINUOUS Continuous Receive Clock Output
2 TRANSFER Receive Clock only during data transfers Output
3-7 Reserved
Value Name Description
0 CONTINUOUS Continuous, as soon as the receiver is enabled, and
immediately after the end of transfer of the previous data.
1TRANSMIT Transmit start
2 RF_LOW Detection of a low level on RF signa l
3RF_HIGH Detection of a high level on RF signal
4RF_FALLING
Detection of a falling edge on RF signal
5 RF_RISING Detection of a rising edge on RF signal
6 RF_LEVEL Detection of any level change on RF signal
7RF_EDGE Detection of any edge on RF signal
8CMP_0 C o mp are 0
517
6500D–ATARM–29-Feb-12
SAM3S
517
6500D–ATARM–29-Feb-12
SAM3S
30.9.4 SSC Receive Frame Mode Register
Name: SSC_RFMR
Address: 0x40004014
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
DATLEN: Data Length
0 = Forbidden value (1-bit data length not supported).
Any other value: The bit stream contains DATLEN + 1 data bits. Moreover, it defines the transfer size performed by the
PDC assigned to the Receiver. If DATLEN is lower or equal to 7, data transfers are in bytes. If DATLEN is between 8 and
15 (included), half-words are transferred, and for any other value, 32-bit words are transferred.
LOOP: Loop Mode
0 = Normal operating mode.
1 = RD is driven by TD, RF is driven by TF and TK drives RK.
MSBF: Most Significant Bit First
0 = The lowest significant bit of the data register is sampled first in the bit stream.
1 = The most significant bit of th e data register is sampled first in the bit stream.
DATNB: Data Number per Frame
This field defines the number of data words to be received after each transfer start, which is equal to (DATNB + 1).
FSLEN: Receive Frame Sync Length
This field d efines the number o f bits sa mpled and stored in the Receive Sync Data Regist er. When thi s mode is selected by
the START field in the Receive Clock Mode Register, it also determines the length of the sampled data to be compared to
the Compare 0 or Compare 1 register.
This field is used with FSLEN_EXT to determine the pulse length of the Receive Frame Sync signal.
Pulse length is equal to FSLEN + (FSLEN_EXT * 16) + 1 Receive Clock periods.
31 30 29 28 27 26 25 24
FSLEN_EXT FSLEN_EXT FSLEN_EXT FSLEN_EXT –––FSEDGE
23 22 21 20 19 18 17 16
FSOS FSLEN
15 14 13 12 11 10 9 8
––– DATNB
76543210
MSBF LOOP DATLEN
518 6500D–ATARM–29-Feb-12
SAM3S
518 6500D–ATARM–29-Feb-12
SAM3S
FSOS: Receive Frame Sync Output Selection
FSEDGE: Frame Sync Edge Detection
Determines which edge on Frame Sync will generate the interrupt RXSYN in the SSC Status Register.
FSLEN_EXT: FSLEN Field Extension
Extends FSLEN field. For details, refer to FSLEN bit description on page 517.
Value Name Description RF Pin
0 NONE None Input-only
1NEGATIVE Negative Pulse Output
2 POSITIVE Positiv e Pulse Output
3LOW Driven Low during data transfer Output
4HIGH Dr iven High during data transfer Output
5 TOGGLING Toggling at each start of data transfer Output
6-7 Reserved Undefined
Value Name Description
0 POSITIVE P ositive Edge Detection
1NEGATIVE Negative Edge Detection
519
6500D–ATARM–29-Feb-12
SAM3S
519
6500D–ATARM–29-Feb-12
SAM3S
30.9.5 SSC Transmit Clock Mode Register
Name: SSC_TCMR
Address: 0x40004018
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
CKS: Transmit Clock Selection
CKO: Transmit Clock Output Mode Selection
CKI: Transmit Clock Inv ersion
0 = The data out pu ts (Dat a and Fr ame Sync signals) a re shift ed out on Transmit Clock falling edge. The Frame syn c signal
input is sampled on Transmit clock rising edge.
1 = The data outputs (Data and Frame Sync signals) are shifted out on Transmit Cloc k rising edge. The Frame sync signal
input is sampled on Transmit clock falling edge.
CKI affects only the Transmit Clock and not the output clock signal.
31 30 29 28 27 26 25 24
PERIOD
23 22 21 20 19 18 17 16
STTDLY
15 14 13 12 11 10 9 8
–––– START
76543210
CKG CKI CKO CKS
Value Name Description
0 MCK Divided Clock
1TK TK Clock signal
2RK RK pin
3Reserved
Value Name Description TK Pin
0 NONE None Input-only
1 CONTINUOUS Continuous Receive Clock Output
2 TRANSFER Transmit Clock only during data transfers Output
3-7 Reserved
520 6500D–ATARM–29-Feb-12
SAM3S
520 6500D–ATARM–29-Feb-12
SAM3S
CKG: Transmit Cloc k Gating Selection
START: Transmit Start Selection
STTDLY: Transmit Start Delay
If STTDLY is not 0, a delay of STTDLY clock cycles is inserted between the start event and the actual start of transmission
of data. When the Transmitter is programmed to start sync hronously with the Receiver, the delay is also applied.
Note: STTDLY must be set carefully. If STTDLY is too short in respect to TAG (Transmit Sync Data) emission, data is emit-
ted instead of the end of TAG.
PERIOD: Transmit Period Divider Sele ction
This field selects the divider to apply to the selected Transmit Clock to generate a new Frame Sync Signal. If 0, no period
signal is generated. If not 0, a period signal is generated at each 2 x (PERIOD+1) Transmit Clock.
Value Name Description
0 NONE None
1 CONTINUOUS Transmit Clock enabled only if TF Low
2 TRANSFER Transmit Clock enabled only if TF High
Value Name Description
0 CONTINUOUS Continuous, as soon as a word is written in the SSC_THR Register (if Transmit is enabled), and
immediately after the end of transfer of the previous data.
1 RECEIVE Receive start
2 RF_LOW Detection of a low level on TF signal
3RF_HIGH Detection of a high level on TF signal
4RF_FALLING
Detection of a falling edge on TF signal
5 RF_RISING Detection of a rising edge on TF signal
6 RF_LEVEL Detection of any level change on TF signal
7RF_EDGE Detection of any edge on TF signal
8CMP_0 Compare 0
521
6500D–ATARM–29-Feb-12
SAM3S
521
6500D–ATARM–29-Feb-12
SAM3S
30.9.6 SSC Transmit Frame Mode Register
Name: SSC_TFMR
Address: 0x4000401C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
DATLEN: Data Length
0 = Forbidden value (1-bit data length not supported).
Any other value: The bit stream contains DATLEN + 1 data bits. Moreover, it defines the transfer size performed by the
PDC assigned to the Transmit. If DATLEN is lower or equal to 7, data transfers are bytes, if DATLEN is between 8 and 15
(included), half-words are transferred, and for any other value, 32-bit words are transferred.
DATDEF: Data Default Value
This bit defines the level driven on the TD pin while out of transmission. Note that if the pin is defined as multi-drive by the
PIO Controller, the pin is enabled only if the SCC TD output is 1.
MSBF: Most Significant Bit First
0 = The lowest significant bit of the data register is shifted out first in the bit stream.
1 = The most significant bit of the data register is shifted out first in the bit stream.
DATNB: Data Number per frame
This field defines the number of data words to be transferred after each transfer start, which is equal to (DATNB +1).
FSLEN: Transmit Frame Sync Length
This field defines the length of the Transmit Frame Sync signal and the number of bits shifted out from the Transmit Sync
Data Register if FSDEN is 1.
This field is used with FSLEN_EXT to determine the pulse length of the Transmit Frame Sync signal.
Pulse length is equal to FSLEN + (FSLEN_EXT * 16) + 1 Transmit Clock period.
31 30 29 28 27 26 25 24
FSLEN_EXT FSLEN_EXT FSLEN_EXT FSLEN_EXT –––FSEDGE
23 22 21 20 19 18 17 16
FSDEN FSOS FSLEN
15 14 13 12 11 10 9 8
––– DATNB
765 4 3210
MSBF DATDEF DATLEN
522 6500D–ATARM–29-Feb-12
SAM3S
522 6500D–ATARM–29-Feb-12
SAM3S
FSOS: Transmit Frame Sync Output Selection
FSDEN: Frame Sync Data Enable
0 = The TD line is driven with the default value during the Transmit Frame Sync signal.
1 = SSC_TSHR value is shifted out during the transmission of the Transmit Frame Sync signal.
FSEDGE: Frame Sync Edge Detection
Determines which edge on frame sync will generate the interrupt TXSYN (Status Register).
FSLEN_EXT: FSLEN Field Extension
Extends FSLEN field. For details, refer to FSLEN bit description on page 521.
Value Name Description RF Pin
0 NONE None Input-only
1NEGATIVE Negative Pulse Output
2 POSITIVE Positiv e Pulse Output
3LOW Driven Low during data transfer Output
4HIGH Dr iven High during data transfer Output
5 TOGGLING Toggling at each start of data transfer Output
6-7 Reserved Undefined
Value Name Description
0 POSITIVE P ositive Edge Detection
1NEGATIVE Negative Edge Detection
523
6500D–ATARM–29-Feb-12
SAM3S
523
6500D–ATARM–29-Feb-12
SAM3S
30.9.7 SSC Receiv e Holding Regis ter
Name: SSC_RHR
Address: 0x40004020
Access: Read-only
RDAT: Receive Data
Right aligned regardless of the number of data bits defined by DATLEN in SSC_RFMR.
31 30 29 28 27 26 25 24
RDAT
23 22 21 20 19 18 17 16
RDAT
15 14 13 12 11 10 9 8
RDAT
76543210
RDAT
524 6500D–ATARM–29-Feb-12
SAM3S
524 6500D–ATARM–29-Feb-12
SAM3S
30.9.8 SSC Transmit Holding Regi ster
Name: SSC_THR
Address: 0x40004024
Access: Write-only
TDAT: Transmit Data
Right aligned regardless of the number of data bits defined by DATLEN in SSC_TFMR.
31 30 29 28 27 26 25 24
TDAT
23 22 21 20 19 18 17 16
TDAT
15 14 13 12 11 10 9 8
TDAT
76543210
TDAT
525
6500D–ATARM–29-Feb-12
SAM3S
525
6500D–ATARM–29-Feb-12
SAM3S
30.9.9 SSC Receive Synchronization Holding Register
Name: SSC_RSHR
Address: 0x40004030
Access: Read-only
RSDAT: Receive Synchronization Data
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
RSDAT
76543210
RSDAT
526 6500D–ATARM–29-Feb-12
SAM3S
526 6500D–ATARM–29-Feb-12
SAM3S
30.9.10 SSC Transmit Synchronization Holding Registe r
Name: SSC_TSHR
Address: 0x40004034
Access: Read-write
TSDAT: Transmit Synchronization Data
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TSDAT
76543210
TSDAT
527
6500D–ATARM–29-Feb-12
SAM3S
527
6500D–ATARM–29-Feb-12
SAM3S
30.9.11 SSC Receive Compare 0 Register
Name: SSC_RC0R
Address: 0x40004038
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
CP0: Receive Compare Data 0
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CP0
76543210
CP0
528 6500D–ATARM–29-Feb-12
SAM3S
528 6500D–ATARM–29-Feb-12
SAM3S
30.9.12 SSC Receive Compare 1 Register
Name: SSC_RC1R
Address: 0x4000403C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “SSC Write Protect Mode Register” .
CP1: Receive Compare Data 1
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CP1
76543210
CP1
529
6500D–ATARM–29-Feb-12
SAM3S
529
6500D–ATARM–29-Feb-12
SAM3S
30.9.13 SSC Status Register
Name: SSC_SR
Address: 0x40004040
Access: Read-only
TXRDY: Transmit Ready
0 = Data has been loaded in SSC_THR and is waiting to be loaded in the Transmit Shift Register (TSR).
1 = SSC_THR is empty.
TXEMPTY: Transmit Empty
0 = Data remains in SSC_THR or is currently transmitted from TSR.
1 = Last data written in SSC_THR has been loaded in TSR and last data loaded in TSR has been transmitted.
ENDTX: End of Transmission
0 = The register SSC_T CR has not reached 0 since the last write in SSC_TCR or SSC_TNCR.
1 = The register SSC_TCR has reached 0 since the last write in SSC_TCR or SSC_TNCR.
TXBUF E: Transmit Buffer Empty
0 = SSC_TCR or SSC_TNCR have a value other than 0.
1 = Both SSC_TCR and SSC_TNCR have a value of 0.
RXRDY: Receive Ready
0 = SSC_RHR is empty.
1 = Data has been received and loaded in SSC_RHR.
OVRUN: Receive Overrun
0 = No data has been loaded in SSC_RHR while previous data has not been read since the last read of the Status
Register.
1 = Data has been loaded in SSC_RHR while previous data has not yet been read since the last read of the Status
Register.
ENDRX: End of Reception
0 = Data is written on the Receive Counter Register or Receive Next Counter Register.
1 = End of PDC transfer when Receive Counter Register has arri ved at zero.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––RXENTXEN
15 14 13 12 11 10 9 8
––––RXSYNTXSYN CP1 CP0
76543210
RXBUFF ENDRX OVRUN RXRDY TXBUFE ENDTX TXEMPTY TXRDY
530 6500D–ATARM–29-Feb-12
SAM3S
530 6500D–ATARM–29-Feb-12
SAM3S
RXBUFF: Receive Buffer Full
0 = SSC_RCR or SSC_RNCR have a value other than 0.
1 = Both SSC_RCR and SSC_RNCR have a value of 0.
•CP0: Compare 0
0 = A compare 0 has not occurred since the last read of the Status Register.
1 = A compare 0 has occurred since the last read of the Status Register.
•CP1: Compare 1
0 = A compare 1 has not occurred since the last read of the Status Register.
1 = A compare 1 has occurred since the last read of the Status Register.
TXSYN: Transmit Sync
0 = A Tx Sync has not occurred since t he last read of the Status Register.
1 = A Tx Sync has occurred since the last read of the Status Register.
RXSYN: Receive Sync
0 = An Rx Sync has not occurred since the last read of the Status Register.
1 = An Rx Sync has occurred since the last read of the Status Register.
TXEN: Transmit Enable
0 = Transmit is disabled.
1 = Transmit is enabled.
RXEN: Receive Enable
0 = Receive is disabled.
1 = Receive is enabled.
531
6500D–ATARM–29-Feb-12
SAM3S
531
6500D–ATARM–29-Feb-12
SAM3S
30.9.14 SSC Interrupt Enable Register
Name: SSC_IER
Address: 0x40004044
Access: Write-only
TXRDY: Transmit Ready Interrupt Enable
0 = 0 = No effect.
1 = Enables the Transmit Ready Interrupt.
TXEMPTY: Transmit Empty Interrupt Enable
0 = No effect.
1 = Enables the Transmit Empty Interrupt.
ENDTX: End of Transmission Interrupt Enable
0 = No effect.
1 = Enables the End of Tra nsmission Interrupt.
TXBUFE: Transmit Buffer Empty Interrupt Enable
0 = No effect.
1 = Enables the Transmit Buffer Empty Interrupt
RXRDY: Receive Ready Interrup t Ena ble
0 = No effect.
1 = Enables the Receive Ready Interrupt.
OVRUN: Receive Overrun Interrupt Enable
0 = No effect.
1 = Enables the Receive Overrun Interrupt.
ENDRX: End of Reception Interrupt Enable
0 = No effect.
1 = Enables the End of Reception Interrupt.
RXBUFF: Receive Buffer Full Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––RXSYNTXSYN CP1 CP0
76543210
RXBUFF ENDRX OVRUN RXRDY TXBUFE ENDTX TXEMPTY TXRDY
532 6500D–ATARM–29-Feb-12
SAM3S
532 6500D–ATARM–29-Feb-12
SAM3S
0 = No effect.
1 = Enables the Receive Buffer Full Interrupt.
CP0: Compare 0 Interrupt Enable
0 = No effect.
1 = Enables the Compare 0 Interrupt.
CP1: Compare 1 Interrupt Enable
0 = No effect.
1 = Enables the Compare 1 Interrupt.
TXSYN: Tx Sync Interrupt Enable
0 = No effect.
1 = Enables the Tx Sync Interrupt.
RXSYN: Rx Sync Interrupt Enable
0 = No effect.
1 = Enables the Rx Sync Interrupt.
533
6500D–ATARM–29-Feb-12
SAM3S
533
6500D–ATARM–29-Feb-12
SAM3S
30.9.15 SSC Interrupt Disable Register
Name: SSC_IDR
Address: 0x40004048
Access: Write-only
TXRDY: Transmit Ready Interrupt Disable
0 = No effect.
1 = Disables the Transmit Re ady Interrupt.
TXEMPTY: Transmit Empty Interrupt Disable
0 = No effect.
1 = Disables the Transmit Empty Interrupt.
ENDTX: End of Transmission Interrupt Disable
0 = No effect.
1 = Disables the End of Transmission Interrupt.
TXBUFE: Transmit Buffer Empty Interrupt Disable
0 = No effect.
1 = Disables the Transmit Buffer Empty Interrupt.
RXRDY: Receive Ready Interrup t Dis able
0 = No effect.
1 = Disables the Receive Ready Interrupt.
OVRUN: Receive Overrun Interrupt Disable
0 = No effect.
1 = Disables the Receive Over run Interrupt.
ENDRX: End of Reception Interrupt Disable
0 = No effect.
1 = Disables the End of Reception Interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––RXSYNTXSYN CP1 CP0
76543210
RXBUFF ENDRX OVRUN RXRDY TXBUFE ENDTX TXEMPTY TXRDY
534 6500D–ATARM–29-Feb-12
SAM3S
534 6500D–ATARM–29-Feb-12
SAM3S
RXBUFF: Receive Buffer Full Interrupt Disable
0 = No effect.
1 = Disables the Receive Buffer Full Interrupt.
CP0: Compare 0 Interrupt Disable
0 = No effect.
1 = Disables the Compare 0 Interrupt.
CP1: Compare 1 Interrupt Disable
0 = No effect.
1 = Disables the Compare 1 Interrupt.
TXSYN: Tx Sync Interrupt Enable
0 = No effect.
1 = Disables the Tx Sync Interrupt.
RXSYN: Rx Sync Interrupt Enable
0 = No effect.
1 = Disables the Rx Sync Interrupt.
535
6500D–ATARM–29-Feb-12
SAM3S
535
6500D–ATARM–29-Feb-12
SAM3S
30.9.16 SSC Interrupt Mask Regist er
Name: SSC_IMR
Address: 0x4000404C
Access: Read-only
TXRDY: Transmit Ready Interrupt Mask
0 = The Transmit Ready Inte rrupt is disabled.
1 = The Transmit Ready Interrupt is enabled.
TXEMPTY: Transmit Empty Interrupt Mask
0 = The Transmit Empty Interrupt is disabled.
1 = The Transmit Empty Interrupt is enabled.
ENDTX: End of Transmission Interrupt Mask
0 = The End of Transmission Interrupt is disabled.
1 = The End of Transmission Inte rrupt is enabled.
TXBUF E: Transmit Buffer Empty Inte rrupt Mask
0 = The Transmit Buffer Empty Interrupt is disabled.
1 = The Transmit Buffer Empty Interrupt is enabled.
RXRDY: Receive Ready Interrup t Ma s k
0 = The Receive Ready Interrupt is disabled.
1 = The Receive Ready Interrupt is enabled.
OVRUN: Receive Overrun Interrupt Mask
0 = The Receive Overrun Interrupt is disabled.
1 = The Receive Overrun Interrupt is enabled.
ENDRX: End of Reception Interrupt Mask
0 = The End of Reception Interru pt is disabled.
1 = The End of Reception Interru pt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––RXSYNTXSYN CP1 CP0
76543210
RXBUFF ENDRX OVRUN RXRDY TXBUFE ENDTX TXEMPTY TXRDY
536 6500D–ATARM–29-Feb-12
SAM3S
536 6500D–ATARM–29-Feb-12
SAM3S
RXBUFF: Receive Buffer Full Interrupt Mask
0 = The Receive Buffer Full Interrup t is disabled.
1 = The Receive Buffer Full Interrupt is enabled.
CP0: Compare 0 Interrupt Mask
0 = The Compare 0 Interrupt is disabled.
1 = The Compare 0 Interrupt is enabled.
CP1: Compare 1 Interrupt Mask
0 = The Compare 1 Interrupt is disabled.
1 = The Compare 1 Interrupt is enabled.
TXSYN: Tx Sync Interrupt Mask
0 = The Tx Sync Interrupt is disabled.
1 = The Tx Sync Interrupt is enabled.
RXSYN: Rx Sync Interrupt Mask
0 = The Rx Sync Interrupt is disabled.
1 = The Rx Sync Interrupt is enabled.
537
6500D–ATARM–29-Feb-12
SAM3S
537
6500D–ATARM–29-Feb-12
SAM3S
30.9.17 SSC Write Protect Mode Register
Name: SSC_WPMR
Address: 0x400040E4
Access: Read-write
Reset: See Table 30-5
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x535343 (“SSC” in ASCII).
1 = Enables the Write Protect if WPKEY corresponds to 0x535343 (“SSC” in ASCII).
Protects the registers:
“SSC Clock Mode Register” on page 514
“SSC Receive Clock Mode Register” on page 515
“SSC Receive Frame Mode Register” on page 517
“SSC Transmit Clock Mode Register” on page 519
“SSC Transmit Frame Mode Register” on page 521
“SSC Receive Compare 0 Register” on page 527
“SSC Receive Compare 1 Register” on page 528
WPKEY: Write Protect KEY
Should be written at value 0x535343 (“SSC” in ASCII). Writing any other value in this field aborts the write operation of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
———————WPEN
538 6500D–ATARM–29-Feb-12
SAM3S
538 6500D–ATARM–29-Feb-12
SAM3S
30.9.18 SSC Write Protect Status Register
Name: SSC_WPSR
Address: 0x400040E8
Access: Read-only
Reset: See Table 30-5
WPVS: Write Protect Violation Status
0 = No Write Protect Violation has occurred since the last read of the SSC_WPSR register.
1 = A Write Pr otect Violation has o ccurred since the last read of the SSC_ WPSR register. If this violation is an unauthorized
attempt to write a prot ected register, the associated violation is reported into field WPVSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Note: Reading SSC_WPSR automatically clears all fields.
31 30 29 28 27 26 25 24
————————
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
———————WPVS
539
6500D–ATARM–29-Feb-12
SAM3S
539
6500D–ATARM–29-Feb-12
SAM3S
31. Serial Peripheral Interface (SPI)
31.1 Description The Serial Peripheral Interface (SPI) circuit is a synchronous serial data link that provides com-
munication with external devices in Master or Slave Mode. It also enables communication
between processors if an external processor is connected to the system.
The Serial Peripheral Interface is essentially a shift register tha t serially transmits data bits to
other SPIs. During a data transfer, one SPI system acts as the “master”' which controls the data
flow, while the other devices act as “slaves'' which have data shifted into and out by the master.
Different CPUs can take turn being masters (Multiple Master Protocol opposite to Single Master
Protocol where one CPU is always the master while all of the others are always slaves) and one
master may simu ltaneo usly shift data into multiple slaves. However, o nly one slave may d rive its
output to write data back to the master at any given time.
A slave device is selected when the master asserts its NSS signal. If multiple slave devices
exist, the master generates a separate slave select signal for each slave (NPCS).
The SPI system consists of two data lines and two control lines:
Master Out Slave In (MOSI): This data line supplies the output data from the master shifted
into the input(s) of the slave(s).
Master In Slave Out ( MISO): This data line supplies the output data from a slave to the input
of the master. There may be no more than one slave transmitting data during any particular
transfer.
Serial Clock (SPCK): This control line is driven by the master and regu lates the flow of the
data bits . The master ma y t ransmi t data at a v ariety of baud r ates; the SPCK line cycles once
for each bit that is transmitted.
Slave Select (NSS): This control line allows slaves to be turned on and off by hardware.
31.2 Embedded Characteristics
Compatible with an Embedded 32- bit Microcontroller
Supports Communication with Serial External Devices
Four Chip Selects with External Decoder Support Allow Communication with Up to
15 Per ipherals
Serial Memories, such as DataFlash and 3-wire EEPROMs
Serial Peripherals, such as ADCs, DACs, LCD Controllers, CAN Controllers and
Sensors
External Co-processors
Master or Slave Serial Peripheral Bus Interface
8- to 16-bit Programmable Data Length Per Chip Select
Programmable Phase and Polarity Per Chip Sele ct
Programmable Transfer Delays Bet ween Consecutive Transfers and Between Clock
and Data Per Chip Select
Programmable Delay Between Consecu tive Transfers
Selectable Mode Fault Dete ctio n
Connection to PDC Channel Capabilities Optimizes Data Transfers
One Channel for the Receiver, One Channel for the Transmitter
Next Buffer Support
540 6500D–ATARM–29-Feb-12
SAM3S
540 6500D–ATARM–29-Feb-12
SAM3S
31.3 Block Diagram
Figure 31-1. Block Diagram
31.4 Application Block Diagram
Figure 31-2. Application Block Diagram: Single Master/Multiple Slave Implementation
SPI Interface
Interrupt Control
PIO
PDC
PMC MCK
SPI Interrupt
SPCK
MISO
MOSI
NPCS0/NSS
NPCS1
NPCS2
NPCS3
APB
SPI Master
SPCK
MISO
MOSI
NPCS0
NPCS1
NPCS2
SPCK
MISO
MOSI
NSS
Slave 0
SPCK
MISO
MOSI
NSS
Slave 1
SPCK
MISO
MOSI
NSS
Slave 2
NC
NPCS3
541
6500D–ATARM–29-Feb-12
SAM3S
541
6500D–ATARM–29-Feb-12
SAM3S
31.5 Signal Description
31.6 Product Dependencies
31.6.1 I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with PIO lines.
The programmer must first program the PIO controllers to assign the SPI pins to their peripheral
functions.
31.6.2 Power Management
The SPI may be clocked through the Power Manageme nt Controller (PMC), thus the program-
mer must first conf igure the PMC to enable the SPI clock.
Table 31-1. Signal Description
Pin Name Pin Description
Type
Master Slave
MISO Master In Slave Out Input Output
MOSI Master Out Slave In Output Input
SPCK Serial Clock Output Input
NPCS1-NPCS3 Peripheral Chip Selects Output Unused
NPCS0/NSS P eripheral Chip Select/Slav e Select Output Input
Table 31-2. I/ O Lin es
Instance Signal I/O Line Peripheral
SPI MISO PA12 A
SPI MOSI PA13 A
SPI NPCS0 PA11 A
SPI NPCS1 PA9 B
SPI NPCS1 PA31 A
SPI NPCS1 PB14 A
SPI NPCS1 PC4 B
SPI NPCS2 PA10 B
SPI NPCS2 PA30 B
SPI NPCS2 PB2 B
SPI NPCS3 PA3 B
SPI NPCS3 PA5 B
SPI NPCS3 PA22 B
SPI SPCK PA14 A
542 6500D–ATARM–29-Feb-12
SAM3S
542 6500D–ATARM–29-Feb-12
SAM3S
31.6.3 Interrupt The SPI interface has an interrupt line connected to the Nested Vector Interrupt Controller
(NVIC).Handling the SPI interrupt requires programming the NVIC before configuring the SPI.
31.7 Functional Description
31.7.1 Modes of Operation
The SPI operates in Master Mode or in Slave Mode.
Operation in Master Mode is programmed by writing at 1 the MSTR bit in the Mode Register.
The pins NPCS 0 to NPCS3 are a ll con figur ed as outputs, the SPCK pin is driven, the MISO line
is wired on the receiver input and the MOSI line driven as an output by the transmitter.
If the MSTR bit is written at 0, the SPI operates in Slave Mode. The MISO line is driven by the
transmitter output, the MOSI line is wired on the receiver input, the SPCK pin is driven by the
transmitter to synchronize the receiver. The NPCS0 pin becom es an input, and is used as a
Slave Select signal (NSS). The pins NPCS1 to NPCS3 are not driven and can be used for other
purposes.
The data transfers are iden tically programmable for both modes of operations. The baud ra te
generator is act ivated only in Master Mode.
31.7.2 Data Transfer Four com binations of polarity and phase are ava ilable for data transfers. The clock po larity is
programmed with t he CPOL bit in the Chip Select Regist er. The clock phase is programme d with
the NCPHA bit. These two parameters determine the edges of the clock signal on which data is
driven and sampled . Each of t he two pa ra meter s ha s two possible sta te s, r esultin g in fo ur po ssi-
ble combinations th at are incom patible with one an other. Thus, a mast er/slave p air must use t he
same parameter pair values to communicate. If multiple slaves are used and fixed in different
configurations, the master must reconfigure itself each time it needs to communicate with a dif-
ferent slav e.
Table 31-4 shows the four modes and corresponding parameter settings.
Figure 31-3 and Figure 31-4 show examples of data transfers.
Table 31-3. Peripheral IDs
Instance ID
SPI 21
Table 31-4. SPI Bus Protocol Mode
SPI Mode CPOL NCPHA Shift SPCK Edge Captur e SPCK Edge SPCK Inactive Level
0 0 1 Falling Rising Low
1 0 0 Rising Falling Low
2 1 1 Rising Falling High
3 1 0 Falling Rising High
543
6500D–ATARM–29-Feb-12
SAM3S
543
6500D–ATARM–29-Feb-12
SAM3S
Figure 31-3. SPI Transfer Format (NCPHA = 1, 8 bits per tran sfer)
Figure 31-4. SPI Transfer Format (NCPHA = 0, 8 bits per tran sfer)
6
*
SPCK
(CPOL = 0)
SPCK
(CPOL = 1)
MOSI
(from master)
MISO
(from slave)
NSS
(to slave)
SPCK cycle (for reference)
MSB
MSB
LSB
LSB
6
6
5
5
4
4
3
3
2
2
1
1
* Not defined, but normally MSB of previous character received.
1 2345 786
*
SPCK
(CPOL = 0)
SPCK
(CPOL = 1)
1 2345 7
MOSI
(from master)
MISO
(from slave)
NSS
(to slave)
SPCK cycle (for reference) 8
MSB
MSB
LSB
LSB
6
6
5
5
4
4
3
3
1
1
* Not defined but normally LSB of previous character transmitted.
2
2
6
544 6500D–ATARM–29-Feb-12
SAM3S
544 6500D–ATARM–29-Feb-12
SAM3S
31.7.3 Master Mode Operations
When configured in Master Mode, the SPI operates on the clock generated by the internal pro-
grammable baud rate generator. It fully controls the data transfers to and from the slave(s)
connected to the SPI bus. The SPI drives the chip select line to the slave and the serial clock
signal (SPCK).
The SPI features two h olding reg ister s, the Tr ansmit Data Reg ister and the Receive Data Regis-
ter, and a single Shift Register. The holding registers maintain the data flow at a constant rate.
After enabling th e SPI, a data transfer b egins when the processor writ es to the SPI_ TDR (Trans-
mit Data Register). The written data is immediately transferred in the Shift Register and transfer
on the SPI bus starts. While the data in the Shift Register is shifted on the MOSI line, the MISO
line is sampled and sh ifted in the Shift Register. Receiving data cannot occur without transm it-
ting data. If receiving mode is not needed, for example when communicating with a slave
receiver only (such as an LCD), the receive sta tus flags in the status register can be discarded.
Before writing the TDR, the PCS field in the SPI_MR reg ister must be set in order to select a
slave.
After enabling th e SPI, a data transfer b egins when the processor writ es to the SPI_ TDR (Trans-
mit Data Register). The written data is immediately transferred in the Shift Register and transfer
on the SPI bus starts. While the data in the Shift Register is shifted on the MOSI line, the MISO
line is sampled and shifted in the Shift Register. Transmission cannot occur without reception.
Before writing the TDR, the PCS field must be set in order to select a slave.
If new data is written in SPI_TDR during the transfer, it stays in it until the current transfer is
completed. Then, the received data is transferred from the Shift Register to SPI_RDR, the data
in SPI_TDR is loaded in the Shift Register and a new transfer starts.
The transfer of a data written in SPI_TDR in the Shift Register is indicated by the TDRE bit
(Transmit Data Register Empty) in the Status Register (SPI_SR). When new data is written in
SPI_TDR, this bit is cleared. The TDRE bit is used to trigger the Transmit Pchannel.
The end of transfer is indicated by the TXEMPTY flag in the SPI_SR register. If a transfer delay
(DLYBCT) is greater than 0 for the last transfe r, TXEMPTY is set after the completion of said
delay. The master clock (MCK) can be switched off at this time.
The transfer of re ceived data from th e Shift Register in SPI_RD R is indicated by the RDRF bit
(Receive Data Register Full) in the Status Register (SPI_SR). When the received data is read,
the RDRF bit is cleared.
If the SPI_RDR (Receive Data Register) has not been read before new data is received, the
Overrun Error bit (OVRES) in SPI_SR is set. As long as this flag is set, data is loaded in
SPI_RDR. The user has to read the status register to clear the OVRES bit.
Figure 31-5 shows a block diagram of the SPI when operating in Master Mode. Figure 31-6 on
page 546 shows a flow chart describing how transfers are handled.
545
6500D–ATARM–29-Feb-12
SAM3S
545
6500D–ATARM–29-Feb-12
SAM3S
31.7.3.1 Master Mode Block Diagram
Figure 31-5. Master Mode Block Diagram
Shift Register
SPCK
MOSI
LSB MSB
MISO
SPI_RDR RD
SPI
Clock
TDRE
SPI_TDR TD
RDRF
OVRES
SPI_CSR0..3
CPOL
NCPHA
BITS
MCK Baud Rate Generator
SPI_CSR0..3
SCBR
NPCS3
NPCS0
NPCS2
NPCS1
NPCS0
0
1
PS
SPI_MR PCS
SPI_TDR PCS
MODF
Current
Peripheral
SPI_RDR PCS
SPI_CSR0..3
CSAAT
PCSDEC
MODFDIS
MSTR
546 6500D–ATARM–29-Feb-12
SAM3S
546 6500D–ATARM–29-Feb-12
SAM3S
31.7.3.2 Master Mode Flow Diagram
Figure 31-6. Master Mode Flow Diagram
SPI Enable
CSAAT ?
PS ?
1
0
0
1
1
NPCS = SPI_TDR(PCS) NPCS = SPI_MR(PCS)
Delay DLYBS
Serializer = SPI_TDR(TD)
TDRE = 1
Data Transfer
SPI_RDR(RD) = Serializer
RDRF = 1
TDRE ?
NPCS = 0xF
Delay DLYBCS
Fixed
peripheral
Variable
peripheral
Delay DLYBCT
0
1CSAAT ?
0
TDRE ? 1
0
PS ? 0
1
SPI_TDR(PCS)
= NPCS ?
no
yes SPI_MR(PCS)
= NPCS ?
no
NPCS = 0xF
Delay DLYBCS
NPCS = SPI_TDR(PCS)
NPCS = 0xF
Delay DLYBCS
NPCS = SPI_MR(PCS),
SPI_TDR(PCS)
Fixed
peripheral
Variable
peripheral
- NPCS defines the current Chip Select
- CSAAT, DLYBS, DLYBCT refer to the fields of the
Chip Select Register corresponding to the Current Chip Select
- When NPCS is 0xF, CSAAT is 0.
547
6500D–ATARM–29-Feb-12
SAM3S
547
6500D–ATARM–29-Feb-12
SAM3S
Figure 31-7 shows Transmit Data Register Empty (TDRE), Receive Data Register (RDRF) and
Transmission Register Emp ty (TXEMPTY) st at us flags beh avior withi n the SPI _SR (Stat us Reg-
ister) during an 8-bit data transfer in fixed mode and no Peripheral Data Controller involved.
Figure 31-7. Status Register Flags Behavior
Figure 31-8 shows Transmission Register Empty (TXEMPTY), End of RX buffer (ENDRX), End
of TX buffer (ENDTX), RX Buffer Full (RXBUFF) and TX Buffer Empty (TXBUFE) status flags
behavior within t he SPI_SR ( Sta tu s Register ) d uring an 8- bit da ta t ransfe r in f ixed mod e with t he
Peripheral Data Controller involved. The Pis programmed to transfer and receive three data. The
next pointer and counte r are not used . The RDRF and T DRE are not show n because the se flags
are managed by the PDC when using the PDC.
6
SPCK
MOSI
(from master)
MISO
(from slave)
NPCS0
MSB
MSB
LSB
LSB
6
6
5
5
4
4
3
3
2
2
1
1
1 2345 786
RDRF
TDRE
TXEMPTY
Write in
SPI_TDR
RDR read
shift register empty
548 6500D–ATARM–29-Feb-12
SAM3S
548 6500D–ATARM–29-Feb-12
SAM3S
Figure 31-8. PDC Status Register Flags Behavior
31.7.3.3 Clock Generation
The SPI Baud rate clock is generate d by dividing the Maste r Clock (MCK), by a value between 1
and 255.
This allows a maximum operating baud rate at up to Master Clock and a minimum operating
baud rate of MCK divided by 255.
Programming the SCBR field at 0 is forbidden . Tr igge rin g a transf er while SCBR is at 0 can lead
to unpredictable results.
At reset, SCBR is 0 and the user has to program it at a valid value before performing the first
transfer.
The divisor can be defined in depen dently for e ach chip select, as it has to be pr ogram med in the
SCBR field of the Chip Select Registers. This allows the SPI to automatically adapt the baud
rate for each interfaced peripheral without reprogramming.
31.7.3.4 Transfe r De lays
Figure 31 -9 shows a chip select transfer change and consecutive transfers on the same chip
select. Three delays can be programmed to modify the transfer waveforms:
The delay between chip selects, programmable only once for all the chip selects by writing
the DLYBCS field in the Mode Register. Allows insertion of a delay between release of one
chip select and before assertion of a new one.
The dela y bef ore SPCK, inde pendently progr ammab le f or each chip select b y writing the fi eld
DLYBS. Allows the start of SPCK to be delayed after the chip select has been asserted.
The delay between consecutive transfers, independently programmable for e ach chip select
by writing the DLYBCT field. Allows insertion of a delay between two transfers occurring on
the same ch ip select
MSB LSB654321
SPCK
MOSI
(from master)
NPCS0
MSB LSB654321
12 3
ENDTX
TXEMPTY
MSB LSB654321
MSB LSB654321
MISO
(from slave)
MSB LSB654321
MSB LSB654321
ENDRX
TXBUFE
RXBUFF
549
6500D–ATARM–29-Feb-12
SAM3S
549
6500D–ATARM–29-Feb-12
SAM3S
These delays allow the SPI to be adapted to the inte rfaced peripherals and their speed and bus
release time.
Figure 31-9. Programmab le Dela ys
31.7.3.5 Peripheral Selection
The serial peripherals are selected through the assert ion of the NPCS0 to NPCS3 signals. By
default, all the NPCS signals are high before and after each transfer.
Fixed Peripheral Select: SPI exchanges data with only on e pe ripheral
Fixed Peripheral Select is activated by writing the PS bit to zero in SPI_MR (Mode Register). In
this case, the current peripheral is defined by the PCS field in SPI_MR and the PCS field in the
SPI_TDR has no effect.
Variable Peripheral Select: Data can be exchanged with more than one peripheral without
having to reprogram the NPCS field in the SPI_MR register.
Variable Peripheral Select is activated by setting PS bit to one. The PCS field in SPI_TDR is
used to select the curr ent per iphera l. This means th at the pe ripheral sele ction ca n be def ined for
each new data. The value to write in the SPI_TDR register as the following format.
[xxxxxxx(7-bit) + LASTXFER(1-bit)()+ xxxx(4-bit) + PCS (4-bit) + DATA (8 to 16-bit)] with PCS
equals to the chip select to assert as def ined in Section 31.8.4 (SPI Transmit Data Re gister) and
LASTXFER bit at 0 or 1 depending on CSAAT bit.
Note: 1. Optional.
CSAAT, LASTXFER and CSNAAT bits are discussed in Section 31.7.3.9 ”Peripheral Deselec-
tion with PDC” .
If LASTXFER is used, the command must be issued before writing the last character. Instead of
LASTXFER, the user can use the SPIDIS command. After the end of the PDC transfer, wait for
the TXEMPTY flag, then write SPIDIS into the SPI_CR register (this will not change the configu-
ration register values); the NPCS will be deactivated after the last character transfer. Then,
another PDC transfer can be started if the SPIEN was previously written in the SPI_CR register.
31.7.3.6 SPI Peripheral DMA Controller (PDC)
In both fixed and variable mode the Peripheral DMA Controller (PDC) can be used to reduce
processor overhead.
The Fixed Peripheral Selection allows buffer transfers with a single peripheral. Using the Pis an
optimal means, as th e size of the data transfer between the memory and the SPI is either 8 bits
DLYBCS DLYBS DLYBCT DLYBCT
Chip Select 1
Chip Select 2
SPCK
550 6500D–ATARM–29-Feb-12
SAM3S
550 6500D–ATARM–29-Feb-12
SAM3S
or 16 bits. However, changing the peripheral selection requires the Mode Register to be
reprogrammed.
The Variable Peripheral Selection allows buffer transfers with multiple periph erals without repro-
gramming the Mode Register. Data written in SPI_ TDR is 32 bits wide and defines the real data
to be transmitted and the peripheral it is destined to. Using the Pin this mode requires 32-bit
wide buffers, with the data in the LSBs and the PCS and LASTXFER fields in the MSBs, how-
ever the SPI still controls the number of bits (8 to16) to be transferred through MISO and MOSI
lines with the chip select configuration registers. This is not the optimal means in term of mem-
ory size for the buffers, but it provides a very effective mea ns to exchange data with several
peripherals without any intervention of the processor.
Transfer Size
Depending on the da ta size to transmit, from 8 to 16 bits, the PDC manages automatically the
type of pointer's size it has to point to. The PDC will perform the following transfer size depend-
ing on the mode and number of bits per data.
Fixed Mode:
•8-bit Data:
Byte transfer,
PDC Pointer Address = Address + 1 byte,
PDC Counter = Counter - 1
8-bit to 16-bit Data:
2 bytes transfer. n-bit data transfer with don’t care data (MSB) filled with 0’s,
PDC Pointer Address = Address + 2 bytes,
PDC Counter = Counter - 1
Variable Mode:
In variable Mode , PDC Pointer Ad dress = Addr ess +4 bytes an d PDC Counte r = Counter - 1 fo r
8 to 16-bit transfer size. When using the PDC, the TDRE and RDRF flags are handled by the
PDC, thus the user’s application does not have to check those bits. Only End of RX Buffer
(ENDRX), End of TX Buffer (ENDTX), Buffer Full (RXBUFF), TX Buffer Empty (TXBUFE) are
significant. For further details about the Peripheral DMA Controller and user interface, refer to
the PDC section of the product datasheet.
31.7.3.7 Peripheral Chip Select Decoding
The user can program the SPI to o perate with up to 15 peripherals by decoding the four Chip
Select lines, NPCS0 to NPCS3 with 1 of up to 1 6 decoder/ dem ultiplexer. This ca n be enab led by
writing the PCSDEC bit at 1 in the Mode Register (SPI_MR).
When operating without decoding, the SPI makes sure that in any case only one chip select line
is activated, i.e., one NPCS line driven low at a time. If two bits are defined low in a PCS field,
only the lowest numb er ed c hip select is driven low.
When operating with deco ding, the SPI directly outputs the value d efined by the PCS field on
NPCS lines of either the Mode Register or the Transmit Data Register (depending on PS).
As the SPI sets a default value of 0xF on the chip select lines (i. e. all chip se lect lines at 1) when
not processing any transfer, only 15 peripherals can be decoded.
551
6500D–ATARM–29-Feb-12
SAM3S
551
6500D–ATARM–29-Feb-12
SAM3S
The SPI has only four Chip Select Registers, not 15. As a result, when decoding is activated,
each chip select def ine s the cha ra cteri stics of up to fou r perip her als. As an example , SPI_CRS0
defines the characteristics of the externally decoded peripheral s 0 to 3, corresponding to the
PCS values 0x0 to 0x3. Thus, the user has to make sure to connect compatible peripherals on
the decoded chip select lines 0 to 3, 4 to 7, 8 to 11 and 12 to 14 . Figur e 31-10 be low show s such
an implementation.
If the CSAAT bit is used, with or without the PDC, the Mode Fault det ection for NPCS0 line must
be disabled. This is not needed for all other chip select lines since Mode Fault Detection is only
on NPCS0.
Figure 31-10. Chip Select Decoding Application Block Diagram: Single Master/Multiple Slave Implementation
31.7.3.8 Peripheral Deselection without PDC
During a transfer of more than one data on a Chip Select without the PDC, the SPI_TDR is
loaded by the processor, the flag TDRE rises as soon as the content of the SPI_TDR is trans-
ferred into the internal shift register. When this flag is detected high, the SPI_TDR can be
reloaded. If this reload by the processor occurs before the end of the current transfer and if the
next transfer is performed on the same chip select as the current transfer, the Chip Select is not
de-asserted between the two transfers. But depending on the application software handling the
SPI status register flags (by interrupt or polling method) or servicing other interrupts or other
tasks, the processor may not reload the SPI_TDR in time to keep the chip select active (low). A
null Delay Between Consecutive Transfer (DLYBCT) value in the SPI_CSR register, will give
even less time for the processor to reload the SPI_TDR. With some SPI slave peripherals,
requiring the chip select line to remain active (low) during a full set of transfers might lead to
communication errors.
To facilitate interfacing with such devices, the Chip Select Register [CSR0...CSR3] can be pro-
grammed with the CSAAT bit (Chip Select Active After Transfer) at 1. This allows the chip select
lines to remain in their current state (low = active) until transfer to another chip select is required.
SPI Master
SPCK
MISO
MOSI
NPCS0
NPCS1
NPCS2
SPCK
1-of-n Decoder/Demultiplexer
MISO MOSI
NSS
Slave 0
SPCK MISO MOSI
NSS
Slave 1
SPCK MISO MOSI
NSS
Slave 14
NPCS3
552 6500D–ATARM–29-Feb-12
SAM3S
552 6500D–ATARM–29-Feb-12
SAM3S
Even if the SPI_TDR is not reloaded the chip select will remain active. To have the chip select
line to raise at the end of the transfer the Last transfer Bit (LASTXFER) in the SPI_MR register
must be set at 1 before writing the last data to transmit into the SPI_TDR.
31.7.3.9 Peripheral Deselection with PDC
When the Peripheral DMA Controller is used, the chip select line will remain low during the
whole transfer sin ce the TDRE flag is manage d by t he PDC it self. The reloading of th e SPI_TDR
by the Pis done as soon as TDRE flag is set to one. In this case the use of CSAAT bit might not
be needed. However, it may happen that when other PDC channels connected to other periph-
erals are in use as well, the SPI PDC migh t be delayed by anot her (PDC with a higher prio rity on
the bus). Havin g PDC buffers in slo wer memories like flash memory or SDRAM compared to f ast
internal SRAM, may lengthen the reload time of the SPI_TDR by the Pas well. This means that
the SPI_TDR might not be reloa d ed in tim e to kee p the ch ip select line low. In th is cas e the ch ip
select line may toggle between data transfer and according to some SPI Slave devices, the
communication might get lost. The use of the CSAAT bit might be needed.
When the CSAAT bit is set at 0, the NPCS does no t rise in all cases between two transfers o n
the same peri pheral. During a transfer on a Chip Select, the flag TDRE rises as soon as the con-
tent of the SPI_TDR is transferred into the internal shifter. When this flag is detected the
SPI_TDR can be reloaded. If th is reload occu rs before the end of the curren t transfer and if the
next transfer is performed on the same chip select as the current transfer, the Chip Select is not
de-asserted between the two transfers. This might lead to difficulties for interfacing with some
serial peripherals requiring the chip select to be de-asserted after each transfer. To facilitate
interfacing with such devices, the Chip Select Register can be programmed with the CSNAAT bit
(Chip Select Not Active After Transfer) at 1. This allows to de-assert system atically the chip
select lines during a time DLYBCS. (The value of the CSNAAT bit is taken into account only if
the CSAAT bit is set at 0 for the same Chip Select).
Figure 31-11 shows different peripheral deselection cases and the effect of the CSAAT and
CSNAAT bits.
553
6500D–ATARM–29-Feb-12
SAM3S
553
6500D–ATARM–29-Feb-12
SAM3S
Figure 31-11. Peripheral Deselection
31.7.3.10 Mode Fault Detection
A mode fault is detected when the SPI is programmed in Master Mode and a low level is driven
by an external master on the NPCS0/NSS signal. In this case, multi-master configuration,
NPCS0, MOSI, MISO and SPCK pins must be co nfigured in open d rain (thr ough the PIO con trol-
ler). When a mode fault is detected, the MODF bit in the SPI_SR is set until the SPI_SR is read
A
NPCS[0..3]
Write SPI_TDR
TDRE
NPCS[0..3]
Write SPI_TDR
TDRE
NPCS[0..3]
Write SPI_TDR
TDRE
DLYBCS
PCS = A
DLYBCS
DLYBCT
A
PCS = B
B
DLYBCS
PCS = A
DLYBCS
DLYBCT
A
PCS = B
B
DLYBCS
DLYBCT
PCS=A
ADLYBCS
DLYBCT
A
PCS = A
AA
DLYBCT
AA
CSAAT = 0 and CSNAAT = 0
DLYBCT
AA
CSAAT = 1 and CSNAAT= 0 / 1
A
DLYBCS
PCS = A
DLYBCT
AA
CSAAT = 0 and CSNAAT = 1
NPCS[0..3]
Write SPI_TDR
TDRE
PCS = A
DLYBCT
AA
CSAAT = 0 and CSNAAT = 0
554 6500D–ATARM–29-Feb-12
SAM3S
554 6500D–ATARM–29-Feb-12
SAM3S
and the SPI is automatically disab led until re-enabled by writing the SPIEN bit in the SPI_CR
(Control Regist er ) at 1.
By default, the Mode Fault detection circuitry is enabled. The user can disable Mode Fault
detection by setting th e MODFDIS bit in the SPI Mode Register (SPI_MR).
31.7.4 SPI Slave Mode
When operating in Slave Mode, the SPI processes data bits on the clock provided on the SPI
clock pin (SPCK).
The SPI waits for NSS to go active before receiving the serial clock from an external master.
When NSS falls, the clock is validated on the serializer, which processes the number of bits
defined by the BITS field of the C hip Select Register 0 (SPI_CSR0). These bits are proc essed
following a phase and a polarity defined respectively by the NCPHA and CPOL bits of the
SPI_CSR0. Note that BITS, CPOL and NCPHA of the other Chip Select Registers have no
effect when the SPI is programmed in Slave Mode.
The bits are shifted out on the MISO line and sampled on the MOSI line.
(For more information on BITS field, see also, the (Note:) below the register table; Section 31.8.9
“SPI Chip Select Register” on page 568.)
When all the bits are processed, the re ceived data is transferred in the Receive Data Register
and the RDRF bit rises. If the SPI_RDR (Receiv e Data Register) has not been read before new
data is received, the Overrun Error bit (OVRES) in SPI_SR is set. As long as this flag is set, data
is loaded in SPI_RDR. The user has to read the status register to clear the OVRES bit.
When a transfer starts, the data shifted out is the data present in the Shift Register. If no data
has been written in the Transmit Data Register (SPI_TDR), the la st data received is transferred.
If no data has been received since the last reset, all bits are transmitted low, as the Shift Regis-
ter resets at 0.
When a first data is written in SPI_TDR, it is transferred immediately in the Shift Register and the
TDRE bit rises. If new data is written, it remains in SPI_TDR until a transfer occurs, i.e. NSS falls
and there is a valid clock on the SPCK pin. When the transfer occurs, the last data written in
SPI_TDR is transferred in the Shift Register and the TDRE bit rises. This enables frequent
updates of critical variables with single transfers.
Then, a new data is loaded in the Shift Register from the Transmit Data Register. In case no
character is ready to be transmit ted, i.e. no char acter has been wr itten in SPI _TDR since the last
load from SPI_TD R to the Shift Register, the Shift Register is not modified and the last received
character is retransmitted. In this case the Underrun Error Status Flag (UNDES) is set in the
SPI_SR.
Figure 31-12 shows a block diagram of the SPI when operating in Slave Mode.
555
6500D–ATARM–29-Feb-12
SAM3S
555
6500D–ATARM–29-Feb-12
SAM3S
Figure 31-12. Slave Mode Functional Bloc Diagram
Shift Register
SPCK
SPIENS
LSB MSB
NSS
MOSI
SPI_RDR RD
SPI
Clock
TDRE
SPI_TDR TD
RDRF
OVRES
SPI_CSR0
CPOL
NCPHA
BITS
SPIEN
SPIDIS
MISO
556 6500D–ATARM–29-Feb-12
SAM3S
556 6500D–ATARM–29-Feb-12
SAM3S
31.7.5 Write Protected Registers
To prevent any single software error that may corrupt SPI behavior, the registers listed below
can be write-protected by setting the SPIWPEN bit in the SPI Write Protection Mode Register
(SPI_WPMR).
If a write access in a write-protected register is detected, then the SPIWPVS flag in the SPI
Write Protection Status Register (SPI_WPSR) is set and the field SPIWPVSRC indicates in
which register the write access has be en attempted.
The SPIWPVS flag is automatically reset after reading the SPI Write Protection Status Register
(SPI_WPSR).
List of the write-pr ot ec te d re gisters:
Section 31.8.2 ”SPI Mode Register”
Section 31.8.9 ”SPI Chip Select Register”
557
6500D–ATARM–29-Feb-12
SAM3S
557
6500D–ATARM–29-Feb-12
SAM3S
31.8 Serial Peripheral Interface (SPI) User Interface
Table 31-5. Register Mapping
Offset Register Name Access Reset
0x00 Control Register SPI_CR Write-only ---
0x04 Mode Register SPI_MR Read-write 0x0
0x08 Receive Data Register SPI_RDR Read-only 0x0
0x0C Transmit Data Register SPI_TDR Write -only ---
0x10 Status Register SPI_SR Read-only 0x000000F0
0x14 Interrupt Enable Register SPI_IER Write-only ---
0x18 Interrupt Disable Register SPI_IDR Write-only ---
0x1C Interrupt Mask Register SPI_IMR Read-only 0x0
0x20 - 0x2C Reserved
0x30 Chip Select Register 0 SPI_CSR0 Read-wr ite 0x0
0x34 Chip Select Register 1 SPI_CSR1 Read-wr ite 0x0
0x38 Chip Select Register 2 SPI_CSR2 Read-wr ite 0x0
0x3C Ch ip Select Register 3 SPI_ CSR3 Read-write 0x0
0x4C - 0xE0 Reserved
0xE4 Write Protection Control Register SPI_WPMR Read-write 0x0
0xE8 Write Protection Status Register SPI_WPSR Read-only 0x0
0x00E8 - 0x00F8 Rese rved
0x00FC Reserved
0x100 - 0x124 Reserved for the PDC
558 6500D–ATARM–29-Feb-12
SAM3S
558 6500D–ATARM–29-Feb-12
SAM3S
31.8.1 SPI Control Register
Name: SPI_CR
Address: 0x40008000
Access: Write-only
SPIEN: SPI Enable
0 = No effect.
1 = Enables the SPI to transfer and receive data.
SPIDIS: SPI Disable
0 = No effect.
1 = Disables the SPI.
As soon as SPIDIS is set, SPI finishes its transfer.
All pins are set in input mode and no data is received or transmitted.
If a transfer is in progress, the transfer is finished before the SPI is disabled.
If both SPIEN and SPIDIS are equal to one when the control register is written, the SPI is disabled.
SWRST: SPI Software Reset
0 = No effect.
1 = Reset the SPI. A software-triggered hardware reset of the SPI interface is perf ormed.
The SPI is in slave mode after soft wa re rese t.
PDC channels are not affected by software reset.
LASTXFER: Last Transfer
0 = No effect.
1 = The current NPCS will be deasserted after the character written in TD has been transferred. When CSAA T is set, this
allows to close the communication with the current serial peripheral by raising the correspo nding NPCS line as so on as TD
transfer has completed.
Refer to Section 31 .7 .3 .5 ”Peripheral Selection” for more details.
31.8.2 SPI Mode Register
Name: SPI_MR
Address: 0x40008004
31 30 29 28 27 26 25 24
–––––––LASTXFER
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
SWRST–––––SPIDISSPIEN
559
6500D–ATARM–29-Feb-12
SAM3S
559
6500D–ATARM–29-Feb-12
SAM3S
Access: Read-write
MSTR: Master/Slave Mode
0 = SPI is in Slave mode.
1 = SPI is in Master mode.
PS: Peripheral Select
0 = Fixed Peripheral Select.
1 = Variable Peripheral Select.
PCSDEC: Chip Select Decode
0 = The chip selects are directly connected t o a peripheral device.
1 = The four chip select lines are connected to a 4- to 16-bit decoder.
When PCSDEC equals one, up to 15 Chip Select signals can be g enera te d with th e f our lines using an ext er nal 4- t o 16- bit
decoder. The Chip Select Registers define the characteristics of the 15 chip selects according to the following rules:
SPI_CSR0 defines peripheral chip select signals 0 to 3.
SPI_CSR1 defines peripheral chip select signals 4 to 7.
SPI_CSR2 defines peripheral chip select signals 8 to 11.
SPI_CSR3 defines peripheral chip select signals 12 to 14.
MODFDIS: Mode Fault Detection
0 = Mode fault detection is enabled.
1 = Mode fault detection is disabled.
WDRBT: Wait Data Read Before Transfer
0 = No Effect. In master mode, a transfer can be initiated whatever the state of the Receive Data Register is.
1 = In Master Mode, a tr ansfer can star t only if th e Receive Data Regis ter is em pty, i.e. d oes no t contain any unre ad data .
This mode prevents overrun error in reception.
LLB: Local Loopback Enable
0 = Local loopback path disabled.
1 = Local loopback path enabled
LLB controls the local loopback on the data serializer for testing in Master Mode only. (MISO is internally connected on
MOSI.)
31 30 29 28 27 26 25 24
DLYBCS
23 22 21 20 19 18 17 16
–––– PCS
15 14 13 12 11 10 9 8
––––––––
76543210
LLB WDRBT MODFDIS PCSDEC PS MSTR
560 6500D–ATARM–29-Feb-12
SAM3S
560 6500D–ATARM–29-Feb-12
SAM3S
PCS: Peripheral Chip Select
This field is only used if Fixed Peripheral Select is active (PS = 0).
If PCSDEC = 0:
PCS = xxx0 NPCS[3:0] = 1110
PCS = xx01 NPCS[3:0] = 1101
PCS = x011 NPCS[3:0] = 1011
PCS = 0111 NPCS[3:0] = 0111
PCS = 1111 forbidden (no peripheral is selected)
(x = don’t care)
If PCSDEC = 1:
NPCS[3:0] output signals = PCS.
DLYBCS: Delay Between Chip Selects
This field def ines the d elay from NPCS inac tive to the ac tivation o f another NPCS. The DLYBCS time guarantee s non-over-
lapping chip selects and solves bus contentions in case of peripherals having long data float times.
If DLYBCS is less than or equal to six, six MCK periods will be inserted by default.
Otherwise, the following equation determines the delay:
Delay Between Chip Selects DLYBCS
MCK
-------------------------=
561
6500D–ATARM–29-Feb-12
SAM3S
561
6500D–ATARM–29-Feb-12
SAM3S
31.8.3 SPI Rece ive Da ta Registe r
Name: SPI_RDR
Address: 0x40008008
Access: Read-only
RD: Receive Data
Data received by the SPI Interface is stored in this register right-justified. Unused bits read zero.
PCS: Peripheral Chip Select
In Master Mode only, these bits indicate the value on the NPCS pins at the end of a transfer. Otherwise, these bits read
zero.
Note: When using variable peripheral select mode (PS = 1 in SPI_MR) it is mandatory to also set the WDRBT field to 1 if the
SPI_RDR PCS field is to be processed.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––– PCS
15 14 13 12 11 10 9 8
RD
76543210
RD
562 6500D–ATARM–29-Feb-12
SAM3S
562 6500D–ATARM–29-Feb-12
SAM3S
31.8.4 SPI Trans mit Dat a Regist er
Name: SPI_TDR
Address: 0x4000800C
Access: Write-only
TD: Transmit Data
Data to be transmitted by the SPI Interface is stored in this register. Information to be transmitted must be written to the
transmit data reg iste r in a right-justified format.
PCS: Peripheral Chip Select
This field is only used if Variable Peripher al Select is active (PS = 1).
If PCSDEC = 0:
PCS = xxx0 NPCS[3:0] = 1110
PCS = xx01 NPCS[3:0] = 1101
PCS = x011 NPCS[3:0] = 1011
PCS = 0111 NPCS[3:0] = 0111
PCS = 1111 forbidden (no peripheral is selected)
(x = don’t care)
If PCSDEC = 1:
NPCS[3:0] output signals = PCS
LASTXFER: Last Transfer
0 = No effect.
1 = The current NPCS will be deasserted after the character written in TD has been transferred. When CSAA T is set, this
allows to close the communication with the current serial peripheral by raising the correspo nding NPCS line as so on as TD
transfer has completed.
This field is only used if Variable Peripher al Select is active (PS = 1).
31 30 29 28 27 26 25 24
–––––––LASTXFER
23 22 21 20 19 18 17 16
–––– PCS
15 14 13 12 11 10 9 8
TD
76543210
TD
563
6500D–ATARM–29-Feb-12
SAM3S
563
6500D–ATARM–29-Feb-12
SAM3S
31.8.5 SPI Status Register
Name: SPI_SR
Address: 0x40008010
Access: Read-only
RDRF: Receiv e Data Register Full
0 = No data has been received since the last read of SPI_RDR
1 = Data has been received and the received data has been transferred from the serializer to SPI_RDR since the last read
of SPI_RDR.
TDRE: Transmit Data Register Empty
0 = Data has been written to SPI_TDR and not yet transferred to the serializer.
1 = The last data written in the Tr an sm it Dat a Re gist er has been tran sfe r re d to th e se ria lizer .
TDRE equals zero when the SPI is disabled or at reset. The SPI enable command sets this bit to one.
MODF: Mode Fault Error
0 = No Mode Fault has been detected since the last read of SPI_SR.
1 = A Mode Fault occurred since the last read of the SPI_SR.
OVRES: Overrun Error Status
0 = No overrun has be en de tected since the last rea d of SPI_SR.
1 = An overrun has occurred since the last read of SPI_SR.
An overrun occurs when SPI_R DR is loaded at least twice from the serializer since the last read of the SPI_RDR.
ENDRX: End of RX buffer
0 = The Receive Counter Register has not reached 0 since the last write in SPI_RCR(1) or SPI_RNCR(1).
1 = The Receive Counter Register has reached 0 since the last write in SPI_RCR(1) or SPI_RNCR(1).
ENDTX: End of TX buffer
0 = The Transmit Counter Register has not reached 0 since the last write in SPI_TCR(1) or SPI_TNCR(1).
1 = The Transmit Counter Register has reached 0 since the last write in SPI_TCR(1) or SPI_TNCR(1).
RXBUFF: RX Buffer Full
0 = SPI_RCR(1) or SPI_RNCR(1) has a value other than 0.
1 = Both SPI_RCR(1) and SPI_RNCR(1) have a value of 0.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––––SPIENS
15 14 13 12 11 10 9 8
–––––UNDES TXEMPTY NSSR
76543210
TXBUFE RXBUFF ENDTX ENDRX OVRES MODF TDRE RDRF
564 6500D–ATARM–29-Feb-12
SAM3S
564 6500D–ATARM–29-Feb-12
SAM3S
TXBUFE: TX Buffer Empty
0 = SPI_TCR(1) or SPI_TNCR(1) has a value other than 0.
1 = Both SPI_TCR(1) and SPI_T NCR(1) have a value of 0.
NSSR: NSS Rising
0 = No rising edge detected on NSS pin since last read.
1 = A rising edge occurred on NSS pin since last read.
TXEMPTY: Transmission Registers Empty
0 = As soon as data is written in SPI_TDR.
1 = SPI_TDR and internal shifter are empty. If a transfer delay has been defined, TXEMPTY is set after the completion of
such delay.
UNDES: Underrun Error Status (Slave Mode Only)
0 = No underrun has been detected since the last read of SPI_SR.
1 = A transfer begins whereas no data has been loaded in the Transmit Data Register.
SPIENS: SPI Enable Status
0 = SPI is disabled.
1 = SPI is enabled.
Note: 1. SPI_RCR, SPI_RNCR, SPI_TCR, SPI_TNCR are physically located in the PDC.
565
6500D–ATARM–29-Feb-12
SAM3S
565
6500D–ATARM–29-Feb-12
SAM3S
31.8.6 SPI Interrupt Enable Regist er
Name: SPI_IER
Address: 0x40008014
Access: Write-only
0 = No effect.
1 = Enables the corresponding interrupt.
RDRF: Receive Data Register Full Interrupt Enable
TDRE: SPI Transmit Data Register Empty Interrupt Enable
MODF: Mode Fault Error Interrupt Enable
OVRES: Overrun Error Interrupt Enable
ENDRX: End of Receive Buffer Interrupt Enable
ENDTX: End of Transmit Buffer In terrupt Enable
RXBUFF: Receive Buffer Full Interrupt Enable
TXBUFE: Transmit Buffer Empty Interrupt Enable
NSSR: NSS Rising Interrupt Enable
TXEMPTY: Transmission Registers Empty Enable
UNDES: Underrun Error Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––UNDES TXEMPTY NSSR
76543210
TXBUFE RXBUFF ENDTX ENDRX OVRES MODF TDRE RDRF
566 6500D–ATARM–29-Feb-12
SAM3S
566 6500D–ATARM–29-Feb-12
SAM3S
31.8.7 SPI Interrupt Disable Register
Name: SPI_IDR
Address: 0x40008018
Access: Write-only
0 = No effect.
1 = Disables the corresponding interrupt.
RDRF: Receive Data Register Full Interrupt Disable
TDRE: SPI Transmit Data Register Empty Interrupt Disable
MODF: Mode Fault Error Interrupt Disable
OVRES: Overrun Error Interrupt Disable
ENDRX: End of Receive Buffer Interrupt Disable
ENDTX: End of Transmit Buffer In terrupt Disable
RXBUFF: Receive Buffer Full Interrupt Disable
TXBUFE: Transmit Buffer Empty Interrupt Disable
NSSR: NSS Rising Interrupt Disable
TXEMPTY: Transmission Registers Empty Disable
UNDES: Underrun Error Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––UNDES TXEMPTY NSSR
76543210
TXBUFE RXBUFF ENDTX ENDRX OVRES MODF TDRE RDRF
567
6500D–ATARM–29-Feb-12
SAM3S
567
6500D–ATARM–29-Feb-12
SAM3S
31.8.8 SPI Interrupt Mask Register
Name: SPI_IMR
Address: 0x4000801C
Access: Read-only
0 = The corresponding interrupt is not enabled.
1 = The corresponding interrupt is enabled.
RDRF: Receive Data Register Full Interrupt Mask
TDRE: SPI Transmit Data Register Empty Interrupt Mask
MODF: Mode Fault Error Interrupt Mask
OVRES: Overrun Error Interrupt Mask
ENDRX: End of Receive Buffer Interrupt Mask
ENDTX: End of Transmit Buffer In terrupt Mask
RXBUFF: Receive Buffer Full Interrupt Mask
TXBUF E: Transmit Buffer Empty Inte rrupt Mask
NSSR: NSS Rising Interrupt Mask
TXEMPTY: Transmission Registers Empty Mask
UNDES: Underrun Error Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––UNDES TXEMPTY NSSR
76543210
TXBUFE RXBUFF ENDTX ENDRX OVRES MODF TDRE RDRF
568 6500D–ATARM–29-Feb-12
SAM3S
568 6500D–ATARM–29-Feb-12
SAM3S
31.8.9 SPI Chip Select Register
Name: SPI_CSRx[x=0..3]
Address: 0x40008030
Access: Read/Write
Note: SPI_CSRx registers must be written even if the user wants to use the defaults. The BITS field will not be updated with the trans-
lated value unless the register is written.
CPOL: Clock Polarity
0 = The inactive state value of SPCK is logic level zero.
1 = The inactive state value of SPCK is logic level one.
CPOL is used to determine the inactive state value of the serial clock (SPCK). It is used with NCPHA to produce the
required clock/ data relationship between master and slave devices.
NCPHA: Clock Phase
0 = Data is changed on the leading edge of SPCK and captured on the following edge of SPCK.
1 = Data is captured on the leading edge of SPCK and changed on the following edge of SPCK.
NCPHA determines which edge of SPCK causes data to change and which edge causes data to be captured. NCPHA is
used with CPOL to produce the required clock/data relationship between master and slave devices.
CSNAAT: Chip Select Not Active After Transfer (Ignored if CSAAT = 1)
0 = The Peripheral Chip Select does not rise between two transfers if the SPI_TDR is reloaded before the end of the first
transfer and if the two t ransfers occur on the same Chip Select.
1 = The Periphe ral Chip Select ri ses systematically b etween each tran sfer performed on the same slave fo r a minimal dur a-
tion of:
(if DLYBCT field is different from 0)
(if DLYBCT field equal 0)
CSAAT: Chip Select Active After Transfer
0 = The Peripheral Chip Select Line rises as soon as the last transfer is achieved.
1 = The Peripheral Chip Select does not rise after the last transfer is achieved. It remains active until a new transfer is
requested on a different chip select.
31 30 29 28 27 26 25 24
DLYBCT
23 22 21 20 19 18 17 16
DLYBS
15 14 13 12 11 10 9 8
SCBR
76543210
BITS CSAAT CSNAAT NCPHA CPOL
DLYBCS
MCK
-------------------------
DLYBCS 1+
MCK
-----------------------------------
569
6500D–ATARM–29-Feb-12
SAM3S
569
6500D–ATARM–29-Feb-12
SAM3S
BITS: Bits Per Transfer
(See the (Note:) below the register table; Section 31.8.9 “SPI Chip Select Register” on page 568.)
The BITS field determines the number of data bits transferred. Reserved values should not be used.
SCBR: Serial Clock Baud Rate
In Master Mode, the SPI Interface uses a modulus counter to derive the SPCK baud rate from the Master Clock MCK. The
Baud rate is selected by wr iting a value from 1 to 25 5 in the SCBR f ield. Th e following equation s determine the SPCK b aud
rate:
Programming the SCBR field at 0 is forbidden. Triggering a transfer while SCBR is at 0 can lead to unpredictable results.
At reset, SCBR is 0 and the user has to program it at a valid value before performing the first transfer.
Note: If one of the SCBR fields inSPI_CSRx is set to 1, the other SCBR fields in SPI_CSRx must be set to 1 as we ll, if they are
required to process transfers. If they are not used to transfer data, they can be set at any value.
DLYBS: Delay Before SPCK
This field defines the delay from NPCS valid to the first valid SPCK transition.
When DLYBS equals zero, the NPCS valid to SPCK transition is 1/2 the SPCK clock period.
Otherwise, the following equations determine the delay:
Value Name Description
0 8_BIT 8_bits for transfer
1 9_BIT 9_bits for transfer
2 10_BIT 8_bits for transfer
3 11_BIT 8_bits for transfer
4 12_BIT 8_bits for transfer
5 13_BIT 8_bits for transfer
6 14_BIT 8_bits for transfer
7 15_BIT 8_bits for transfer
8 16_BIT 8_bits for transfer
10 Reserved
11 Reserved
12 Reserved
13 Reserved
14 Reserved
15 Reserved
16 Reserved
SPCK Baudrate MCK
SCBR
-----------------=
Delay Before SPCK DLYBS
MCK
---------------------=
570 6500D–ATARM–29-Feb-12
SAM3S
570 6500D–ATARM–29-Feb-12
SAM3S
DLYBCT: Delay Betwee n Consecutive Transfers
This field defines the delay between two consecutive transfers with the same peripheral without removing the chip select.
The delay is always inserted afte r each transfer and before removing the chip select if needed.
When DLYBCT equals zero, no delay betwee n consecutive tran sfe rs is inserted and th e clock keeps it s duty cycle over the
character tra n sfe rs .
Otherwise, the following equation determines the delay:
Delay Between Consecutive Transfers 32 DLYBCT×MCK
---------------------------------------=
571
6500D–ATARM–29-Feb-12
SAM3S
571
6500D–ATARM–29-Feb-12
SAM3S
31.8.10 SPI Write Protection Mode Register
Name: SPI_WPMR
Address: 0x400080E4
Access: Read-write
SPIWPEN: SPI Write Protection Enable
0: The Write Protection is Disabled
1: The Write Protection is Enabled
SPIWPKEY: SPI Write Protection Key Password
If a value is written in SPIWPEN, the value is taken into account only if SPIWPKEY is written with “SPI” (SPI written in
ASCII Code, ie 0x535049 in hexadecimal).
31 30 29 28 27 26 25 24
SPIWPKEY
23 22 21 20 19 18 17 16
SPIWPKEY
15 14 13 12 11 10 9 8
SPIWPKEY
76543210
-------SPIWPEN
572 6500D–ATARM–29-Feb-12
SAM3S
572 6500D–ATARM–29-Feb-12
SAM3S
31.8.11 SPI Write Protection Status Re gi st er
Name: SPI_WPSR
Address: 0x400080E8
Access: Read-only
SPIWPVS: SPI Write Protection Violation Status
SPIWPVSRC: SPI Write Protection Violation Source
This Field indicates the APB Offset of the register concerned by the violation (SPI_MR or SPI_CSRx)
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
SPIWPVSRC
76543210
––––– SPIWPVS
SPIWPVS value Violation Type
0x1 The Write Protection has blocked a Write access to a protected register (since the last read).
0x2 Software Reset has been performed while Write Protection was enabled (since the last read or
since the last write access on SPI_MR, SPI_IER, SPI_IDR or SPI_CSRx).
0x3 Both Write Protection violation and software reset with Write Protection enabled have occurred
since the last read.
0x4 Write accesses have been detected on SPI_MR (while a chip select was active) or on SPI_CSRi
(while the Chip Select “i” was active) since the last read.
0x5 The Wri te Protection has blocked a Write access to a protected register and write accesses have
been detected on SPI_MR (while a chip select was active) or on SPI_CSRi (while the Chip Select
“i” was active) since the last read.
0x6
Software Reset has been performed while Write Protection was enabled (since the last read or
since the last write access on SPI_MR, SPI_IER, SPI_IDR or SPI_CSRx) and some write
accesses have been detected on SPI_ MR (while a chip select was active) or on SPI_CSRi (while
the Chip Select “i” was active) since the last read.
0x7
- The Write Protection has blocked a Write access to a protected register.
and
- Software Reset has been performed while Write Pro te ctio n was enabled.
and
- Write accesses have been detected on SPI_MR (while a chip select was active) or on SPI_CSRi
(while the Chip Select “i” was active) since the last read.
573
6500D–ATARM–29-Feb-12
SAM3S
32. Two-wire Interface (TWI)
32.1 Description The Atmel Two-wire Interface (TWI) interconnects components on a unique two-wire bus, made
up of one clock line and one data line with speeds of up to 400 Kbits per seco nd, based on a
byte-oriented transfer forma t. It can be used with any Atmel Two-wire Interface bus Serial
EEPROM and I²C compatible device such as Real Time Clock (RTC), Dot Matrix/Graphic LCD
Controllers and Temperature Sensor, to name but a few. The TWI is programmable as a master
or a slave with sequential or single-byte access. Multiple master capability is supported. 20
Arbitration of the bus is performed internally and puts the TWI in slave mode automatically if the
bus arbitration is lost.
A configurable ba ud ra te ge nerat or perm its the outp ut da ta rat e to be ada pted to a wid e ran ge of
core clock frequencies.
Below, Table 32-1 lists the compatibility level of the Atmel Two-wire Interface in Master Mode and
a full I2C compatible device.
Note: 1. START + b000000001 + Ack + Sr
32.2 Embedded Characteristics
Master, Multi-Master and Slave Mode Operation
Compatibility with Atmel two-wire interface, serial memory and I2C compatible devices
One, two or three bytes for slave address
Sequential re ad/write operations
Bit Rate: Up to 400 kbit/s
General Call Supported in Slave Mode
Connecting to PDC channel capabilities optimizes data transfers in Master Mode only
One channel for the receiver, one channel for the transmitter
Next buffer support
Table 32-1. Atmel TWI compatibility with i2C Standard
I2C Standard Atmel TWI
Standard Mode Speed (100 KHz) Supported
Fast Mode Speed (400 KHz) Supported
7 or 10 bits Slave Addressing Supported
START BYTE(1) Not Supported
Repeated Start (Sr) Condition Supported
ACK and NACK Management Supported
Slope control and input filtering (Fast mode) Not Suppor ted
Clock stretching Supported
Multi Master Capability Supported
574 6500D–ATARM–29-Feb-12
SAM3S
32.3 List of Abbreviations
32.4 Block Diagram
Figure 32-1. Block Diagram
Table 32-2. Abbreviations
Abbreviation Description
TWI Two-wire Interface
A Acknowledge
NA Non Acknowledge
PStop
SStart
Sr Repeated Start
SADR Slave Address
ADR Any address except SADR
R Read
WWrite
APB Bridge
PMC MCK
Two-wire
Interface
PIO
NVIC
TWI
Interrupt
TWCK
TWD
575
6500D–ATARM–29-Feb-12
SAM3S
32.5 Application Block Diagram
Figure 32-2. Application Block Diagram
32.5.1 I/O Lines Description
32.6 Product Dependencies
32.6.1 I/O Lines Both TWD and TWCK are bidirectional lines, connected to a positive supply voltage via a current
source or pull-up resistor (see Figure 32-2 on page 575). When the bus is free, both lines are
high. The output stages of devices connected to the bus must have an open-drain or open-col-
lector to perform the wired-AND function.
TWD and TWCK pins may be multiplexed with PIO lines. To enable the TWI, the programmer
must perform the following step:
Program the PIO controller to ded icate TWD and TWCK as peripheral lines.
The user must not pro gram TWD and TWCK as open-drain. It is already do ne by the hardware.
Table 32-3. I/O Lines Description
Pin Name Pin Description Type
TWD Two-wire Serial Data Input/Output
TWCK Two-wire Serial Clock Input/Output
Host with
TWI
Interface
TWD
TWCK
Atmel TWI
Serial EEPROM I²C RTC I²C LCD
Controller
Slave 1 Slave 2 Slave 3
VDD
I²C Temp.
Sensor
Slave 4
Rp: Pull up value as given by the I²C Standard
Rp Rp
Table 32-4. I/ O Lin es
Instance Signal I/O Line Peripheral
TWI0 TWCK0 PA4 A
TWI0 TWD0 PA3 A
TWI1 TWCK1 PB5 A
TWI1 TWD1 PB4 A
576 6500D–ATARM–29-Feb-12
SAM3S
32.6.2 Power Management
Enable the peripheral clock.
The TWI interface may be clocked through the Power Mana gement Controller (PMC), thus the
programme r mu st firs t con fig ur e th e PMC to enable the TW I cloc k .
32.6.3 Interrupt The TWI interface has an interrupt line connected to the Nested Vector Inte rrupt Controller
(NVIC). In order to handle interrupts, t he NVIC must be programmed before con figuring th e TWI.
32.7 Functional Description
32.7.1 Transfer Format
The data put on the TWD line must be 8 bits long. Data is transferred MSB first; each byte must
be followed by an acknowledgement. The number of bytes per transfer is unlimited (see Figure
32-4).
Each transfer begins with a START condition and terminates with a STOP condition (see Figure
32-3).
A high-to-low transition on the TWD line while TWCK is high defines the START condition.
A low-to-high transition on the TWD line while TWCK is high defines a STOP condition.
Figure 32-3. START and STOP Conditions
Figure 32-4. Transfer Format
32.7.2 Modes of Operation
The TWI has six modes of operations:
Master transmitter mode
Master receiver mode
Table 32-5. Peripheral IDs
Instance ID
TWI0 19
TWI1 20
TWD
TWCK
Start Stop
TWD
TWCK
Start Address R/W Ack Data Ack Data Ack Stop
577
6500D–ATARM–29-Feb-12
SAM3S
Multi-ma ste r transm itt er mode
Multi-m a ste r receiver mode
Slave transmitter mode
Slave receiver mode
These modes are described in the following chapters.
32.8 Master Mode
32.8.1 Definition The Master is the device t hat starts a transfer, generates a clock and stops it.
32.8.2 Application Block Diagram
Figure 32-5. Master Mode Typical Application Block Diagram
32.8.3 Programming Master Mode
The following registers have to be programmed before entering Master mode:
1. DADR (+ IADRSZ + IADR if a 10 bit device is addressed): The device address is used
to access slave devices in read or write mode.
2. CKDIV + CHDIV + CLDIV: Cloc k Waveform.
3. SVDIS: Disable the slave mode.
4. MSEN: Enable the master mode.
32.8.4 Master Transmitter Mode
After the master initiates a Start condition when writing into the Transmit Holding Register,
TWI_THR, it sends a 7-bit slave address, configured in the Master Mode register (DADR in
TWI_MMR), to notify the slave device. The bit following the slave address indicates the transfer
direction, 0 in this case (MREAD = 0 in TWI_MMR).
The TWI transfers require the slave to acknowledge each received byte. During the acknowl-
edge clock pulse (9th pulse), th e master rele ases the da ta line (HIGH), en abling the slave t o pull
it down in order to generate the acknowledge. The master polls the data line during this clock
pulse and sets the Not Acknowledge bit (NACK) in the status register if the slave does not
Host with
TWI
Interface
TWD
TWCK
Atmel TWI
Serial EEPROM I²C RTC I²C LCD
Controller
Slave 1 Slave 2 Slave 3
VDD
I²C Temp.
Sensor
Slave 4
Rp: Pull up value as given by the I²C Standard
Rp Rp
578 6500D–ATARM–29-Feb-12
SAM3S
acknowledge the byte. As with the other status bits, an interrupt can be generated if enabled in
the interrupt enable register (TWI_IER). If the sla ve acknowledges the byte, the data written in
the TWI_THR, is then shifted in the internal shifter and transferred. When an acknowledge is
detected, the TXRDY bit is set until a new write in the TWI_THR.
While no new data is written in the TWI_THR, the Serial Clock Line is tied low. When new data is
written in the TWI_THR, the SCL is released and the data is sen t. To generate a STOP event,
the STOP command must be performed by writing in the STOP field of TWI_CR.
After a Master Write transfer, the Serial Clock line is stretched (tied low) while no new data is
written in the TWI_THR or until a STOP command is performed.
See Figure 32-6, Figure 32-7, and Figure 32-8.
Figure 32-6. Master Write with One Data Byte
Figure 32-7. Master Write with Multiple Data Bytes
TXCOMP
TXRDY
Write THR (DATA)
STOP Command sent (write in TWI_CR)
TWD A DATA AS DADR W P
A DATA n AS DADR W DATA n+1 A PDATA n+2 A
TXCOMP
TXRDY
Write THR (Data n)
Write THR (Data n+1) Write THR (Data n+2)
Last data sent
STOP command performed
(by writing in the TWI_CR)
TWD
TWCK
579
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-8. Master Write with One Byte Internal Address and Multiple Data Bytes
TXRDY is used as Transmit Read y for the PDC transmit channel.
32.8.5 Master Receiver Mode
The read sequence begins by setting the START bit. After the start condition has been sent, the
master sends a 7-bit slave address to notify the slave device. The bit following t he slave address
indicates the transfer direction, 1 in this case (MREAD = 1 in TWI_MMR). During the acknowl-
edge clock pulse (9th pulse), th e master rele ases the da ta line (HIGH), en abling the slave t o pull
it down in order to generate the acknowledge. The master polls the data line during this clock
pulse and sets the NACK bit in the status register if the slave does not acknowledge the byte.
If an acknowledge is rece ived, the mast er is then r eady to receive d ata from the slave. After data
has been received, the master sends an acknowledge condition to notify the slave that the data
has been received except for the last data, after the stop condition. See Figure 32-9. When the
RXRDY bit is set in the st atus r egister, a character has been rece ived in the receive-hold ing re g-
ister (TWI_RHR). The RXRDY bit is reset when reading the TWI_RHR.
When a single data byte read is performed, with or without internal address (IADR), the START
and STOP bi ts must be set at th e same time . See Figure 32 -9. When a multiple d ata byte read is
performed, with or without internal address (IADR), the STOP bit must be set after the next-to-
last data received. See Figur e 32-10. For Internal Address usag e see Section 32.8.6.
Figure 32-9. Master Read with One Data Byte
A DATA n AS DADR W DATA n+1 A PDATA n+2 A
TXCOMP
TXRDY
Write THR (Data n)
Write THR (Data n+1) Write THR (Data n+2)
Last data sent
STOP command performed
(by writing in the TWI_CR)
TWD IADR A
TWCK
AS DADR R DATA N P
TXCOMP
Write START &
STOP Bit
RXRDY
Read RHR
TWD
580 6500D–ATARM–29-Feb-12
SAM3S
Figure 32-10. Master Read with Multiple Data Bytes
RXRDY is used as Receive Ready for the PDC receive channel.
32.8.6 Internal Address
The TWI interface can perform various transfer formats: Transfers with 7-bit slave address
devices and 10-bit slave address devices.
32.8.6.1 7-bit Slave Addressing
When Addressing 7-bit slave devices, the internal address bytes are used to perform random
address (read or write) accesses to reach one or more data bytes, within a memory page loca-
tion in a serial memory, fo r exa mple . When perfo rmi ng r ead oper ation s with an inte rn al addre ss,
the TWI performs a write operation to set the internal address in to the slave device, and then
switch to Maste r Re ceiver mode. Note t hat the seco nd start co ndition (af ter sending the I ADR) is
sometimes called “repeated start” (Sr) in I2C fully-compatible devices. See Figure 32-12. See
Figure 32-11 and Figure 32-13 fo r Master Write operation with internal address.
The three internal address bytes are configurable through the Master Mode register
(TWI_MMR).
If the slave device suppo rts only a 7-bit addre ss, i.e. no internal add ress,
IADRSZ
must be set to 0.
In the figures below the following abbreviations are used:
N
AS DADR R DATA n A ADATA (n+1) A DATA (n+m)DATA (n+m)-1 PTWD
TXCOMP
Write START Bit
RXRDY
Write STOP Bit
after next-to-last data read
Read RHR
DATA n Read RHR
DATA (n+1) Read RHR
DATA (n+m)-1 Read RHR
DATA (n+m)
•S Start
•Sr Repeated Start
•P Stop
•W Write
•R Read
•A Acknowledge
•N Not Acknowledge
•DADR Device Address
•IADR Internal Address
581
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-11. Master Write with One, Two or Three Bytes Internal Address and One Data Byte
Figure 32-12. Master Read with One, Two or Three Bytes Internal Address and One Data Byte
32.8.6.2 10-bit Sla ve Addressing
For a slave address higher than 7 bits, the user must configure the address size (IADRSZ) and
set the other slave address bits in the internal address register (TWI_IADR). The two remaining
Internal address bytes, IADR[1 5:8] and IADR[23:16] can be used the same as in 7-bit Slave
Addressing.
Example: Address a 10-bit device (10-bit device address is b1 b2 b3 b4 b5 b6 b7 b8 b9 b10)
1. Program IADRSZ = 1,
2. Program DADR with 1 1 1 1 0 b1 b2 (b1 is the MSB of the 10-bit address, b2, etc.)
3. Program TWI_IADR with b3 b4 b5 b6 b7 b8 b9 b10 (b10 is the LSB of the 10-bit
address)
Figure 32-13 below shows a byte write to an Atmel AT24LC512 EEPROM. This demonstrates
the use of internal addresses to access the device.
Figure 32-13. Internal Address Usage
32.8.7 Using the Peripheral DMA Controller (PDC)
The use of the PDC signif icantly reduces the CPU load.
To assure correct implemen tation, respect the following programming sequences:
S DADR W A IADR(23:16) A IADR(15:8) A IADR(7:0) A DATA A P
S DADR W A IADR(15:8) A IADR(7:0) A P
DATA A
A IADR(7:0) A P
DATA AS DADR W
TWD Three bytes internal address
Two bytes internal address
One byte internal address
TWD
TWD
S DADR WA IADR(23:16) A IADR(15:8) AIADR(7:0) A
S DADR W A IADR(15:8) A IADR(7:0) A
AIADR(7:0) A
S DADR W
DATA N P
Sr DADR R A
Sr DADR R A DATA N P
Sr DADR RA DATA NP
TWD
TWD
TWD
Three bytes internal address
Two bytes internal address
One byte internal address
S
T
A
R
T
M
S
B
Device
Address
0
L
S
B
R
/
W
A
C
K
M
S
B
W
R
I
T
E
A
C
K
A
C
K
L
S
B
A
C
K
FIRST
WORD ADDRESS SECOND
WORD ADDRESS DATA
S
T
O
P
582 6500D–ATARM–29-Feb-12
SAM3S
32.8.7.1 Data Transmit with the PDC
1. Initialize the transmit PDC (memory pointers, size, etc.).
2. Configure the master mode (DADR, CKDIV, etc.).
3. Start the transfer by setting the PTXTEN bit.
4. Wait for the PDC end TX flag.
5. Disable the PDC by setting the PTXDIS bit.
32.8.7.2 Data Receive with the PDC
1. Initialize the receive PDC (memory pointers, size - 1, etc.).
2. Configure the master mode (DADR, CKDIV, etc.).
3. Start the transfer by setting the PRXTEN bit.
4. Wait for the PDC end RX flag.
5. Disable the PDC by setting the PRXDIS bit.
32.8.8 SMBUS Quick Command (Master Mode Only)
The TWI interface can perform a Quick Command:
1. Configure the master mode (DADR, CKDIV, etc.).
2. Write the MREAD bit in the TWI_MMR register at the value of the one-bit command to
be sent.
3. Start the transfer by setting the QUICK bit in the TWI_CR.
Figure 32-14. SMBUS Quick Command
32.8.9 Read-write Flowcharts
The following flowcharts shown in Figure 32 -16 on pa ge 584, Figure 32-17 on page 585, Figure
32-18 on page 586, Figure 32-19 on page 587 and Figure 32-20 on page 588 give example s fo r
read and write operatio ns. A polling or interrupt method ca n be used to check the status bits.
The interrupt method requires that the interrupt enable register (TWI_IER) be configured first.
TXCOMP
TXRDY
Write QUICK command in TWI_CR
TWD AS DADR R/W P
583
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-15. TWI Write Operation with Single Data Byte without Internal Address
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address (DADR)
- Transfer direction bit
Write ==> bit MREAD = 0
Load Transmit register
TWI_THR = Data to send
Read Status register
TXRDY = 1?
Read Status register
TXCOMP = 1?
Transfer finished
Yes
Yes
BEGIN
No
No
Write STOP Command
TWI_CR = STOP
584 6500D–ATARM–29-Feb-12
SAM3S
Figure 32-16. TWI Write Operation with Single Data Byte and Internal Address
BEGIN
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address (DADR)
- Internal address size (IADRSZ)
- Transfer direction bit
Write ==> bit MREAD = 0
Load transmit register
TWI_THR = Data to send
Read Status register
TXRDY = 1?
Read Status register
TXCOMP = 1?
Transfer finished
Set the internal address
TWI_IADR = address
Yes
Yes
No
No
Write STOP command
TWI_CR = STOP
585
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-17. TWI Write Operation with Multiple Data Bytes with or without Internal Address
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address
- Internal address size (if IADR used)
- Transfer direction bit
Write ==> bit MREAD = 0
Internal address size = 0?
Load Transmit register
TWI_THR = Data to send
Read Status register
TXRDY = 1?
Data to send?
Read Status register
TXCOMP = 1?
END
BEGIN
Set the internal address
TWI_IADR = address
Yes
TWI_THR = data to send
Yes
Yes
Yes
No
No
No
Write STOP Command
TWI_CR = STOP
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
586 6500D–ATARM–29-Feb-12
SAM3S
Figure 32-18. TWI Read Operation with Single Data Byte without Internal Address
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address
- Transfer direction bit
Read ==> bit MREAD = 1
Start the transfer
TWI_CR = START | STOP
Read status register
RXRDY = 1?
Read Status register
TXCOMP = 1?
END
BEGIN
Yes
Yes
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
Read Receive Holding Register
No
No
587
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-19. TWI Read Operation with Single Data Byte and Internal Address
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address
- Internal address size (IADRSZ)
- Transfer direction bit
Read ==> bit MREAD = 1
Read Status register
TXCOMP = 1?
END
BEGIN
Yes
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
Yes
Set the internal address
TWI_IADR = address
Start the transfer
TWI_CR = START | STOP
Read Status register
RXRDY = 1?
Read Receive Holding register
No
No
588 6500D–ATARM–29-Feb-12
SAM3S
Figure 32-20. TWI Read Operation with Multiple Data Bytes with or without Internal Address
Internal address size = 0?
Start the transfer
TWI_CR = START
Stop the transfer
TWI_CR = STOP
Read Status register
RXRDY = 1?
Last data to read
but one?
Read status register
TXCOMP = 1?
END
Set the internal address
TWI_IADR = address
Yes
Yes
Yes
No
Yes
Read Receive Holding register (TWI_RHR)
No
Set the Control register:
- Master enable
TWI_CR = MSEN + SVDIS
Set the Master Mode register:
- Device slave address
- Internal address size (if IADR used)
- Transfer direction bit
Read ==> bit MREAD = 1
BEGIN
Set TWI clock
(CLDIV, CHDIV, CKDIV) in TWI_CWGR
(Needed only once)
No
Read Status register
RXRDY = 1?
Yes
Read Receive Holding register (TWI_RHR)
No
589
6500D–ATARM–29-Feb-12
SAM3S
32.9 Multi-master Mode
32.9.1 Definition More than one master may handle the bus at the same time without data corruption by using
arbitration.
Arbitration start s as soon as tw o or more master s place inf ormation on the b us at the same time,
and stops (arbitration is lost) for the master that intends to send a logical one while the other
master sends a logical zero.
As soon as arbitration is lost by a master, it stops sending data and listens to the bus in order to
detect a stop. When the stop is detecte d, the master who ha s lost arbitr at ion may pu t its dat a on
the bus by respecting arbitration.
Arbitration is illustrated in Figure 32-22 on page 590.
32.9.2 Different Multi-master Modes
Two multi-master modes may be distinguished:
1. TWI is considered as a Master only and will never be addressed.
2. TWI may be either a Master or a Slave and may be addressed.
Note: In both Multi-master modes arbitration is supported.
32.9.2.1 TWI as Master Only
In this mode, TWI is considered as a Master only (MSEN is always at one) and must be driven
like a Master with the ARBLST (ARBitration Lost) flag in addition.
If arbitration is lost (ARBLST = 1), the programmer must reinitiate the data transfer.
If the user starts a transfer (ex.: DADR + START + W + Write in THR) and if the bus is busy, the
TWI automatically waits for a STOP condition on the bus to initiate the transfer (see Figure 32-
21 on page 590).
Note: The state of the bus (busy or free) is not indicated in the user interface.
32.9.2.2 TWI as Master or Slave
The automatic reversal from Master to Slave is not supported in case of a lost arbitration.
Then, in the case where TWI may be either a Master or a Slave, the programmer must manage
the pseudo Multi-master mode described in the steps below.
1. Program TWI in Slave mode (SADR + MSDIS + SVEN) and perform Slave Access (if
TWI is addressed).
2. If TWI has to be set in Master mode, wait until TXCOMP flag is at 1.
3. Program Master mode (DADR + SVDIS + MSEN) and start the transfer (ex: START +
Write in THR).
4. As soon as the Master mode is enabled, TWI scans the bus in ord er to detect if it is
busy or free. When the bus is considered as free, TWI initiates the transfer.
5. As soon as the transfer is initiated and until a STOP co nd itio n is s ent, th e ar bit ration
becomes relevant and the user must monitor the ARBLST flag.
6. If the arbitration is lost (ARBLST is set to 1), the user must program the TWI in Slave
mode in the case where the Master that won the arbitration wanted to access the TWI.
7. If TWI has to b e set in Slav e mode , wait u ntil TXCOMP flag is at 1 and th en progra m the
Slave mode.
590 6500D–ATARM–29-Feb-12
SAM3S
Note: In the case where the arbitration is lost and TWI is addressed, TWI will not acknowledge even if it
is programmed in Slave mode as soon as ARBLST is set to 1. Then, the Master must repeat
SADR.
Figure 32-21. Programmer Sends Data While the Bus is Busy
Figure 32-22. Arbitration Cases
The flowchart sh own in Figure 32- 23 on page 5 91 gives an exampl e of read and write operations
in Multi-master mode.
TWCK
TWD DATA sent by a master
STOP sent by the master START sent by the TWI
DATA sent by the TWI
Bus is busy
Bus is free
A transfer is programmed
(DADR + W + START + Write THR) Transfer is initiated
TWI DATA transfer Transfer is kept
Bus is considered as free
TWCK
Bus is busy Bus is free
A transfer is programmed
(DADR + W + START + Write THR) Transfer is initiated
TWI DATA transfer Transfer is kept
Bus is considered as free
Data from a Master
Data from TWI S0
S 0 0
1
1
1
ARBLST
S0
S 0 0
1
1
1
TWD S 0 0
1
11
11
Arbitration is lost
TWI stops sending data
P
S0
1
P0
11
11
Data from the master Data from the TWI
Arbitration is lost
The master stops sending data
Transfer is stopped Transfer is programmed again
(DADR + W + START + Write THR)
TWCK
TWD
591
6500D–ATARM–29-Feb-12
SAM3S
Figure 32-23. Multi-master Flowchart
Programm the SLAVE mode:
SADR + MSDIS + SVEN
SVACC = 1 ?
TXCOMP = 1 ?
GACC = 1 ?
Decoding of the
programming sequence
Prog seq
OK ?
Change SADR
SVREAD = 0 ?
Read Status Register
RXRDY= 0 ?
Read TWI_RHR
TXRDY= 1 ?
EOSACC = 1 ?
Write in TWI_THR
Need to perform
a master access ?
Program the Master mode
DADR + SVDIS + MSEN + CLK + R / W
Read Status Register
ARBLST = 1 ?
MREAD = 1 ?
TXRDY= 0 ?
Write in TWI_THR
Data to send ?
RXRDY= 0 ?
Read TWI_RHR Data to read?
Read Status Register
TXCOMP = 0 ?
GENERAL CALL TREATMENT
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Yes
Stop Transfer
TWI_CR = STOP
No
No No
No
No
No
No
No
No
No
No
No
No
No No
No
START
592 6500D–ATARM–29-Feb-12
SAM3S
32.10 Slave Mode
32.10.1 Definition The Slave Mode is defined as a mode where the device receives the clock and the address from
another device called the master.
In this mode, the device never initiates and never completes the transmission (START,
REPEATED_START and STOP condit ions are always provided by the master).
32.10.2 Application Block Diagram
Figure 32-24. Slave Mode Typical Application Block Diagram
32.10.3 Programming Slave Mode
The following fields must be programmed before entering Slave mode:
1. SADR (TWI_SM R): The slave device address is used in order to be accessed by mas-
ter devices in read or write mode.
2. MSDIS (TWI_CR): Disable the master mode.
3. SVEN (TWI_CR): Enable the slave mode.
As the device receives the clock, values written in TWI_CWGR are not taken into account.
32.10.4 Receiving DataAfter a Start or Repeated Start condition is detected and if the address sent by the Master
matches with the Slave address programmed in the SADR (Slave ADdress) field, SVACC (Slave
ACCess) flag is set and SVREAD (Slave READ) indicates the direction of the transfer.
SVACC remains high until a STOP condition or a repeated START is detecte d. When such a
condition is detected, EOSACC (End Of Slave ACCess) flag is set.
32.10.4.1 Read Sequence
In the case of a Read sequence (SVREAD is high), TWI transfers data written in the TWI_THR
(TWI Transmit Holding Register) until a STOP condition or a REPEATED_START + an address
different from SADR is detected. Note tha t at the end of the read sequence TXCOMP (Transmis-
sion Complete) flag is set and SVACC reset.
As soon as data is written in the TWI_THR, TXRDY (Transmit Holding R egister Ready) flag is
reset, and it is set when the shift register is empty and the sent data acknowledged or not. If the
data is not acknowledged, the NACK flag is set.
Host with
TWI
Interface
TWD
TWCK
LCD Controller
Slave 1 Slave 2 Slave 3
RR
VDD
Host with TWI
Interface Host with TWI
Interface
Master
593
6500D–ATARM–29-Feb-12
SAM3S
Note that a STOP or a repeated START always follows a NACK.
See Figure 32-25 on page 594.
32.10.4.2 Write Sequence
In the case of a Write sequence (SVREAD is low), the RXRDY (Receive Holding Register
Ready) flag is set as soon as a character has been received in the TWI_RHR (TWI Receive
Holding Register). RXRDY is reset when reading the TWI_RHR.
TWI continues receiving data until a STOP condition or a REPEATED_START + an address dif-
ferent from SADR is detected. Note that at the end of the write sequence TXCOMP flag is set
and SVACC reset.
See Figure 32-26 on page 594.
32.10.4.3 Clock Synchronization Sequence
In the case where TWI_THR or TWI_RHR is not written/read in time, TWI performs a clock
synchronization.
Clock stretching information is given by the SCLWS (Clock Wait state) bit.
See Figure 32-28 on page 596 and Figur e 32-29 on page 597.
32.10.4.4 General CallIn the case where a GENERAL CALL is performed, GACC (General Call ACCess) flag is set.
After GACC is set, it is up to the programmer to interpret the meaning of the GENERAL CALL
and to decode the new addr ess programming sequence .
See Figure 32-27 on page 595.
32.10.4.5 P As it is impossible to know the exact number of data to re ce ive/se nd, t he use of Pis NO T r ecom-
mended in SLAVE mode.
32.10.5 Data Transfer
32.10.5.1 Read Operation
The read mode is defined as a data requirement from the master.
After a START or a REPEATED START condition is detected, the decoding of the address
starts. If the slave address (SADR) is decoded, SVACC is set and SVREAD indicates the direc-
tion of the transfer.
Until a STOP or REPEATED START condition is detected, TWI continues sending data loaded
in the TWI_THR register.
If a STOP condition or a REPEATED START + an address different from SADR is detected,
SVACC is reset.
Figure 32-25 on page 594 describes the write operation.
594 6500D–ATARM–29-Feb-12
SAM3S
Figure 32-25. Read Access Ordered by a MASTER
Notes: 1. When SVACC is low, the state of SVREAD becomes irrelevant.
2. TXRDY is reset when data has been transmitted from TWI_THR to the shift register and set when this data has been
acknowledged or non acknowledged.
32.10.5.2 Write Operation
The write mode is defined as a data transmission from the master.
After a START or a REPEATED START, the decoding of the address starts. If the slave address
is decoded, SVACC is set and SVREAD in dicates the directio n of the transf er (SVREAD is low in
this case).
Until a STOP or REPEATED START condition is de tected, TWI stores the received data in the
TWI_RHR register.
If a STOP condition or a REPEATED START + an address different from SADR is detected,
SVACC is reset.
Figure 32-26 on page 594 describes the Write operation.
Figure 32-26. Write Access Ordered by a Master
Notes: 1. When SVACC is low, the state of SVREAD becomes irrelevant.
2. RXRDY is set when data has been transmitted from the shift register to the TWI_RHR and reset when this data is read.
Write THR Read RHR
SVREAD has to be taken into account only while SVACC is active
TWD
TXRDY
NACK
SVACC
SVREAD
EOSVACC
SADRS ADR R NA R A DATA A A DATA NA S/SrDATA NA P/S/Sr
SADR matches,
TWI answers with an ACK
SADR does not match,
TWI answers with a NACK ACK/NACK from the Master
RXRDY
Read RHR
SVREAD has to be taken into account only while SVACC is active
TWD
SVACC
SVREAD
EOSVACC
SADR does not match,
TWI answers with a NACK
SADRS ADR W NA W A DATA A A DATA NA S/SrDATA NA P/S/Sr
SADR matches,
TWI answers with an ACK
595
6500D–ATARM–29-Feb-12
SAM3S
32.10.5.3 General CallThe general call is performed in order to change the address of the slave.
If a GENERAL CALL is detected, GACC is set.
After the detection of General Call, it is up to the programmer to decode the commands which
come afterwards.
In case of a WRITE command, the programmer has to decode the programming sequence and
program a new SADR if the pro gramming sequence matches.
Figure 32-27 on page 595 describes the General Call access.
Figure 32-27. Master Performs a General Call
Note: This method allows the user to create an own programming sequence by choosing the program-
ming bytes and the number of them. The programming sequence has to be provided to the
master.
0000000 + W
GENERAL CALL P
SA
GENERAL CALL Reset or write DADD A New SADR
DATA1 A DATA2 A
A
New SADR
Programming sequence
TXD
GCACC
SVACC
RESET command = 00000110X
WRITE command = 00000100X
Reset after read
596 6500D–ATARM–29-Feb-12
SAM3S
32.10.5.4 Clock Synchronization
In both read and write modes, it may happen that TWI_THR/TWI_RHR buffer is not filled /emp-
tied before the emission/reception of a new character. In this case, to avoid sending/receiving
undesired data, a clock stretching mechanism is implemented.
Clock Synchronization in Read Mode
The clock is tied low if the shift register is empty and if a STOP or REPEATED START condition
was not detected. It is tied low until the shift register is loaded.
Figure 32-28 on page 596 describes the clock synchronization in Read mode.
Figure 32-28. Clock Synchronization in Read Mode
Notes: 1. TXRDY is reset when data has been written in the TWI_THR to the shift register and set when this data has been acknowl-
edged or non acknowledged.
2. At the end of the read sequence, TXCOMP is set after a STOP or after a REPEATED_START + an address different from
SADR.
3. SCLWS is automatically set when the clock synchronization mechanism is started.
DATA1
The clock is stretched after the ACK, the state of TWD is undefined during clock stretching
SCLWS
SVACC
SVREAD
TXRDY
TWCK
TWI_THR
TXCOMP
The data is memorized in TWI_THR until a new value is written
TWI_THR is transmitted to the shift register Ack or Nack from the master
DATA0DATA0 DATA2
1
2
1
CLOCK is tied low by the TWI
as long as THR is empty
SSADR
SRDATA0AADATA1 ADATA2 NA S
XXXXXXX
2
Write THR
As soon as a START is detected
597
6500D–ATARM–29-Feb-12
SAM3S
Clock Synchronization in Write Mode
The clock is tied low if the shift register and the TWI_RHR is full. If a STOP or
REPEATED_START condition was not detected, it is tied low until TWI_RHR is read.
Figure 32-29 on page 597 describes the clock synchronization in Read mode.
Figure 32-29. Clock Synchronization in Write Mode
Notes: 1. At the end of the read sequence, TXCOMP is set after a STOP or after a REPEATED_START + an address different from
SADR.
2. SCLWS is automatically set when the clock synchronization mechanism is started and automatically reset when the mecha-
nism is finished.
Rd DATA0 Rd DATA1 Rd DATA2
SVACC
SVREAD
RXRDY
SCLWS
TXCOMP
DATA1 DATA2
SCL is stretched on the last bit of DATA1
As soon as a START is detected
TWCK
TWD
TWI_RHR
CLOCK is tied low by the TWI as long as RHR is full
DATA0 is not read in the RHR
ADRS SADR W ADATA0A A DATA2DATA1 S
NA
598 6500D–ATARM–29-Feb-12
SAM3S
32.10.5.5 Reversal after a Repeated Start
Reversal of Read to Write
The master initiates the communication by a read command and finishes it by a write command.
Figure 32-30 on page 598 describes the repeated start + reversal from Read to Write mode.
Figure 32-30. Repeated Start + Reversal from Read to Write Mode
1. TXCOMP is only set at the end of the transmission because after the repea ted start, SADR is detected again.
Reversal of Write to Read
The master initiates the communication by a write command and finishes it by a read com-
mand.Figure 32-31 on page 598 describes the repeated start + reversal from Write to Read
mode.
Figure 32-31. Repeated Start + Reversal from Writ e to Read Mode
Notes: 1. In this case, if TWI_THR has not been written at the end of the read command, the clock is automatically stretched before
the ACK.
2. TXCOMP is only set at the end of the transmission because after the repeated start, SADR is detected again.
S SADR R ADATA0A DATA1 SADRSr
NA
W A DATA2 A DATA3 A P
Cleared after read
DATA0 DATA1
DATA2 DATA3
SVACC
SVREAD
TWD
TWI_THR
TWI_RHR
EOSACC
TXRDY
RXRDY
TXCOMP
As soon as a START is detected
S SADR W ADATA0A DATA1 SADRSr
A
R A DATA2 A DATA3 NA P
Cleared after read
DATA0
DATA2 DATA3
DATA1
TXCOMP
TXRDY
RXRDY
As soon as a START is detected
Read TWI_RHR
SVACC
SVREAD
TWD
TWI_RHR
TWI_THR
EOSACC
599
6500D–ATARM–29-Feb-12
SAM3S
32.10.6 Read Write Flowcharts
The flowchart sh own in Figure 32- 32 on page 5 99 gives an exampl e of read and write operations
in Slave mode. A polling or interrupt method can be used to check the status bits. The interrupt
method requires that the inte rrupt enable register (TWI_IER) be configured first.
Figure 32-32. Read Write Flowchart in Slave Mode
Set the SLAVE mode:
SADR + MSDIS + SVEN
SVACC = 1 ?
TXCOMP = 1 ?
GACC = 1 ?
Decoding of the
programming sequence
Prog seq
OK ?
Change SADR
SVREAD = 0 ?
Read Status Register
RXRDY= 0 ?
Read TWI_RHR
TXRDY= 1 ?
EOSACC = 1 ?
Write in TWI_THR
END
GENERAL CALL TREATMENT
No
No
No No
No
No
No
No
600 6500D–ATARM–29-Feb-12
SAM3S
32.11 Two-wire Interface (TWI) User Interface
Note: 1.
Table 32-6. Register Mapping
Offset Register Name Access Reset
0x00 Control Register TWI_CR Write-only N / A
0x04 Master Mode Register TWI_MMR Read-wr ite 0x00000000
0x08 Slave Mode Register TWI_SMR Read-wr ite 0x00000000
0x0C Internal Address Register TWI_IADR Read-write 0x00000000
0x10 Clock Wav eform Generator Register TWI_CWGR Read-write 0x00000000
0x14 - 0x1C Reserved
0x20 Status Register TWI_SR Read-only 0x0000F009
0x24 Interrupt Enable Register TWI_IER Write-only N / A
0x28 Interrupt Disable Register TWI_IDR Write-only N / A
0x2C Interrupt Mask Register TWI_IMR Read-only 0x00000000
0x30 Receive Holding Register TWI_RHR Read-only 0x00000000
0x34 Transmit Holding Register TWI_THR W rite-only 0x00000000
0x100 - 0x124 Reserved f or the PDC
601
6500D–ATARM–29-Feb-12
SAM3S
32.11.1 TWI Control Regi ster
Name: TWI_CR
Addresses: 0x40018000 (0), 0x4001C000 (1)
Access: Write-only
Reset: 0x00000000
START: Send a START Condition
0 = No effect.
1 = A frame beginning with a START bit is transmitted according to the features defined in the mode register.
This action is ne ce ssary whe n the TWI p er iphe ral wan ts to re ad da ta f rom a slave. When co nfigu red in Ma st er M ode with a
write operation, a frame is sent as soon as the user writes a character in the Transmit Holding Register (TWI_THR).
STOP: Send a STOP Condition
0 = No effect.
1 = STOP Condition is sen t just after completing t he current byte transmission in master re ad mode.
In single data byte master read, the START and STOP must both be set.
In multiple data bytes master read, the STOP must be set after the last data received but one.
In master read mode, if a NACK bit is received, the STOP is automatically performed.
In master data write operation, a STOP condition will be sent after the transmission of the current data is
finished.
MSEN: TWI Master Mode Enabled
0 = No effect.
1 = If MSDIS = 0, the ma ster mode is enabled.
Note: Switching from Slave to Master mode is only permitted when TXCOMP = 1.
MSDIS: TWI Master Mode Disabled
0 = No effect.
1 = The master mode is d isa ble d, all pen ding da ta is tra nsmitte d. The shif t er and ho ldin g chara cter s (if it con tai ns data ) a re
transmitted in case of write oper ation. In read operation, the character bein g transferred must be completely received
before disabling.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
SWRST QUICK SVDIS SVEN MSDIS MSEN STOP START
602 6500D–ATARM–29-Feb-12
SAM3S
SVEN: TWI Slave Mode Enabled
0 = No effect.
1 = If SVDIS = 0, the slave mode is enabled.
Note: Switching from Master to Slave mode is only permitted when TXCOMP = 1.
SVDIS: TWI Slave Mode Disabled
0 = No effect.
1 = The slave mode is disa bled. The shifter and ho lding charact er s (if it contai ns data) ar e transmit ted in ca se of read oper-
ation. In write operation, the character being transferred must be completely received before disabling.
QUICK: SMBUS Quick Command
0 = No effect.
1 = If Master mode is enabled, a SMBUS Quick Command is sent.
SWRST: Software Reset
0 = No effect.
1 = Equivalent to a system reset.
603
6500D–ATARM–29-Feb-12
SAM3S
32.11.2 TWI Master Mode Register
Name: TWI_MMR
Addresses: 0x40018004 (0), 0x4001C004 (1)
Access: Read-write
Reset: 0x00000000
IADRSZ: Internal Device Address Size
MREAD: Master Read Direction
0 = Master write direction.
1 = Master read direction.
DADR: Device Address
The device address is used to access slave devices in read or write mode. Those bits are only used in Master mode.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–DADR
15 14 13 12 11 10 9 8
–––MREAD–– IADRSZ
76543210
––––––––
Value Name Description
0 NONE No internal device address
1 1_BYTE One-byte internal de vice address
2 2_BYTE Two-byte internal device address
3 3_BYTE Three-byte internal device address
604 6500D–ATARM–29-Feb-12
SAM3S
32.11.3 TWI Slave Mode Register
Name: TWI_SMR
Addresses: 0x40018008 (0), 0x4001C008 (1)
Access: Read-write
Reset: 0x00000000
SADR: Slave Address
The slave device address is used in Slave mode in order to be accessed by master devices in read or write mode.
SADR must be programmed before enabling the Slave mode or after a genera l call. Writes at other times have no effect.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–SADR
15 14 13 12 11 10 9 8
––––––
76543210
––––––––
605
6500D–ATARM–29-Feb-12
SAM3S
32.11.4 TWI Inte rnal Addres s Re gi st er
Name: TWI_IADR
Addresses: 0x4001800C (0), 0x4001C00C (1)
Access: Read-write
Reset: 0x00000000
IADR: Internal Address
0, 1, 2 or 3 bytes depending on IADRSZ.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
IADR
15 14 13 12 11 10 9 8
IADR
76543210
IADR
606 6500D–ATARM–29-Feb-12
SAM3S
32.11.5 TWI Clock Waveform Generator Register
Name: TWI_CWGR
Addresses: 0x40018010 (0), 0x4001C010 (1)
Access: Read-write
Reset: 0x00000000
TWI_CWGR is only used in Master mode.
CLDIV: Clock Low Divider
The SCL low period is defined as follows:
CHDIV: Clock High Divider
The SCL high period is def ined as follows:
CKDIV: Clock Divider
The CKDIV is used to increase both SCL high and low periods.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CKDIV
15 14 13 12 11 10 9 8
CHDIV
76543210
CLDIV
Tlow CLDIV(2CKDIV
×()4)+TMCK
×=
Thigh CHDIV(2CKDIV
×()4)+TMCK
×=
607
6500D–ATARM–29-Feb-12
SAM3S
32.11.6 TWI Status Register
Name: TWI_SR
Addresses: 0x40018020 (0), 0x4001C020 (1)
Access: Read-only
Reset: 0x0000F009
TXCOMP: Transmission Completed (automatically set / reset)
TXCOMP used in Master mode:
0 = During the length of the current frame.
1 = When both holdin g and shifte r re gisters are empty an d STOP co nd itio n ha s be en sen t.
TXCOMP behavior in Ma ster mode can be seen in Figure 32-8 on page 579 and in Figure 32-10 on page 580.
TXCOMP used in Slave mode:
0 = As soon as a Start is detected.
1 = After a Stop or a Repeated Start + an address different from SADR is detected.
TXCOMP behavior in Slave mode can be seen in Figure 32-28 on page 596, Figure 32-29 on page 597, Figure 32-30 on
page 598 and Figure 32-31 on page 598.
RXRDY: Receive Holdin g Regis te r Ready (auto mat ic al ly set / reset)
0 = No character has been received since the last TWI_RHR read op eration.
1 = A byte has been received in the TWI_RHR since the last read.
RXRDY behavior in Master mode can be seen in Figure 32-10 on page 580.
RXRDY behavior in Slave mode can be seen in Figure 32-26 on page 594, Figure 32-29 on page 597, Figure 32-30 on
page 598 and Figure 32-31 on page 598.
TXRDY: Transmit Holding Register Ready (automatically set / reset)
TXRDY used in Master mode:
0 = The transmit holding register has not been transferred into shift re gister. Set to 0 when writing into TWI_THR register.
1 = As soon as a data byte is transferred from TWI_THR to internal shifter or if a NACK error is detected, TXRDY is set at
the same time as TXCOMP and NACK. TXRDY is also set when MSEN is set (enable TWI).
TXRDY behavior in Master mode can be seen in Figure 32-8 on page 579.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXBUFE RXBUFF ENDTX ENDRX EOSACC SCLWS ARBLST NACK
76543210
OVRE GACC SVACC SVREAD TXRDY RXRDY TXCOMP
608 6500D–ATARM–29-Feb-12
SAM3S
TXRDY used in Slave mode:
0 = As soon as data is written in the TWI_THR, until this data has been transmitted and acknowledged (ACK or NACK).
1 = It indicates that t he TWI_THR is empty and that data has been transmitted and acknowledged.
If TXRDY is high and if a NACK has been detected, the transmission will be stopped. Thus when TRDY = NACK = 1, the
programmer must not fill TWI_THR to avoid losing it.
TXRDY behavior in Slave mode can be seen in Figure 32-25 on page 594, Figure 32-28 on page 596, Figure 32-30 on
page 598 and Figure 32-31 on page 598.
SVREAD: Slave Read (automatically set / reset)
This bit is only used in Slave mode. When SVACC is low (no Slave access has been detected) SVREAD is irrelevant.
0 = Indicates that a write access is performed by a Master.
1 = Indicates that a read access is performed by a Master.
SVREAD behavior can be seen in Figure 32-25 on page 594, F igure 32-26 on pa ge 594, Figure 32-30 on page 598 and
Figure 32-31 on page 598.
SVACC: Slave Access (automatically set / reset)
This bit is only used in Slave mode.
0 = TWI is not addressed. SVACC is automatically cleared after a NACK or a STOP condition is detected.
1 = Indicates that the address de coding sequence has matched (A Master has sen t SADR). SVACC remains high until a
NACK or a STOP condition is detected.
SVACC behavior can be seen in Figure 32-25 on page 594, Fi gur e 32- 26 o n pa ge 594 , Figure 32-30 on page 598 and Fig-
ure 32-31 on page 598.
GACC: General Call Access (clear on read)
This bit is only used in Slave mode.
0 = No General Call has been detected.
1 = A General Call has been detected. After the detection of General Call, if need be, the programmer may acknowledge
this access and decode the f ollowing bytes and respond according to the value of the bytes.
GACC behavior can be seen in Figure 32-27 on page 595.
OVRE: Overrun Error (clear on read)
This bit is only used in Master mode.
0 = TWI_RHR has not been loaded while RXRDY was set
1 = TWI_RHR has been loaded while RXRDY was set. Reset by read in TWI_SR when TXCOMP is set.
NACK: Not Acknowledged (clear on read)
NACK used in Master mode:
0 = Each data byte ha s been correctly received by the far-end side TWI slave component.
1 = A data byte has not been ackno wledged by the slave component. Set at the same time as TXCOMP.
NACK used in Slave Read mode:
609
6500D–ATARM–29-Feb-12
SAM3S
0 = Each data byte ha s been correctly received by the Master.
1 = In read mode, a data byte has not been acknowledged by the Master. When NACK is set the programmer must not fill
TWI_THR even if TXRDY is set, because it means that the Master will stop the data transfer or re initiate it.
Note that in Slave Write mode all data are acknowledged by the TWI.
ARBLST: Arbitration Lost (clear on read)
This bit is only used in Master mode.
0: Arbitration won.
1: Arbitration lost. Another master of the TWI bus has won the multi-master arbitration. TXCOMP is set at the same time.
SCLWS: Clock Wait State (automatically set / reset)
This bit is only used in Slave mode.
0 = The clock is not stretched.
1 = The clock is stretched. TWI_THR / TWI_RHR buffer is not filled / emptied before the emission / reception of a new
character.
SCLWS behavior can be seen in Figure 32-28 on page 596 and Figure 32-29 on page 597.
EOSACC: End Of Slave Access (clear on read)
This bit is only used in Slave mode.
0 = A slave access is being performing.
1 = The Slave Access is finished. End Of Slave Access is automatically set as soon as SVACC is reset.
EOSACC behavior can be seen in Figure 32-30 on page 598 and Figure 32-31 on page 598
ENDRX: End of RX buffer
This bit is only used in Master mode.
0 = The Receive Counter Register has not reached 0 since the last write in TWI_RCR or TWI_RNCR.
1 = The Receive Counter Register has reached 0 since the last write in TWI_RCR or TWI_RNCR.
ENDTX: End of TX buffer
This bit is only used in Master mode.
0 = The Transmit Counter Register has not reached 0 since the last write in TWI_TCR or TWI_TNCR.
1 = The Transmit Counter Register has reached 0 since the last write in TWI_TCR or TWI_TNCR.
RXBUFF: RX Buffer Full
This bit is only used in Master mode.
0 = TWI_RCR or TWI_RNCR have a value other than 0.
1 = Both TWI_RCR and TWI_RNCR have a value of 0.
TXBUFE: TX Buffer Empty
This bit is only used in Master mode.
0 = TWI_TCR or TWI_TNCR have a value other than 0.
1 = Both TWI_TCR and TWI_TNCR have a value of 0.
610 6500D–ATARM–29-Feb-12
SAM3S
32.11.7 TWI Inte rrup t En able Regi st er
Name: TWI_IER
Addresses: 0x40018024 (0), 0x4001C024 (1)
Access: Write-only
Reset: 0x00000000
TXCOMP: Transmission Completed Int errupt Enable
RXRDY: Receive Holding Reg is te r Ready Interru p t Enable
TXRDY: Transmit Holding Register Ready Interrupt Enable
SVACC: Slave Access Interrupt Enable
GACC: General Call Access Interrupt Enable
OVRE: Overrun Error Interrupt Enable
NACK: Not Acknowledge Interrupt Enable
ARBLST: Arbitration Lost Interrupt Enable
SCL_WS: Clock Wait State Interrupt Enable
EOSAC C: End Of Slave Access Interrupt Enable
ENDRX: End of Receive Buffer Interrupt Enable
ENDTX: End of Transmit Buffer In terrupt Enable
RXBUFF: Receive Buffer Full Interrupt Enable
TXBUFE: Transmit Buffer Empty Interrupt Enable
0 = No effect.
1 = Enables the corresponding interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXBUFE RXBUFF ENDTX ENDRX EOSACC SCL_WS ARBLST NACK
76543210
OVRE GACC SVACC TXRDY RXRDY TXCOMP
611
6500D–ATARM–29-Feb-12
SAM3S
32.11.8 TWI Interrupt Disable Register
Name: TWI_IDR
Addresses: 0x40018028 (0), 0x4001C028 (1)
Access: Write-only
Reset: 0x00000000
TXCOMP: Transmission Completed Interrupt Disable
RXRDY: Receive Holding Register Ready Interrupt Disable
TXRDY: Transmit Holding Register Ready Interrupt Disable
SVACC: Slave Access Interrupt Disable
GACC: General Call Access Interrupt Disable
OVRE: Overrun Error Interrupt Disable
NACK: Not Acknowledge Interrupt Disable
ARBLST: Arbitration Lost Interrupt Disable
SCL_WS: Clock Wait State Interrupt Disable
EOSACC: End Of Slave Access Interrupt Disable
ENDRX: End of Receive Buffer Interrupt Disable
ENDTX: End of Transmit Buffer In terrupt Disable
RXBUFF: Receive Buffer Full Interrupt Disable
TXBUFE: Transmit Buffer Empty Interrupt Disable
0 = No effect.
1 = Disables the corresponding interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXBUFE RXBUFF ENDTX ENDRX EOSACC SCL_WS ARBLST NACK
76543210
OVRE GACC SVACC TXRDY RXRDY TXCOMP
612 6500D–ATARM–29-Feb-12
SAM3S
32.11.9 TWI Interrupt Mask Register
Name: TWI_IMR
Addresses: 0x4001802C (0), 0x4001C02C (1)
Access: Read-only
Reset: 0x00000000
TXCOMP: Transmission Completed Interrupt M ask
RXRDY: Receive Holding Register Ready Interrupt Mask
TXRDY: Transmit Holding Register Ready Interrupt Mask
SVACC: Slave Access Interrupt Mask
GACC: General Call Access Interrupt Mask
OVRE: Overrun Error Interrupt Mask
NACK: Not Acknowledge Interrupt Mask
ARBLST: Arbitration Lost Interrupt Mask
SCL_WS: Clock Wait State Interrupt Mask
EOSACC: End Of Slave Access Interrupt Mask
ENDRX: End of Receive Buffer Interrupt Mask
ENDTX: End of Transmit Buffer In terrupt Mask
RXBUFF: Receive Buffer Full Interrupt Mask
TXBUF E: Transmit Buffer Empty Inte rrupt Mask
0 = The corresponding interrupt is disabled.
1 = The corresponding interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXBUFE RXBUFF ENDTX ENDRX EOSACC SCL_WS ARBLST NACK
76543210
OVRE GACC SVACC TXRDY RXRDY TXCOMP
613
6500D–ATARM–29-Feb-12
SAM3S
32.11.10 TWI Receive Holding Register
Name: TWI_RHR
Addresses: 0x40018030 (0), 0x4001C030 (1)
Access: Read-only
Reset: 0x00000000
RXDATA: Master or Slave Receive Holding Data
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
RXDATA
614 6500D–ATARM–29-Feb-12
SAM3S
32.11.11 TWI Transmit Holding Register
Name: TWI_THR
Addresses: 0x40018034 (0), 0x4001C034 (1)
Access: Read-write
Reset: 0x00000000
TXDATA: Master or Slave Transmit Holding Data
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
TXDATA
615
6500D–ATARM–29-Feb-12
SAM3S
615
6500D–ATARM–29-Feb-12
SAM3S
33. Universal Asynchronous Receiver Transceiver (UART)
33.1 Description The Universal Asynchronous Receiver Transmitter f eatures a two- pin UART that can be used for
communication and trace purposes and offers an ideal medium for in-situ programming solu-
tions. Moreover, the asso ciation with two peripheral DMA controller (PDC) channels perm its
packet handling for these tasks with processor time reduced to a minimum.
33.2 Embedded Characteristics
•Two-pin UART
Implemented Features are USART Compatible
Independent Receiver and Transmitter with a Common Programmable Baud Rate
Generator
Even, Odd, Mark or Space Parity Generation
Parity, Framing and Overrun Erro r Det ec tio n
Automatic Echo, Local Loopback and Remote Loopback Channel Modes
Interrupt Generation
Support for Two PDC Channels with Connection to Receiver and Transmitter
616 6500D–ATARM–29-Feb-12
SAM3S
616 6500D–ATARM–29-Feb-12
SAM3S
33.3 Block Diagram
Figure 33-1. UART Functional Blo ck Diagram
33.4 Product Dependencies
33.4.1 I/O Lines The UART pins are multiplexed with PIO lines. The programm er must first configure the corre-
sponding PIO Controller to enable I/O line operations of the UART.
33.4.2 Power Management
The UART clock is controllable th ro ugh t he Power Ma na gement Con trolle r. In th is case, t he pr o-
grammer must first configure the PMC to enable the UART clock. Usually, the peripheral
identifier used for this purpose is 1.
Peripheral DMA Controller
Baud Rate
Generator
Transmit
Receive
Interrupt
Control
Peripheral
Bridge
Parallel
Input/
Output
UTXD
URXD
Power
Management
Controller
MCK
uart_irq
APB UART
Table 33-1. UAR T Pin Description
Pin Name Description Type
URXD UART Receive Data Input
UTXD UART Transmit Data Output
Table 33-2. I/ O Lin es
Instance Signal I/O Line Peripheral
UART0 URXD0 PA9 A
UART0 UTXD0 PA10 A
UART1 URXD1 PB2 A
UART1 UTXD1 PB3 A
617
6500D–ATARM–29-Feb-12
SAM3S
617
6500D–ATARM–29-Feb-12
SAM3S
33.4.3 Interrupt Source
The UART interrupt line is connected to one of the interrupt sources of the Nested Vectore d
Interrupt Controller (NVIC). Interrupt handling requires programming of the NVIC before config-
uring the UART.
33.5 UART Operations
The UART operates in asynchronous mode only and supports only 8-bit character handling (with
parity). It has no clock pin.
The UART is made up of a receiver and a transmitt er that oper ate independ ently, and a common
baud rate generator. Receiver timeo ut and transmitter time guard are not implemented. How-
ever, all the implemented features are compatible with those of a standard USART.
33.5.1 Baud Ra te Gene rat or
The baud rate generator provides th e bit pe riod clock nam ed baud rate clock to both the receiver
and the transmitter.
The baud rate clock is the master clock divided by 16 times the value (CD) written in
UART_BRGR (Baud Rate G enerator Register). If UART_BRGR is set to 0, the baud rate clock is
disabled and the UART remains inactive. The maximum allowable baud rate is Master Clock
divided by 16. The minimum allowable baud rate is Master Clock divided by (16 x 65536).
Figure 33-2. Baud Rate Generator
33.5.2 Receiver
33.5.2.1 Receiver Reset, Enable and Disable
After device reset, the UART receiver is disabled and must be enabled before being used. The
receiver can be enabled by writing the control register UART_CR with the bit RXEN at 1. At this
command, the receiver starts looking for a start bit.
The programmer can disable the receiver by writing UART_CR with the bit RXDIS at 1. If the
receiver is waiting for a start bit, it is immediately stopped. However, if the receiver has already
detected a start bit and is receiving the data, it waits for the stop bit before actually stopping its
operation.
Baud Rate MCK
16 CD ×
------------------------ =
MCK 16-bit Counter
0
Baud Rate
Clock
CD
CD
OUT
Divide
by 16
0
1
>1
Receiver
Sampling Clock
618 6500D–ATARM–29-Feb-12
SAM3S
618 6500D–ATARM–29-Feb-12
SAM3S
The programmer can also put the receiver in its reset state by writing UART_CR with the bit
RSTRX at 1. In doing so, the receiver immediately stops its current operations and is disabled,
whatever its current state. If RSTRX is applied when data is being processed, this data is lost.
33.5.2.2 Start Detection and Data Samplin g
The UART only supports asynchronous operations, and this affects only its receiver. The UART
receiver detects the start of a received character by sa mpling the URXD signal until it detects a
valid start bit. A low level (space) on URXD is interpreted as a valid start bit if it is detected for
more than 7 cycles of the sampling clock, which is 16 times the baud rate. Hence, a space that is
longer than 7/16 of the bit period is detected as a valid start bit. A space which is 7/16 of a bit
period or shorter is ignored and the receiver continues to wait for a valid start bit.
When a valid start bit has been detected, the receiver samples the URXD at the theoretical mid-
point of each bit. It is assumed that each bit lasts 16 cycles of the sampling clock (1-bit period)
so the bit sampling poin t is eight cycles (0.5- bit period) afte r the start of t he bit. The first sam pling
point is therefore 24 cycles (1.5-bit periods) after the falling edge of the start bit was detected.
Each subsequent bit is sampled 16 cycles (1-bit period) after the previous one.
Figure 33-3. Start Bit Detection
Figure 33-4. Character Reception
33.5.2.3 Receiver Ready
When a complete cha r act er is received, it is tr an sfe rre d to the UART_RHR and the RXRDY sta-
tus bit in UART_SR (Status Reg ister) is set. The bit RXRDY is automatically cle ared when the
receive holding register UART_RHR is read.
Sampling Clock
URXD
True Start
Detection D0
Baud Rate
Clock
D0 D1 D2 D3 D4 D5 D6 D7
URXD
True Start Detection
Sampling Parity Bit Stop Bit
Example: 8-bit, parity enabled 1 stop
1 bit
period
0.5 bit
period
619
6500D–ATARM–29-Feb-12
SAM3S
619
6500D–ATARM–29-Feb-12
SAM3S
Figure 33-5. Receiver Ready
33.5.2.4 Receiver Overrun
If UART_RHR has not been read by the software (or the Peripheral Data Controller or DMA
Controller) since the last transfer, the RXRDY bit is still set and a new character is received, the
OVRE status bit in UART_SR is set. OVRE is cleared when the soft ware write s the co ntrol regi s-
ter UART_CR with the bit RSTSTA (Reset Status) at 1.
Figure 33-6. Receiver Overrun
33.5.2.5 Parity Error Each ti me a character is re ceived, the receiv er calculates th e parity of the re ceived data bits, in
accordance with the field PAR in UART_MR. It then compares the result with the received parity
bit. If different, the parity error bit PARE in UART_SR is set at the same time the RXRDY is set.
The parity bit is cleared when the control register UART_CR is written with the bit RSTSTA
(Reset Status) at 1. If a new character is received before the reset status command is written,
the PARE bit remains at 1.
Figure 33-7. Parity Error
33.5.2.6 Receiver Framing Error
When a start bit is detected, it generates a character reception when all the data bits have been
sampled. The stop bit is also sampled and when it is detected at 0, the FRAME (Framing Error)
bit in UART_SR is set at the same time the RXRDY bit is se t. The FRAM E b it r ema ins hig h un til
the control register UART_CR is written with the bit RSTSTA at 1.
D0 D1 D2 D3 D4 D5 D6 D7 PS SD0 D1 D2 D3 D4 D5 D6 D7 P
URXD
Read UART_RHR
RXRDY
D0 D1 D2 D3 D4 D5 D6 D7 PS SD0 D1 D2 D3 D4 D5 D6 D7 P
URXD
RSTSTA
RXRDY
OVRE
stop stop
stop
D0 D1 D2 D3 D4 D5 D6 D7 PS
URXD
RSTSTA
RXRDY
PARE
Wrong Parity Bit
620 6500D–ATARM–29-Feb-12
SAM3S
620 6500D–ATARM–29-Feb-12
SAM3S
Figure 33-8. Receiver Framing Error
33.5.3 Transmitter
33.5.3.1 Transmitter Reset, Enable and Disable
After device reset, the UART transmitter is disabled and it must be enabled b efore being used.
The transmitter is e nabled by writ ing th e con trol r egi ster UART _CR with t he bit TXEN at 1. F ro m
this command, the transmitter wait s for a character t o be written in the Transmit Hold ing Register
(UART_THR) befo re actu a lly star tin g th e tra n sm issio n.
The programmer can disable the transmitter by writing UART_CR with the bit TXDIS at 1. If the
transmitter is not operating, it is immedia tely stopped. However, if a character is being pro-
cessed into the Shift Register and/or a character has been written in the Transm it Holding
Register, the characters are completed before the transmitter is actually stopped.
The programmer can also put the transmitter in its reset state by writing the UART_CR with the
bit RSTTX at 1. This immediately stops the transmitter, whether or not it is processing
characters.
33.5.3.2 Transmit Format
The UART transmitter drives the pin UTXD at the baud rate clock speed. The line is driven
depending on the format defined in the Mode Register and the data stored in the Shift Register.
One start bit at level 0 , then t he 8 data b its, fr om th e lowest to th e highest bit , one option al pa rity
bit and one stop bit at 1 are cons ecutively shifted out as shown in the following figure. The field
PARE in the mode register UART_MR defines whether or not a parity bit is shifted out. When a
parity bit is enab led, it can be selected bet ween an odd p arity, an eve n parity, o r a fixed space or
mark bit.
Figure 33-9. Character Transmission
33.5.3.3 Transmitter Control
When the transmitter is enabled, the bit TXRDY (Transmitter Ready) is set in the status register
UART_SR. The transmission starts when the programmer writes in the Transmit Holding Regis-
ter (UART_THR), and after the written c haracter is transferred from UART_THR to the Shift
D0 D1 D2 D3 D4 D5 D6 D7 PS
URXD
RSTSTA
RXRDY
FRAME
Stop Bit
Detected at 0
stop
D0 D1 D2 D3 D4 D5 D6 D7
UTXD
Start
Bit Parity
Bit Stop
Bit
Example: Parity enabled
Baud Rate
Clock
621
6500D–ATARM–29-Feb-12
SAM3S
621
6500D–ATARM–29-Feb-12
SAM3S
Register. The TXRDY bit remains high until a second character is written in UART_THR. As
soon as the first character is comp leted, the last character written in UART_THR is tran sferred
into the shift register an d TXRDY rises again, showing that the holding register is empty.
When both the Shift Register and UART_THR are empty, i.e., all the characters written in
UART_THR have been processed, the TXEMPTY bit rises after the last stop bit has been
completed.
Figure 33-10. Transmitter Control
33.5.4 Peripheral DMA Controller
Both the receiver a nd th e tra nsmit t er of t he UA RT a re conn ect ed to a Pe rip her al DM A Co nt ro ller
(PDC) channel.
The peripheral da ta controller channels are programmed via registers that are mapped within
the UART user interface from the offset 0x100. The status bits are reported in the UART status
register (UART_SR) and can generate an interrupt.
The RXRDY bit triggers the PDC channel data transfer of the receiver. This results in a read of
the data in UART_RHR. The TXRDY bit triggers the PDC channel data transfer of the transmit-
ter. This results in a writ e of data in UART_THR.
33.5.5 Test Modes The UART supports three test modes. These modes of operation are programmed by using the
field CHMODE (Channel Mode) in the mode register (UART_MR).
The Automatic Echo mode allows bit-by-bit retransmission. When a bit is received on the URXD
line, it is sent to the UTXD line. The transmitter operates normally, but has no effect on the
UTXD line.
The Local Loopback mode allows the transmitted characters to be received. UTXD and URXD
pins are not used and the outp ut of the transmitter is internally connected to the input of the
receiver. The URXD pin level has no effect and the UTXD line is held high, as in idle state.
The Remote Loopback mode directly connects the URXD pin to the UTXD line. The transmitter
and the receiver are disabled and have no effect. This mode allows a bit-by-bit retransmission.
UART_THR
Shift Register
UTXD
TXRDY
TXEMPTY
Data 0 Data 1
Data 0
Data 0
Data 1
Data 1SSPP
Write Data 0
in UART_THR Write Data 1
in UART_THR
stop
stop
622 6500D–ATARM–29-Feb-12
SAM3S
622 6500D–ATARM–29-Feb-12
SAM3S
Figure 33-11. Test Modes
Receiver
Transmitter Disabled
RXD
TXD
Receiver
Transmitter Disabled
RXD
TXD
VDD
Disabled
Receiver
Transmitter Disabled
RXD
TXD
Disabled
Automatic Echo
Local Loopback
Remote Loopback VDD
623
6500D–ATARM–29-Feb-12
SAM3S
623
6500D–ATARM–29-Feb-12
SAM3S
33.6 Universal Asynchronous Receiver Transmitter (UART) User Interface
Table 33-3. Register Mapping
Offset Register Name Access Reset
0x0000 Control Registe r UART _C R Write-only
0x0004 Mode Register UART_MR Read-write 0x0
0x0008 Interrupt Enable Register UART_IER Write-only
0x000C Interrupt Disable Register UART_IDR W rite-only
0x0010 Interrupt Mask Register UART_IMR Read-only 0x0
0x0014 Status Register UART_SR Read-only
0x0018 Receive Holding Register UART_RHR Read-only 0x0
0x001C Transmit Holding Register UART_THR Wr ite-only
0x0020 Baud Rate Generator Register UART_BRGR Read-write 0x0
0x0024 - 0x003C Reserved
0x004C - 0x00FC Reserved
0x0100 - 0x0124 PDC Area
624 6500D–ATARM–29-Feb-12
SAM3S
624 6500D–ATARM–29-Feb-12
SAM3S
33.6.1 UART Control Register
Name: UART_CR
Addresses: 0x400E060 0 (0 ), 0x 400E0800 (1)
Access: Write-only
RSTRX: Reset Receiver
0 = No effect.
1 = The receiver logic is reset and disabled. If a character is being received, the reception is aborted.
RSTTX: Reset Tr a nsm it te r
0 = No effect.
1 = The transmitter logic is reset and disabled. If a character is being transmitted, the transmission is aborted.
RXEN: Receiver Enable
0 = No effect.
1 = The receiver is enabled if RXDIS is 0.
RXDIS: Receiver Disable
0 = No effect.
1 = The receive r is di sa bled. If a char acte r is being pr ocessed and RSTRX is not set, the character is completed before the
receiver is stopped.
TXEN: Transmitter Enable
0 = No effect.
1 = The transmitter is enabled if TXDIS is 0.
TXDIS: Transmitter Disable
0 = No effect.
1 = The transmitter is disabled. If a character is being processed and a character has been written in the UART_THR and
RSTTX is not set, both characters are completed before the transmitter is stopped.
RSTSTA: Reset Status Bits
0 = No effect.
1 = Resets the status bits PARE, FRAME and OVRE in the UART_SR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––––
RSTSTA
76543210
TXDIS TXEN RXDIS RXEN RSTTX RSTRX ––
625
6500D–ATARM–29-Feb-12
SAM3S
625
6500D–ATARM–29-Feb-12
SAM3S
33.6.2 UART Mode Register
Name: UART_MR
Addresses: 0x400E060 4 (0 ), 0x 400E0804 (1)
Access: Read-write
PAR: Parity Type
CHMODE: Channel Mode
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CHMODE –– PAR
76543210
––––––––
Value Name Description
0 EVEN Even parity
1 ODD Odd parity
2 SPACE Space: parity forced to 0
3 MARK Mark: parity forced to 1
4 NO No parity
Value Name Description
0 NORMAL Normal Mode
1 AUT OM ATIC Aut om atic Echo
2 LOCAL_LOOPBACK Local Loopback
3 REMOTE_LOOPBACK Remote Loopback
626 6500D–ATARM–29-Feb-12
SAM3S
626 6500D–ATARM–29-Feb-12
SAM3S
33.6.3 UART Interrupt Enable Register
Name: UART_IER
Addresses: 0x400E060 8 (0 ), 0x 400E0808 (1)
Access: Write-only
RXRDY: Enable RXRDY Interrupt
TXRDY: Enable TXRDY Interrupt
ENDRX: Enable End of Receive Transfer Interrupt
ENDTX: Enable End of Transmit Interrupt
OVRE: Enable Overrun Error Interrupt
FRAME: Enable Framing Error Interrupt
PARE: Enable Parity Error Interrupt
TXEMPTY: Enable TXEMPTY Interrupt
TXBUFE: Enable Buffer Empty Interrupt
RXBUFF: Enable Buffer Full Interrupt
0 = No effect.
1 = Enables the corresponding interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––
RXBUFF TXBUFE TXEMPTY
76543210
PARE FRAME OVRE ENDTX ENDRX TXRDY RXRDY
627
6500D–ATARM–29-Feb-12
SAM3S
627
6500D–ATARM–29-Feb-12
SAM3S
33.6.4 UART Interrupt Disable Register
Name: UART_IDR
Addresses: 0x400E060C (0), 0x400E080C (1)
Access: Write-only
RXRDY: Disa ble RXRDY Interrupt
TXRDY: Disable TXRDY Interrupt
ENDRX: Disabl e End of Receive Transfer Interrupt
ENDTX: Disable End of Transmit Interrupt
OVRE: Disable Overrun Error Interrupt
FRAME: Disable Framing Error Interrupt
PARE: Disable Parity Error Interrupt
TXEMPTY: Disable TXEMPTY Interrupt
TXBUFE: Disable Buffer Empty Interrupt
RXBUFF: Disable Buffer Full Interrupt
0 = No effect.
1 = Disables the corresponding interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––
RXBUFF TXBUFE TXEMPTY
76543210
PARE FRAME OVRE ENDTX ENDRX TXRDY RXRDY
628 6500D–ATARM–29-Feb-12
SAM3S
628 6500D–ATARM–29-Feb-12
SAM3S
33.6.5 UART Interrupt Mask Register
Name: UART_IMR
Addresses: 0x400E061 0 (0 ), 0x 400E0810 (1)
Access: Read-only
RXRDY: Mask RXRDY Interrupt
TXRDY: Disable TXRDY Interrupt
ENDRX: Mask End of Receive Transfer Interrupt
ENDTX: Mask End of Transmit Interrupt
OVRE: Mask Overrun Error Interrupt
FRAME: Mask Framing Error Interrupt
PARE: Mask Parity Error Interrupt
TXEMPTY: Mask TXEMPTY Interrupt
TXBUFE: Mask TXBUFE Interrupt
RXBUFF: Mask RXBUFF Inte rrupt
0 = The corresponding interrupt is disabled.
1 = The corresponding interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––
RXBUFF TXBUFE TXEMPTY
76543210
PARE FRAME OVRE ENDTX ENDRX TXRDY RXRDY
629
6500D–ATARM–29-Feb-12
SAM3S
629
6500D–ATARM–29-Feb-12
SAM3S
33.6.6 UART Status Register
Name: UART_SR
Addresses: 0x400E061 4 (0 ), 0x 400E0814 (1)
Access: Read-only
RXRDY: Receiver Ready
0 = No character has been received since the last read of the UART_RHR or the receiver is disabled.
1 = At least one co mplete character has been received, transferred to UART_RHR and not yet read.
TXRDY: Transmitter Ready
0 = A character has been written to UART_THR and not yet transferred to the Shift Register, or the transmitter is disa bled.
1 = There is no character written to UART_THR not yet transferred to the Shift Register.
ENDRX: End of Receiver Transfer
0 = The End of Transfer signal from the receiver Peripheral Data Controller channel is inactive.
1 = The End of Transfer signal from the receiver Peripheral Data Controller channel is active.
ENDTX: End of Transmitter Transfer
0 = The End of Transfer signal from the transmitter Peripheral Data Controller channel is inactive.
1 = The End of Transfer signal from the transmitter Peripheral Data Controller channel is active.
OVRE: Overrun Error
0 = No overrun erro r ha s occ ur re d sin ce the las t RS TSTA.
1 = At least one overrun error has occurred since the last RSTSTA.
FRAME: Framing Error
0 = No framing error has occurred since the last RSTSTA.
1 = At least one framin g error has occurred since the last RSTSTA.
PARE: Parity Error
0 = No parity error ha s occurred since the last RSTSTA.
1 = At least one parity error has occurred since the last RSTSTA.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––
RXBUFF TXBUFE TXEMPTY
76543210
PARE FRAME OVRE ENDTX ENDRX TXRDY RXRDY
630 6500D–ATARM–29-Feb-12
SAM3S
630 6500D–ATARM–29-Feb-12
SAM3S
TXEMPTY: Transmitter Empty
0 = There are characters in UART_THR, or characters being processed by the tr ansmitter, or the transmitter is disabled.
1 = There are no characters in UART_THR and there are no characters being processed by the transmitter.
TXBUFE: Transmission Buffer Empty
0 = The buffer empty signal from the transmitter PDC channel is inactive.
1 = The buffer empty signal from the transmitter PDC channel is active.
RXBUFF: Receive Buffer Full
0 = The buffer full signal from the receiver PDC channel is inactive.
1 = The buffer full signal from the receiver PDC channel is active.
631
6500D–ATARM–29-Feb-12
SAM3S
631
6500D–ATARM–29-Feb-12
SAM3S
33.6.7 UART Receiver Holding Register
Name: UART_RHR
Addresses: 0x400E061 8 (0 ), 0x 400E0818 (1)
Access: Read-only
RXCHR: Received Character
Last received character if RXRDY is set.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
RXCHR
632 6500D–ATARM–29-Feb-12
SAM3S
632 6500D–ATARM–29-Feb-12
SAM3S
33.6.8 UART Transmit Holding Register
Name: UART_THR
Addresses: 0x400E061C (0), 0x400E081C (1)
Access: Write-only
TXCHR: Character to be Transmitted
Next character to be transmitted after the cur rent character if TXRDY is not set.
33.6.9 UART Baud Rate Generator Register
Name: UART_BRGR
Addresses: 0x400E062 0 (0 ), 0x 400E0820 (1)
Access: Read-write
CD: Clock Divisor
0 = Baud Rate Clock is disabled
1 to 65,535 = MCK / (CD x 16)
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
TXCHR
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CD
76543210
CD
633
6500D–ATARM–29-Feb-12
SAM3S
633
6500D–ATARM–29-Feb-12
SAM3S
34. Universal Synchronous Asynchronous Receiver Transmitter (USART)
34.1 Description The Universal Synchronous Asynchronous Receiver Transceiver (USART) provides one full
duplex universal synchro nous asynchronous seria l link. Data frame format is widely progr amma-
ble (data length, parity, number of stop bits) to support a maximum of standards. The receiver
implements pari ty error, fram ing error and overrun error detectio n. The receiver time- out enables
handling variable-length frames and the transmitter timeguard facilitates communications with
slow remote devices. Multidrop communications are also supported through address bit han-
dling in reception and tr ansmission.
The USART features three test modes: remote loopback, local loopback and automatic echo .
The USART supports specific operat ing modes providing interfaces on RS485 and SPI buses,
with ISO7816 T = 0 or T = 1 smart card slots, infrared transceivers and connection to modem
ports. The hardware handshaking feature enables an out-of-band flow control by automatic
management of the pins RTS and CTS.
The USART supports the connection to the Peripheral DMA Controller, which enables data
transfers to the transm itter and from the receiver. The PDC provides chained buffer ma nage-
ment without any intervention of the processor.
34.2 Embedded Characteristics
Programmable Baud Rate Generator
5- to 9-bit Full-duplex Synchronous or Asynchronous Serial Communications
1, 1.5 or 2 Stop Bit s in Asynchron ous Mode or 1 or 2 Stop Bits in Synchron ous Mode
Parity Generation and Er ror Detection
Framing Error Detection, Overrun Error Detection
MSB- or LSB-first
Optional Break Generation and Detection
By 8 or by 16 Over-sampling Receiver Frequency
Optional Hardware Handshaking RTS-CTS
Optional Modem Signal Management DTR-DSR-DCD-RI
Receiver Time-out and Transmitter Timeguard
Optional Multidrop Mode wit h Address Generation and Detection
RS485 with Driver Control Signal
ISO7816, T = 0 or T = 1 Protocols for Interfacing with Smart Cards
NACK Handling, Error Counter with Repetition and Iteration Limit
IrDA Modulation and Demodulat ion
Communication at up to 115.2 Kbps
SPI Mode
–Master or Slave
Serial Clock Programmable Phas e an d Po la rity
SPI Serial Clock (SCK) Frequency up to Internal Clock Frequency MCK/6
Test Modes
Remote Loopback, Local Loopback, A utomatic Echo
Suppor ts Connection of Two Peripheral DMA Controller Channels (PDC)
Offers Buffer Transfer without Processor Intervention
634 6500D–ATARM–29-Feb-12
SAM3S
634 6500D–ATARM–29-Feb-12
SAM3S
34.3 Block Diagram
Figure 34-1. USART Block Diagram
Table 34-1. SPI Operating Mode
PIN USART SPI Slave SPI Master
RXD RXD MOSI MISO
TXD TXD MISO MOSI
RTS RTS CS
CTS CTS CS
(Peripheral) DMA
Controller
Channel Channel
Interrupt
Controller
Receiver
USART
Interrupt
RXD
TXD
SCK
USART PIO
Controller
CTS
RTS
DTR
DSR
DCD
RI
Transmitter
Modem
Signals
Control
Baud Rate
Generator
User Interface
PMC MCK
SLCK
DIV MCK/DIV
APB
635
6500D–ATARM–29-Feb-12
SAM3S
635
6500D–ATARM–29-Feb-12
SAM3S
34.4 Application Block Diagram
Figure 34-2. Application Block Diagram
Smart
Card
Slot
USART
RS232
Drivers
Modem
RS485
Drivers
Differential
Bus
IrDA
Transceivers
Modem
Driver
Field Bus
Driver EMV
Driver IrDA
Driver
IrLAP
RS232
Drivers
Serial
Port
Serial
Driver
PPP
PSTN
SPI
Driver
SPI
Transceiver
636 6500D–ATARM–29-Feb-12
SAM3S
636 6500D–ATARM–29-Feb-12
SAM3S
34.5 I/O Lines Description
Table 34-2. I/O Line Description
Name Description Type Active Level
SCK Serial Clock I/O
TXD Transmit Serial Data
or Master Out Slave In (MOSI) in SPI Master Mode
or Master In Slave Out (MISO) in SPI Slave Mode I/O
RXD Receive Serial Data
or Master In Slave Out (MISO) in SPI Master Mode
or Master Out Slave In (MOSI) in SPI Slave Mode Input
RI Ring Indicator Input Low
DSR Data Set Ready Input Low
DCD Data Carrier Detect Input Low
DTR Data Terminal Ready Output Low
CTS Clear to Send
or Slave Select (NSS) in SPI Slave Mode Input Low
RTS Request to Send
or Slave Select (NSS) in SPI Master Mode Output Low
637
6500D–ATARM–29-Feb-12
SAM3S
637
6500D–ATARM–29-Feb-12
SAM3S
34.6 Product Dependencies
34.6.1 I/O Lines The pins used for interfacing th e USART may be multiplexed with the PIO lines. The program-
mer must first program the PIO controller to assign the desired USART pins to their peripheral
function. If I/O lines of the USART are not used by the application, they can be used for other
purposes by the PIO Controller.
To prevent the TXD line from falling when the USART is disabled, the use of an internal pull up
is mandatory. If the hardware handshaking feature or Modem mode is used, the internal pull up
on TXD must also be enabled.
All the pins of the modems may or may not be implemented on the USART. Only USART1 fully
equipped with all the modem signals. On USARTs not equipped with the corresponding pin, the
associated control bits and statuses have no effect on the behavior of the USART.
34.6.2 Power Management
The USART is not continuously clocked. The programmer must first enable the USART Clock in
the Power Management Controller (PMC) before using the USART. However, if the application
does not require USART oper at ion s, th e USART clock can be stop pe d wh en no t needed and be
restarted later. In this case, the USART will resume its operations where it left off.
Configuring the USART does not require the USART clock to be enabled.
Table 34-3. I/ O Lin es
Instance Signal I/O Line Peripheral
USART0 CTS0 PA8 A
USART0 RTS0 PA7 A
USART0 RXD0 PA5 A
USART0 SCK0 PA2 B
USART0 TXD0 PA6 A
USART1 CTS1 PA25 A
USART1 DCD1 PA26 A
USART1 DSR1 PA28 A
USART1 DTR1 PA27 A
USART1 RI1 PA29 A
USART1 RTS1 PA24 A
USART1 RXD1 PA21 A
USART1 SCK1 PA23 A
USART1 TXD1 PA22 A
638 6500D–ATARM–29-Feb-12
SAM3S
638 6500D–ATARM–29-Feb-12
SAM3S
34.6.3 Interrupt The USART interrupt line is connected on one of the internal sources of the Interrupt Controller.
Using the USART interr upt requires the Interrup t Controller to be programmed f irst. Note that it is
not recommended to use the USART interrupt line in edge sensitive mod e.
34.7 Functional Description
The USART is capable of managing several types of serial synchronous or asynchronous
communications.
It supports the following communication modes:
5- to 9-bit full-dup lex asynchronous serial communication
MSB- or LSB-first
1, 1.5 or 2 st op bits
Parity even, odd, marked, space or none
By 8 or by 16 over-sampling receiver frequency
Optional hardware handshaking
Optional modem sig nals management
Optional br ea k ma n ag eme nt
Optional multidrop serial communication
High-speed 5- to 9-bit full-duplex synchronous serial communication
MSB- or LSB-first
1 or 2 stop bits
Parity even, odd, marked, space or none
By 8 or by 16 over-sampling frequency
Optional hardware handshaking
Optional modem sig nals management
Optional br ea k ma n ag eme nt
Optional multidrop serial communication
RS485 with driver control signal
ISO7816, T0 or T1 protocols for interfacing with smart cards
NACK handling, error counter with rep etition and iteration limit, inverted data.
InfraRed IrDA Modulation and Demodulation
SPI Mode
–Master or Slave
Serial Clock Programmable Phas e an d Po la rity
SPI Serial Clock (SCK) Frequency up to Internal Clock Frequency MCK/6
Test modes
Remote loopback, local loopback, auto matic echo
Table 34-4. Peripheral IDs
Instance ID
USART0 14
USART1 15
639
6500D–ATARM–29-Feb-12
SAM3S
639
6500D–ATARM–29-Feb-12
SAM3S
34.7.1 Baud Ra te Gene rat or
The Baud Rate Generator provides the bit period clock named the Baud Rate Clock to both the
receiver and the transmitter.
The Baud Rate Generator clock source can be selected by setting the USCLKS field in the Mode
Register (US_MR) between:
the Master Clock MCK
a division of the Master Clock, the divider being product depen dent, but generally set to 8
the external clock, available on the SCK pin
The Baud Rate Gener ator is base d upon a 1 6-bit divide r, which is p rog ramme d wit h the CD fi eld
of the Baud Rate Generator Register (US_BRGR). If CD is programmed to 0, the Baud Rate
Generator does not generate any clock. If CD is programmed to 1, the divider is bypassed and
becomes inactive.
If the external SCK clock is selected, the duration of the low and high levels of the signal pro-
vided on the SC K pin must be longer than a Master Clock (MCK) period . The frequency of the
signal provided on SCK must be at least 3 times lower than MCK in USART mode, or 6 in SPI
mode.
Figure 34-3. Baud Rate Generator
34.7.1.1 Baud Rate in Asynchronous Mode
If the USART is programmed to operate in asynchronous mode, the selected clock is first
divided by CD, which is field programmed in the Baud Rate Generator Register (US_BRGR).
The resulting clock is provided to the receiver as a sampling clock and then divided by 16 or 8,
depending on the programming of the OVER bit in US_MR.
If OVER is set to 1, the receiver sampling is 8 times higher than the baud rate clock. If OVER is
cleared, the sampling is performed at 16 times the baud rate clock.
The following formula performs the calculation of the Baud Rate.
MCK/DIV 16-bit Counter
0
Baud Rate
Clock
CD
CD
Sampling
Divider
0
1
>1
Sampling
Clock
Reserved
MCK
SCK
USCLKS
OVER
SCK
SYNC
SYNC
USCLKS = 3
1
0
2
30
1
0
1
FIDI
Baudrate SelectedClock
82 Over()CD()
----------------------------------------------=
640 6500D–ATARM–29-Feb-12
SAM3S
640 6500D–ATARM–29-Feb-12
SAM3S
This gives a maximum baud rate of MCK divided by 8, assuming that MCK is the highest possi-
ble clock and that OVER is programmed to 1.
Baud Rate Calculation Example
Table 34-5 shows calculations of CD to obta in a baud rate at 38400 bauds for different source
clock frequencies. This table also shows the actual resulting baud rate and the error.
The baud rate is calculated with the following formula:
The baud rate error is calculated with the following formula. It is not recommended to work with
an error higher than 5%.
34.7.1.2 Fractional Baud Rate in Asynchronous Mode
The Baud Rate generator previously defined is subject to the following limitation: the output fre-
quency changes by only integer multiples of the reference frequency. An approach to this
problem is to integrate a fractio nal N clock generator that has a high resolu tion. The generator
architecture is mod ified to obt ain Baud Rat e change s by a fractio n of t he ref erence sour ce clo ck.
Table 34-5. Baud Rate Example (OVER = 0)
Source Clock Expected Baud
Rate Calculation Result CD Actual Baud Rate Error
MHz Bit/s Bit/s
3 686 400 38 400 6.00 6 38 400.00 0.00%
4 915 200 38 400 8.00 8 38 400.00 0.00%
5 000 000 38 400 8.14 8 39 062.50 1.70%
7 372 800 38 400 12.00 12 38 400.00 0.00%
8 000 000 38 400 13.02 13 38 461.54 0.16%
12 000 000 38 400 19.53 20 37 500.00 2.40%
12 288 000 38 400 20.00 20 38 400.00 0.00%
14 318 180 38 400 23.30 23 38 908.10 1.31%
14 745 600 38 400 24.00 24 38 400.00 0.00%
18 432 000 38 400 30.00 30 38 400.00 0.00%
24 000 000 38 400 39.06 39 38 461.54 0.16%
24 576 000 38 400 40.00 40 38 400.00 0.00%
25 000 000 38 400 40.69 40 38 109.76 0.76%
32 000 000 38 400 52.08 52 38 461.54 0.16%
32 768 000 38 400 53.33 53 38 641.51 0.63%
33 000 000 38 400 53.71 54 38 194.44 0.54%
40 000 000 38 400 65.10 65 38 461.54 0.16%
50 000 000 38 400 81.38 81 38 580.25 0.47%
BaudRate MCK CD 16×=
Error 1ExpectedBaudRate
ActualBaudRate
---------------------------------------------------------
⎝⎠
⎛⎞
=
641
6500D–ATARM–29-Feb-12
SAM3S
641
6500D–ATARM–29-Feb-12
SAM3S
This fractional part is programmed with the FP field in the Baud Rate Generator Register
(US_BRGR). If FP is not 0, the fractional part is activated . The resolution is one eighth of the
clock divider. This feature is only available when using USART no rmal mode. The fractional
Baud Rate is calculated using the following formula:
The modified architecture is presented below:
Figure 34-4. Fractional Baud Rate Generator
34.7.1.3 Baud Rate in Synchronous Mode or SPI Mode
If the USART is programmed to operate in synchronous mode, the selected clock is simply
divided by the field CD in US_BRGR.
In synchronous mode, if the external clock is selected (USCLKS = 3), the clock is provided
directly by the signal on the USART SCK pin. No division is active. The value written in
US_BRGR has no effect. The external clock frequency must be a t least 3 times lower than the
system clock. In synchronous mode master (USCLKS = 0 or 1, CLK0 set to 1), the receive part
limits the SCK maximum frequency to MCK/3 in USART mode, or MCK/6 in SPI mode.
When either the external clock SCK or the internal clock divided (MCK/DIV) is selected, the
value programmed in CD must be even if the user has to ensure a 50:5 0 mark/space rati o on the
SCK pin. If the internal clock M CK is selected, the Baud Rate Gene rator ensures a 50:50 du ty
cycle on the SCK pin, even if the value programmed in CD is odd.
Baudrate SelectedClock
82 Over()CD FP
8
--------+
⎝⎠
⎛⎞
⎝⎠
⎛⎞
------------------------------------------------------------------=
MCK/DIV 16-bit Counter
0
Baud Rate
Clock
CD
CD
Sampling
Divider
0
1
>1
Sampling
Clock
Reserved
MCK
SCK
USCLKS
OVER
SCK
SYNC
SYNC
USCLKS = 3
1
0
2
30
1
0
1
FIDI
glitch-free
logic
Modulus
Control
FP
FP
BaudRate SelectedClock
CD
-----------------------------------------=
642 6500D–ATARM–29-Feb-12
SAM3S
642 6500D–ATARM–29-Feb-12
SAM3S
34.7.1.4 Baud Rate in ISO 7816 Mode
The ISO7816 specification defines the bit rate with the following formula:
where:
B is the bit rate
Di is the bit-rate adjustment factor
Fi is the clock frequency division factor
f is the ISO7816 clock frequency (Hz)
Di is a binary value encoded on a 4-bit field, named DI, as represented in Table 34-6.
Fi is a binary value encoded on a 4-bit field, named FI, as represented in Table 34-7 .
Table 34-8 shows the result ing Fi/Di Ratio , which is th e ratio between th e ISO781 6 clock and the
baud rate clock.
If the USART is configured in ISO7816 Mode, the clock selected by the USCL KS field in the
Mode Register (US_MR) is first divided by the value programmed in the field CD in the Baud
Rate Generator Register (US_BRGR). The resulting clock can be provided to the SCK pin to
feed the smart card clock inputs. This means that the CLKO bit can be set in US_MR.
This clock is then divided by the value programmed in the FI_DI_RATIO field in the FI_DI_Ratio
register (US_FIDI). This is performed by the Sampling Divider, which performs a division by up
to 2047 in ISO7816 Mode. The non-integer values of the Fi/Di Ratio are not supported and the
user must program the FI_ DI_ RAT IO field to a value as close as possible to the expect ed value.
The FI_DI_RATIO field resets to the value 0x174 (372 in decimal) and is the most common
divider between the ISO7 816 clock and the bit rate (Fi = 372, Di = 1).
BDi
Fi
------f×=
Table 34-6. Binary and Decimal Values for Di
DI field 0001 0010 0011 0100 0101 0110 1000 1001
Di (decimal)1 2 4 8 163212 20
Table 34-7. Binary and Decimal Values for Fi
FI field 0000 0001 0010 0011 0100 0101 0110 1001 1010 1011 1100 1101
Fi (decimal) 372 372 558 744 1116 1488 1860 512 768 1024 1536 2048
Table 34-8. Possible Values for the Fi/Di Ratio
Fi/Di 372 558 774 1116 1488 1806 512 768 1024 1536 2048
1 372 558 744 1116 1488 1860 512 768 1024 1536 2048
2 186 279 372 558 744 930 256 384 512 768 1024
4 93 139.5 186 279 372 465 128 192 256 384 512
8 46.5 69.75 93 139.5 186 232.5 64 96 128 192 256
16 23.25 34.87 46.5 69.75 93 116.2 32 48 64 96 128
32 11.62 17.43 23.25 34.87 46.5 58.13 16 24 32 48 64
12 31 46.5 62 93 124 155 42.66 64 85.33 128 170.6
20 18.6 27.9 37.2 55.8 74.4 93 25.6 38.4 51.2 76.8 102.4
643
6500D–ATARM–29-Feb-12
SAM3S
643
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-5 shows the relation between the Elementary Time Unit, corresponding to a bit time,
and the ISO 7816 clock.
Figure 34-5. Elementary Time Unit (ET U)
34.7.2 Receiver and Transmitter Control
After reset, the receiver is disabled. The user must enable the receiver by setting the RXEN bit
in the Control Regist er (US_CR). However, the receiver regist ers can be progra mmed before the
receiver clock is enabled.
After reset, the tran smitter is disabled. The user must enab le it by setting the TXEN bit in the
Control Register (US_CR). However, the transmitter registers can be programmed before being
enabled.
The Receiver and the Transmitter can be enabled together or independently.
At any time, the so ft war e can per fo rm a re se t on t he re ce ive r or t he tr an smitt er of th e USART by
setting the corresponding bit, RSTRX and RSTTX respectively, in the Control Register
(US_CR). The software resets clear the status flag and reset internal state machines but the
user interface configuration registers hold the value configured prior to software reset. Regard-
less of what the receiver or the transmitter is performing, the communication is immediately
stopped.
The user can also indepe ndently disable the receiv er or the transmitter by setting RXDIS and
TXDIS respectively in US_CR. If the receiver is disabled during a character reception, the
USART waits until the end of reception of the current character, then the reception is stopped. If
the transmitter is disabled while it is operating, the USART waits the end of transmission of both
the current character and character being stored in the Transmit Holding Register (US_THR). If
a timeguard is programmed, it is handled normally.
34.7.3 Synchronous and Asynchronous Modes
34.7.3.1 Transmitter Operations
The transmitter performs the same in both synchronous and asynchronous operating modes
(SYNC = 0 or SYNC = 1). One start bit, up to 9 data bits, one optional parity bit and up to two
stop bits are successively shifted out on the TXD pin at each falling edge of the programmed
serial clock.
The number of da ta b its is selected by th e CHRL field an d t he M ODE 9 b i t in th e M o de Re g iste r
(US_MR). Nine bits are selected by setting the MODE 9 bit regardless of the CHRL field. The
parity bit is set according to the PAR field in US_MR. The even, odd, space, marked or none
parity bit can be configured. The MSBF fi eld in US_MR configures which data bit is sent first. If
written to 1, the most significant bit is sent first. If written to 0, the less significant bit is sent first.
1 ETU
ISO7816 Clock
on SCK
ISO7816 I/O Line
on TXD
FI_DI_RATIO
ISO7816 Clock Cycles
644 6500D–ATARM–29-Feb-12
SAM3S
644 6500D–ATARM–29-Feb-12
SAM3S
The number of stop bits is selected by the NBST OP field in US_MR. The 1.5 stop bit is sup-
ported in asynchronous mode only.
Figure 34-6. Character Transmit
The characters are sent by writing in the Transmit Holding Register (US_THR). The transmitter
reports two status bits in the Channel Status Register (US_CSR): TXRDY (Transmitter Ready),
which indicates that US_THR is empty and TX EMPTY, which indicates that all the characters
written in US_THR have been processed. When the current character processing is completed,
the last character written in US_THR is transferred into the Shift Register of the transmitter and
US_THR becomes empty, thus TXRDY rises.
Both TXRDY and TXEMPTY bits are low when the transmitter is disabled. Writing a character in
US_THR while TXRDY is low has no effect and the written character is lost.
Figure 34-7. Transmitter Status
34.7.3.2 Manchester Encoder
When the Manchester encoder is in use, characters transmitted through the USART are
encoded based on biphase Manchester II format. To enable this mode, set the MAN field in the
US_MR register to 1. Depending on po larity configur ation, a logi c level (zero or one), is t ransmit-
ted as a coded signal one-to-zero or zero-to-one. Thus, a transition always occurs at the
midpoint of each bit time. It consumes more bandwidth than the original NRZ signal (2x) but the
receiver has more error control since the expected input must show a change at the center of a
bit cell. An example of Manchester encoded sequence is: the byte 0xB1 or 10 110001 encodes
to 10 01 10 10 01 01 01 10, assuming the default polarity of the encoder. Figure 34-8 illustrates
this coding scheme.
D0 D1 D2 D3 D4 D5 D6 D7
TXD
Start
Bit Parity
Bit Stop
Bit
Example: 8-bit, Parity Enabled One Stop
Baud Rate
Clock
D0 D1 D2 D3 D4 D5 D6 D7
TXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
Start
Bit
Write
US_THR
D0 D1 D2 D3 D4 D5 D6 D7 Parity
Bit Stop
Bit
TXRDY
TXEMPTY
645
6500D–ATARM–29-Feb-12
SAM3S
645
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-8. NRZ to Manchester Encoding
The Manchester encoded character can also be encapsulated by adding both a configurable
preamble and a start frame delimiter pattern . Depending on the configuration, the preamble is a
training sequence, composed of a pr e-defined pa ttern with a programmable length from 1 to 15
bit times. If the preamble length is set to 0, the preamble waveform is not generated prior to any
character. The preamble pattern is chosen among the following sequences: ALL_ONE,
ALL_ZERO, ONE_ZERO or ZERO_ONE, writing the field TX_PP in t he US_MAN register, the
field TX_PL is used to configure th e preamble length. Figure 34-9 illustrates and defines the
valid patterns. To improve flexibility, the encoding scheme can be configured using the
TX_MPOL field in the US_MAN register. If the TX_MPOL field is set to zero (default), a logic
zero is encoded with a ze ro -to- on e tra nsiti on and a lo gic one is e ncode d with a on e-to -zer o tra n-
sition. If the TX_MPOL field is set to one, a logic one is encoded with a one-to-zero transition
and a logic zero is encoded with a zero-to-one transition.
Figure 34-9. Preamble Patterns, Default Polarity Assumed
A start fram e de limiter is to be c onfigur ed u sing the ONEBIT field in th e US_ MR re giste r. It con-
sists of a user-defined pattern that indicates the beginning of a valid data. Figure 34-10
illustrates these patterns. If the start frame delimiter, also known as start bit, is one bit, (ONEBIT
to 1), a logic zero is Manchester encoded and indicates that a new character is being sent seri-
ally on the line. If the start frame delimiter is a synchron ization pattern also referred to as sync
(ONEBIT to 0), a sequence of 3 bit times is sent serially on the line to indicate the start of a new
NRZ
encoded
data
Manchester
encoded
data
10110001
Txd
Manchester
encoded
data Txd SFD DATA
8 bit width "ALL_ONE" Preamble
Manchester
encoded
data Txd SFD DATA
8 bit width "ALL_ZERO" Preamble
Manchester
encoded
data Txd SFD DATA
8 bit width "ZERO_ONE" Preamble
Manchester
encoded
data Txd SFD DATA
8 bit width "ONE_ZERO" Preamble
646 6500D–ATARM–29-Feb-12
SAM3S
646 6500D–ATARM–29-Feb-12
SAM3S
character. The sync waveform is in itself an invalid Manchester waveform as the transition
occurs at the middle of the second bit time. Two distinct sync patterns are used: the command
sync and the data sync. The command sync has a logic one level for one and a half bit times,
then a transition to logic zero for the second one and a half bit times. If the MODSYNC field in
the US_MR register is set to 1, the next cha racter is a command . If it is set to 0, the ne xt char ac-
ter is a data. When direct memory access is used, the MODSYNC field can be immediately
updated with a modified character located in memory. To enable this mode, VAR_SYNC field in
US_MR regis ter must be set t o 1. In t his case, the MODSYNC field in US_MR is bypassed and
the sync configuration is held in the TXSYNH in the US_THR register. The USART character f or-
mat is modified and includes sync information.
Figure 34-10. Start Frame Delimiter
Drift Compensation
Drift compensation is available only in 16X oversampling mode. An hardware recovery system
allows a larger clock drift. To enable the hardware system, the bit in the USART_MAN register
must be set. If the RXD edge is one 16X clock cycle from the expected edge, this is considered
as normal jitter and no corr ective actions is taken. If the RXD event is bet ween 4 and 2 clock
cycles before the expected edge, then the current period is shortene d by one clock cycle . If the
RXD event is between 2 and 3 clock cycles after the expected edge, then the current period is
lengthened by one clock cycle. These intervals are considered to be drift and so corrective
actions are au tomatically taken.
Manchester
encoded
data Txd
SFD
DATA
One bit start frame delimiter
Preamble Length
is set to 0
Manchester
encoded
data Txd
SFD
DATA
Command Sync
start frame delimiter
Manchester
encoded
data Txd
SFD
DATA
Data Sync
start frame delimiter
647
6500D–ATARM–29-Feb-12
SAM3S
647
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-11. Bit Resynchronization
34.7.3.3 Asynchronous Re ce iver
If the USART is programmed in asynchronous operating mode (SYNC = 0), the receiver over-
samples the RXD inpu t line. The oversampling is either 16 or 8 times the Baud Rate clock,
depending on the OVER bit in the Mode Register (US_MR).
The receiver samples t he RXD line. If the line is sampled during one ha lf of a bit ti me to 0, a sta rt
bit is detected and data, pa rity and stop bits are successively sampled on the bit rate clock.
If the oversampling is 16, (OVER to 0), a start is detected at the eighth sample to 0. Then, data
bits, parity bi t and stop bit are samp led on e ach 16 sampling clo ck cycle. If th e oversampling is 8
(OVER to 1), a start bit is detected at the fourth sample to 0. Then, data bits, parity bit and stop
bit are sampled on each 8 sampling clock cycle.
The number of data bits, first bit sent and parity mode are selected by the same fields and bits
as the transmitter, i.e. respectively CHRL, MODE9, MSBF and PAR. For the synchronization
mechanism only, the number of stop bits has no effect on the receiver as it considers only one
stop bit, regardless of the field NBSTOP, so that resynchronization between the receiver and the
transmitter can occur. Moreover, as soon as the stop bit is sampled, the receiver starts looking
for a new start bit so that resynchronization can also be accomplished when the transmitter is
operating with one stop bit.
Figure 34-12 and Figure 34-13 illustrate start detection and character reception when USART
operates in asynchronous mode.
RXD
Oversampling
16x Clock
Sampling
point
Expected edge
Tolerance
Synchro.
Jump Sync
Jump
Synchro.
Error
Synchro.
Error
648 6500D–ATARM–29-Feb-12
SAM3S
648 6500D–ATARM–29-Feb-12
SAM3S
Figure 34-12. Asynchronous Start Detection
Figure 34-13. Asynchronous Character Reception
34.7.3.4 Manchester Decoder
When the MAN field in US_MR register is set to 1, the Manchester decoder is enabled. The
decoder performs both preamble and start frame delimiter detection. One input line is dedicated
to Manchester encoded input data.
An optional preamble sequence can be defined, its length is user-defined and totally indepen-
dent of the emitt er side. Use RX_PL in US_MAN r egi ster t o config ure t he len gt h of th e pr ea mble
sequence. If the length is set to 0, no preamble is detected and the function is disabled. In addi-
tion, the polarity of the input stream is programmable with RX_MPOL field in US_MAN register.
Depending on the desired application the preamble pattern m atching is to be defined via the
RX_PP field in US_MAN. See Figure 34-9 for available preamble patterns.
Unlike preamble, the sta rt fr ame delimiter is shared between Manchest er En coder an d Deco der.
So, if ONEBIT field is set to 1, only a zero encoded Manchester can be detected as a valid start
frame delimiter. If ONEBIT is set to 0, only a sync pattern is detected as a valid start frame
delimiter. Decoder operates by detecting transition on incoming stream. If RXD is sampled dur-
ing one quarter of a bit time to zero, a start bit is detected. See Figure 34-14. The sample pulse
rejection mechanism applies.
Sampling
Clock (x16)
RXD
Start
Detection
Sampling
Baud Rate
Clock
RXD
Start
Rejection
Sampling
12345678
123456701234
123456789 10111213141516D0
Sampling
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Parity
Bit Stop
Bit
Example: 8-bit, Parity Enabled
Baud Rate
Clock
Start
Detection 16
samples 16
samples 16
samples 16
samples 16
samples 16
samples 16
samples 16
samples 16
samples 16
samples
649
6500D–ATARM–29-Feb-12
SAM3S
649
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-14. Asynchronous Start Bit Detection
The receiver is activated and starts Preamble and Frame Delimiter detection, sampling the data
at one quarter and then three quarters. If a valid preamble pattern or start frame delimiter is
detected, th e re ce ive r contin ue s de co ding with th e sa me synchr oniza tion. If th e strea m doe s n ot
match a valid pattern or a valid start frame delimiter, the rece iver re-synchronizes on the next
valid edge.The minimum time threshold to estimate the bit value is three quarters of a bit time.
If a valid preamble (if used) followed with a valid start frame delimiter is detected, the incoming
stream is decoded into NRZ data and passed to USART for processing. Figure 34-15 illustrates
Manchester pattern mismatch. When incoming data stream is passed to the USART, the
receiver is also able to detect Manchester code violation. A code violation is a lack of transition
in the middle of a bit cell. In this case, MANE flag in US_CSR register is raised. It is cleared by
writing the Control Register (US_CR) with the RSTSTA bit to 1. See Figure 34-16 for an exam-
ple of Manchester error detection during data phase.
Figure 34-15. Preamble Pattern Mismatch
Figure 34-16. Manchester Error Flag
Manchester
encoded
data Txd
1234
Sampling
Clock
(16 x)
Start
Detection
Manchester
encoded
data Txd SFD DATA
Preamble Length is set to 8
Preamble Mismatch
invalid pattern
Preamble Mismatch
Manchester coding error
Manchester
encoded
data Txd
SFD
Preamble Length
is set to 4 Elementary character bit time
Manchester
Coding Error
detected
sampling points
Preamble subpacket
and Start Frame Delimiter
were successfully
decoded
Entering USART character area
650 6500D–ATARM–29-Feb-12
SAM3S
650 6500D–ATARM–29-Feb-12
SAM3S
When the start frame delimiter is a sync pattern (ONEBIT field to 0), both command and data
delimiter are supported. If a valid sync is detected, the received character is written as RXCHR
field in the US_RHR register and the RXSYNH is updated. RXCHR is set to 1 when the received
character is a command, and it is set to 0 if the received character is a data. This mechanism
alleviates and simplifies the direct m emory access as the cha racter cont ains its own sync field in
the same register.
As the decoder is setup t o be used in unipolar mode, the first bit of the fr ame has to be a zero-to-
one transition.
34.7.3.5 Radio Interface: Manchester Encoded USART Application
This section describes low data rate RF transmission systems and their integration with a Man-
chester encoded USART. These systems ar e based on transmitt er and re ceiver IC s that suppo rt
ASK and FSK modulation schemes.
The goal is to perform full duplex radio transmission of characters using two different frequency
carriers. See the configuration in Figure 34-17.
Figure 34-17. Manchester Encoded Characters RF Transmission
The USART module is configured as a Mancheste r e ncoder/decoder. Looking at the down-
stream communication channel, Manchester encoded characters are serially sent to the RF
emitter. This may als o include a user def ined preamb le and a start frame delim iter. Mostly, pre-
amble is used in the RF receiver to distinguish between a valid data from a transmitter and
signals due to noise. The Manchester stream is then modulated. See Figure 34-18 for an exam-
ple of ASK modulation scheme. When a logic one is sent to the ASK modulator, the power
amplifier, referred to as PA, is enable d and transmits an RF signal at downstream frequen cy.
When a logic zero is tra nsmitted, the RF signal is turned o ff. If the FSK modulator is a ctivated,
two different frequencies are used to transmit data. When a logic 1 is sent, the modulator out-
puts an RF signal at frequency F0 an d switches to F1 if the data sent is a 0. See Figure 34-19.
From the receiver side, another carrier frequency is used. The RF receiver performs a bit check
operation examining demodulated data stream. If a valid pattern is detected, the receiver
LNA
VCO
RF filter
Demod
control bi-dir
line
PA
RF filter
Mod
VCO
control
Manchester
decoder
Manchester
encoder
USART
Receiver
USART
Emitter
ASK/FSK
Upstream Receiver
ASK/FSK
downstream transmitter
Upstream
Emitter
Downstream
Receiver
Serial
Configuration
Interface
Fup frequency Carrier
Fdown frequency Carrier
651
6500D–ATARM–29-Feb-12
SAM3S
651
6500D–ATARM–29-Feb-12
SAM3S
switches to receiving mode. The demodulated stream is sent to the Manchester decoder.
Because of bit ch ecking inside R F IC , the da ta tra ns fe rr ed to th e micr ocontroller is re du ce d by a
user-defined number of bits. The Manchester preamble length is to be defined in accordance
with the RF IC configuration.
Figure 34-18. ASK Modulator Output
Figure 34-19. FSK Modulator Output
34.7.3.6 Synchronous Receiver
In synchronous mode (S YNC = 1), the receiver samples the RXD signal on each rising edge of
the Baud Rate Clock. If a low level is detected, it is considered as a start. All data bits, the parity
bit and the stop b its ar e sa mpled an d t he re ce iver wa its for th e next st ar t bit . Synchron ous m ode
operations provide a high speed transfer capability.
Configuration fields and bits are the same as in asynchronous mode.
Figure 34-20 illustrates a character reception in synchronous mode.
Figure 34-20. Synchronous Mode Character Reception
Manchester
encoded
data
default polarity
unipolar output Txd
ASK Modulator
Output
Uptstream Frequency F0
NRZ stream 10 0 1
Manchester
encoded
data
default polarity
unipolar output
Txd
FSK Modulator
Output
Uptstream Frequencies
[F0, F0+offset]
NRZ stream 10 0 1
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Start
Sampling
Parity Bit Stop Bit
Example: 8-bit, Parity Enabled 1 Stop
Baud Rate
Clock
652 6500D–ATARM–29-Feb-12
SAM3S
652 6500D–ATARM–29-Feb-12
SAM3S
34.7.3.7 Receiver Operations
When a character reception is completed, it is transferred to the Receive Holding Register
(US_RHR) and the RXRDY bit in the Status Register (US_CSR) rises. If a character is com-
pleted while the RXRDY is set, the OVRE (Overrun Error) bit is set. The last character is
transferred into US_RHR and overwrites the previous one. The OVRE bit is cleared by writing
the Control Register (US_CR) with the RSTSTA (Reset Status) bit to 1.
Figure 34-21. Receiver Status
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
Write
US_CR
RXRDY
OVRE
D0 D1 D2 D3 D4 D5 D6 D7
Start
Bit Parity
Bit Stop
Bit
RSTSTA = 1
Read
US_RHR
653
6500D–ATARM–29-Feb-12
SAM3S
653
6500D–ATARM–29-Feb-12
SAM3S
34.7.3.8 Parity The USART supports five parity modes selected by programming the PAR field in the Mode
Register (US_MR ). The PAR field also enables the Multidrop mod e, see “Multidrop Mo de” on
page 654. Even and odd parity bit generation and error detection are supported.
If even parity is sele cte d, t he parit y ge ne rato r o f t he tran sm itte r d rives the p ar ity bit to 0 if a num-
ber of 1s in the character data bit is even, and to 1 if the number of 1s is odd. Accordingly, the
receiver parity checker counts the number of re ceived 1s and reports a parity error if the sam-
pled parity bit does not correspond. If odd parity is selected, the parity generator of the
transmitter d rive s the parit y b i t t o 1 if a n u mb e r of 1s in the ch ar acter data bit is ev en , an d to 0 if
the number of 1s is odd. Accordingly, the receiver parity checker counts the number of received
1s and report s a parity error if the sampled par ity bit does not corresp ond. If the mark pa rity is
used, the parity generator of the transmitte r drives the parity bit to 1 for all characters. The
receiver parity checker repo rts an error if the parity bit is sampled to 0. If the space parity is
used, the parity generator of the transmitte r drives the parity bit to 0 for all characters. The
receiver parity checker reports an error if the parity bit is sampled to 1. If parity is disabled, the
transmitter does not generate any parity bit and the receiver does not report any parity error.
Table 34-9 shows an example of the parity bit for the character 0x41 (character ASCII “A”)
depending on the configuration of the USART. Because there are two bits to 1, 1 bit is added
when a parity is odd, or 0 is added when a parity is even.
When the receive r detect s a parit y erro r, it se ts the PARE (Parit y Error) bit in the Channe l Status
Register (US_CSR). The PARE bit can be cleared by writing the Control Register (US_CR) with
the RSTSTA bit to 1. Figure 34-22 illustrates the parity bit status setting and clearing.
Table 34-9. Parity Bit Examples
Character Hexa Binary Parity Bit Parity Mode
A 0x41 0100 0001 1 Odd
A 0x41 0100 0001 0 Ev en
A 0x41 0100 0001 1 Mark
A 0x41 0100 0001 0 Space
A 0x41 0100 0001 None None
654 6500D–ATARM–29-Feb-12
SAM3S
654 6500D–ATARM–29-Feb-12
SAM3S
Figure 34-22. Parity Error
34.7.3.9 Multidrop Mode
If the PAR field in the Mo de Register (US_MR) is programm ed to the value 0x6 o r 0x07, the
USART runs in Multidrop Mode. This mode differentiates the data characters and the address
characters. Data is transmitted with the parity bit to 0 and addresses are transmitted with the
parity bit to 1.
If the USART is configured in multidrop mode, the receiver sets the PARE parity error bit when
the parity bit is high and the transmitter is able to send a character with the parity bit high when
the Control Register is written with the SENDA bit to 1.
To handle parity e rror, the PARE bit is clea red when the Con trol Register is written with the bit
RSTSTA to 1.
The transmitte r sends an ad dress byte (p arity bit set) when SENDA is written to US_C R. In this
case, the next byte written to US_THR is transmitted as an address. Any character written in
US_THR without having written the command SENDA is transmitted normally with the parity to
0.
34.7.3.10 Transmitter Timeguard
The timeguard feature enables the USART interface with slow re mote devices.
The timeguard function enables the transmitter to insert an idle state on the TXD line between
two character s. T his idle stat e actually acts as a long stop bit.
The duration of th e idle sta t e is prog ram med in t he TG fie ld o f th e Tr an smitt er Time gu ard Regis-
ter (US_TTGR). When this field is programmed to zero no timeguard is generated. Otherwise,
the transmitter holds a high level on TXD after each transmitted byte during the number of bit
periods programmed in TG in add ition to the number of stop bits.
As illustrated in Figure 34-23, the behavior of TXRDY and TXEMPTY status bits is modified by
the programming of a timeguard. TXRDY rises only when the start bit of the next ch aracter is
sent, and thus remains to 0 during the timeguard transmission if a character has been written in
US_THR. TXEMPTY remain s low until the timeguard transmissio n is completed as the time-
guard is part of the current character being transmitted.
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Start
Bit Bad
Parity
Bit
Stop
Bit
Baud Rate
Clock
Write
US_CR
PARE
RXRDY
RSTSTA = 1
655
6500D–ATARM–29-Feb-12
SAM3S
655
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-23. Timeguard Operations
Table 34-10 indicates t he maximum length of a timeguard period that the transmitter can handle
in relation to the function of the Baud Rate.
34.7.3.11 Receiver Time-out
The Receiver Time-ou t provides suppor t in han dling va riable-len gth fra mes. This featur e dete cts
an idle condition on th e RXD lin e. Wh en a tim e -o ut is det ect ed , th e b it TI MEO UT in th e Ch an n el
Status Register (US_CSR) r ises and can genera te an interrupt, thus indicating to th e driver an
end of frame.
The time-out delay period (during which the receiver waits for a new character) is programmed
in the TO field of the Receiver Time-out Register (US_RTOR). If the TO field is programmed to
0, the Receiver Time-out is disabled and no time-out is detected. The TIMEOUT bit in US_CSR
remains to 0. Otherwise, the receiver loads a 1 6-bit counter with the va lue programmed in T O.
This counter is decremented at each bit period and reloaded each time a new character is
received. If the counter reaches 0, the TIMEOUT bit in the Status Register rises. Then, the user
can either:
Stop the counter clock until a new char acter is received. This is performed by writing the
Control Register (US_CR) with the STTTO (Start Time-out) bit to 1. In this case, the idle state
D0 D1 D2 D3 D4 D5 D6 D7
TXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
Start
Bit
TG = 4
Write
US_THR
D0 D1 D2 D3 D4 D5 D6 D7 Parity
Bit Stop
Bit
TXRDY
TXEMPTY
TG = 4
Table 34-10. Maximum Timeguard Length Depending on Baud Rate
Baud Rate Bit time Timeguard
Bit/sec µs ms
1 200 833 212.50
9 600 104 26.56
14400 69.4 17.71
19200 52.1 13.28
28800 34.7 8.85
33400 29.9 7.63
56000 17.9 4.55
57600 17.4 4.43
115200 8.7 2.21
656 6500D–ATARM–29-Feb-12
SAM3S
656 6500D–ATARM–29-Feb-12
SAM3S
on RXD before a new character is received will not provide a time-out. This prevents having
to handle an interrupt before a character is received and allows w a iting for the next idle stat e
on RXD after a frame is received.
Obtain an interrupt while no character is received. This is performed by writing US_CR with
the RETTO (Reload and Start Time-out) bit to 1. If RETTO is performed, the counter starts
counting do wn immediately from the v alue T O . This enab les gener ation of a periodic interrupt
so that a user time-out can be handled, for example when no key is pressed on a keyboard.
If STTTO is performed, the counter clock is stopped until a first character is received. The idle
state on RXD before the start of the frame does not pr ovide a time-out. This prevents having to
obtain a periodic interrupt and enables a wait of the end of frame when the idle state on RXD is
detected.
If RETTO is performed, the counter starts counting down immediately from the value TO. This
enables generation of a periodic interrupt so that a user time-out can be handled, for example
when no key is pressed on a keyboard.
Figure 34-24 shows the block diagram of the Receiver Time-out feature.
Figure 34-24. Receiver Time-out Block Diagram
Table 34-11 gives the maximum time-out period for some standard baud rates.
Table 34-11. Maximum Time-out Period
Baud Rate Bit Time Time-out
bit/sec µs ms
600 1 667 109 225
1 200 833 54 613
2 400 417 27 306
4 800 208 13 653
9 600 104 6 827
14400 69 4 551
19200 52 3 413
28800 35 2 276
33400 30 1 962
16-bit Time-out
Counter
0
TO
TIMEOUT
Baud Rate
Clock
=
Character
Received
RETTO
Load
Clock
16-bit
Value
STTTO
DQ
1
Clear
657
6500D–ATARM–29-Feb-12
SAM3S
657
6500D–ATARM–29-Feb-12
SAM3S
34.7.3.12 Framing Error
The receiver is capabl e of det ecting framing errors. A framing error happen s when the stop bit of
a received character is detected at level 0. This can occur if the receiver and the transmitter are
fully desynchronized.
A framing error is reported on the FRAME bit of the Channel Status Register (US_CSR). The
FRAME bit is asserted in the middle of the stop bit as soon as the framing error is detected. It is
cleared by writing the Control Register (US_CR) with the RSTSTA bit to 1.
Figure 34-25. Framing Error Status
34.7.3.13 Transmit Break
The user can requ est the transmit ter to genera te a break condition on the TXD line. A break con-
dition drives the TXD line low during at least one complete character. It appears the same as a
0x00 character sent with the parity and the stop bits to 0. However, the transmitter holds the
TXD line at least during one chara cter until the user requests the break con dition to be removed.
A break is transmitted by writing the Control Register (US_CR) with the STTBRK bit to 1. This
can be performed at any time, either while the transmitter is empty (no character in either the
Shift Register or in US_THR) or when a cha racter is being transmitte d. If a break is reque sted
while a character is bein g shifted out, the character is first completed before the TXD line is held
low.
Once STTBRK command is requested further STTBRK commands are igno red until the end of
the break is completed.
The break condition is remo ved by writing US_CR with the STPBRK bit to 1. If the STPBRK is
requested before the end of the minimum break duration (one character, including start, data,
parity and stop bits), the transmitter ensures that the break condition completes.
56000 18 1 170
57600 17 1 138
200000 5 328
Table 34-11. Maximum Time-out Period (Continued )
Baud Rate Bit Time Time-out
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
Write
US_CR
FRAME
RXRDY
RSTSTA = 1
658 6500D–ATARM–29-Feb-12
SAM3S
658 6500D–ATARM–29-Feb-12
SAM3S
The transmitter conside rs the break as though it is a character , i.e. the STTBRK and STPBRK
commands are taken into account only if the TXRDY bit in US_CSR is to 1 and the start of the
break condition clears the TXRDY and TXEMPTY bits as if a character is processed.
Writing US_CR with both STTBRK and STPBRK bits to 1 can lea d to an unpr edictable re sult. All
STPBRK commands requested without a previous STTBRK command are ignored. A byte writ-
ten into the Transmit Holding Register while a break is pending, but not started, is ignored.
After the break co nd itio n, the tra ns mit te r re tu rn s th e T XD lin e to 1 fo r a minim u m of 12 bit tim e s.
Thus, the tran smitter ensures t hat the remot e rece iver detect s correct ly the en d of br eak a nd the
start of the next character. If the timeguard is programmed with a value higher than 12, the TXD
line is held high for the timeguard period.
After holding the TXD line for this period, the transmitter resumes normal operations.
Figure 34-26 illustrates the effect of both the Start Break (STTBRK) and Stop Break (STPBRK)
commands on the TXD line.
Figure 34-26. Break Transmission
34.7.3.14 Receive Break
The receiver detects a break condition whe n all data, parity and stop bits are low. This corre-
sponds to detecting a framing error with data to 0x00, but FRAME remains low.
When the low stop bit is detected, the receiver asserts th e RXBRK b it in US_CSR . This bit ma y
be cleared by writing the Control Register (US_CR) with the bit RSTSTA to 1.
An end of receive break is detected by a high level for at least 2/16 of a bit period in asynchro-
nous operating mode or one sample at high level in synchronous operating mode. The end of
break detection also asserts the RXBRK bit.
34.7.3.15 Hardware Handshak ing
The USART features a hardware handshaking out-of-band flow control. The RTS and CTS pins
are used to connect with t he remote device, as shown in Figure 34-27.
D0 D1 D2 D3 D4 D5 D6 D7
TXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
Write
US_CR
TXRDY
TXEMPTY
STPBRK = 1
STTBRK = 1
Break Transmission End of Break
659
6500D–ATARM–29-Feb-12
SAM3S
659
6500D–ATARM–29-Feb-12
SAM3S
Figure 34-27. Connection with a Remote Device for Hardware Handshaking
Setting the USART to operate with hardware handshaking is performed by writing the
USART_MODE field in the Mode Register (US_MR) to the value 0x2.
The USART behavior when hardware handshaking is enabled is the same as the behavior in
standard synchronous or asynchronous mod e, except that the receiver drives the RTS pin as
described below and the level on the CTS pin modifies the behavior of the transmitter as
described below. Using t his mode requ ire s using t he PDC channe l f or r eceptio n. The t r ansmitt er
can handle hardware handshaking in any case.
Figure 34-28 shows how the receiver operates if hardware handshaking is enabled. The RTS
pin is driven high if the receiver is disabled and if the status RXBUFF (Receive Buffer Full) com-
ing from the PDC channel is high. Normally, the remote device does not start transmitting while
its CTS pin (driven by RTS) is high. As soon as the Receiver is enabled , the RTS falls, indicating
to the remote device that it can start transmitting. Defining a new buffer to the PDC clears the
status bit RXBUFF and, as a result, asserts the pin RTS low.
Figure 34-28. Receiver Behavior when Operating with Hardware Handshaking
Figure 34 -2 9 shows how the transmitter operates if ha rdware handshaking is enabled. The CTS
pin disables the transmitter. If a character is being processing, the transmitter is disabled only
after the completion of the current character and transmission of the next character happens as
soon as the pin CTS falls.
Figure 34-29. Transmitter Behavior when Operating with Hardware Handshaking
USART
TXD
CTS
Remote
Device
RXD
TXDRXD
RTS
RTS
CTS
RTS
RXBUFF
Write
US_CR
RXEN = 1
RXD RXDIS = 1
CTS
TXD
660 6500D–ATARM–29-Feb-12
SAM3S
660 6500D–ATARM–29-Feb-12
SAM3S
34.7.4 ISO7 81 6 Mode The USART features an ISO7816-compatible operating mode. This mode permits interfacing
with smart cards and Security Access Modules (SAM) communicating through an ISO7816 link.
Both T = 0 and T = 1 protocols defined by the ISO7816 specification are supported.
Setting the USART in ISO7816 mode is performed by writing the USART_MODE field in the
Mode Register (US_M R) t o the valu e 0x4 f or pr ot oc ol T = 0 an d t o the valu e 0x5 for pr otocol T =
1.
34.7.4.1 ISO781 6 M od e Overview
The ISO7816 is a half duplex communication on only one bidirectional line. The baud rate is
determined by a division of the clock provided to the remote device (see “Baud Rate Generator”
on page 639).
The USART connects to a smart car d as shown in Figur e 34-30 . The TXD line becomes bidirec-
tional and the Baud Rate Generator feeds the ISO7816 clock on the SCK pin. As the TXD pin
becomes bidirectional, its output remains driven by the output of the transmitte r but only when
the transmitter is active while its input is directed to the input of the receiver. The USART is con-
sidered as the master of the communication as it generates the clock.
Figure 34-30. Connection of a Smart Card to the USART
When operating in ISO7816, either in T = 0 or T = 1 modes, the character fo rmat is fixed. The
configuration is 8 data bits, even parity and 1 or 2 stop bits, regardless of the values pro-
grammed in the CHRL, MODE9, PAR and CHMODE fields. MSBF can be used to transmit LSB
or MSB first. Parity Bit (PAR) can be used to transmit in normal or inverse mode. Refer to
“USART Mode Register” on page 678 and PAR: Parity Type” on page 679.
The USART cannot operate concurrently in both receiver and transmitter modes as the commu-
nication is unidirectional at a time. It has to be configured according to the required mode by
enabling or disa bling either the receiver or the transmitter as desired. Enabling both the receiver
and the transmit ter at the same time in ISO7816 mode may lead to unpredictable results.
The ISO7816 specification defines an inverse transmission format. Data bits of the character
must be transmitt ed on the I/O lin e at their nega tive value. The USART does not support t his for-
mat and the user has to perform an exclusive OR on the data before writing it in the Transmit
Holding Register (US_THR) or after reading it in the Receive Holding Register (US_RHR).
34.7.4.2 Protocol T = 0
In T = 0 protocol, a character is made up of one start bit, eight data bits, one parity bit and one
guard time, which lasts two bit times. The transmitter shifts out the bits and does not drive the
I/O line during the guard time.
If no parity error is detected, the I/O line remains to 1 during the guard time and the transmitter
can continue with the transmission of the next character, as shown in Figure 34-31.
Smart
Card
SCK CLK
TXD I/O
USART
661
6500D–ATARM–29-Feb-12
SAM3S
661
6500D–ATARM–29-Feb-12
SAM3S
If a parity error is detected by the receiver, it drives the I/O line to 0 during the guard time, as
shown in Figure 34-32. This error bit is also nam ed NACK, for Non Acknowledge. In this case,
the character lasts 1 bit time more, as the guard time length is the same and is added to the
error bit time which lasts 1 bit time.
When the USART is th e receiver and it detects an error, it does not load the er roneous charact er
in the Receive Holding Regist er (US_RHR). It appropriat ely sets the PARE bit in t he Status Re g-
ister (US_SR) so that the software can handle the error.
Figure 34-31. T = 0 Protocol without Parity Error
Figure 34-32. T = 0 Protocol with Parity Error
Receive Error Counter
The USART receiver also records the total number of errors. This can be read in the Number of
Error (US_NER) registe r. The NB_ERRORS fi eld can record up to 255 err ors. Reading US_NER
automatically clears the NB_ERRORS field.
Receive NACK Inhibit
The USART can also be configured to inhibit an error. This can be achieved by setting the
INACK bit in the Mode Register (US_MR). If INACK is to 1, no error signal is driven on the I/O
line even if a parity bit is de tected.
Moreover, if INACK is set, the erroneous received character is stored in the Receive Holding
Register, as if no error occurred and the RXRDY bit does rise.
Transmit Character Repetition
When the USART is transmitting a character and gets a NACK, it can automatically re peat the
character before moving on to the next one. Repetition is enabled by writing the
MAX_ITERATION field in the Mode Register (US_MR) at a value higher than 0. Each character
can be transmitted up to eight times; the first transmission plus seven repetitions.
If MAX_ITERATION does not equal zero, the USART repeats the character as many times as
the value loaded in MAX_ITERATION.
D0 D1 D2 D3 D4 D5 D6 D7
RXD
Parity
Bit
Baud Rate
Clock
Start
Bit Guard
Time 1 Next
Start
Bit
Guard
Time 2
D0 D1 D2 D3 D4 D5 D6 D7
I/O
Parity
Bit
Baud Rate
Clock
Start
Bit Guard
Time 1 Start
Bit
Guard
Time 2 D0 D1
Error
Repetition
662 6500D–ATARM–29-Feb-12
SAM3S
662 6500D–ATARM–29-Feb-12
SAM3S
When the USART repetiti on num ber rea ches MAX_I TERATION, t he ITERATI ON bit is se t in the
Channel Status Register (US_CSR). If the repetition of th e characte r is acknowledged by the
receiver, the repetitions are stopped and the iteration counter is cleared.
The ITERATION bit in US_CSR can be cleared by writing the Control Register with the RSIT bit
to 1.
Disable Successive Receive NACK
The receiver can limit the number of successive NACKs sent back to the remote transmitter.
This is programmed by setting the bit DSNACK in the Mode Register (US_MR). The m aximum
number of NACK transmitted is programmed in the MAX_ITERATION field. As soon as
MAX_ITERATION is reached, the char acter is cons ider ed as cor rect, an acknowledge is sent on
the line and the ITERATION bit in the Channel Status Register is set.
34.7.4.3 Protocol T = 1
When operating in ISO7816 protocol T = 1, the transm ission is similar to an asynchronous for-
mat with only one stop bit. The parity is generated when transmitting and checked when
receiving. Parity error detection sets the PARE bit in the Channel Stat us Register (US_CSR).
34.7.5 IrDA Mode The USART features an IrDA mode supplying half-duplex point-to-point wireless communica-
tion. It embeds the modulator and demodulator which allows a glueless connection to the
infrared transceivers, as shown in Figure 34-33. The modulator and demodulator are compliant
with the IrDA specification version 1.1 and support data transfer speeds ranging from 2.4 Kb/s to
115.2 Kb/s.
The USART IrDA mode is enabled by setting the USART_MODE field in the Mode Register
(US_MR) to the value 0x8. The IrDA Filter Register (US_IF) allows configuring the demodulator
filter. The USART transmitter an d receiver operate in a normal asynchronous mode and all
parameters are accessible. Note that the modulator and the demodulator are activated.
Figure 34-33. Connection to IrDA Transceivers
The receiver and the transmitter must be enabled or disabled according to the direction of the
transmission to be managed.
To receive IrDA signals, the following needs to be done:
Disable TX and Enable RX
IrDA
Transceivers
RXD RX
TXD TX
USART
Demodulator
Modulator
Receiver
Transmitter
663
6500D–ATARM–29-Feb-12
SAM3S
663
6500D–ATARM–29-Feb-12
SAM3S
Configure the TXD pin as PIO and set it as an output to 0 (to avoid LED emission). Disable
the internal pull-u p (b et te r for power consumption).
Receive data
34.7.5.1 IrDA Modulation
For baud rates up to and including 115.2 Kbits/sec, the RZI modulation scheme is used. “0” is
represented by a light pulse of 3/16th of a bit time. Some examples of signal pulse duration are
shown in Table 34-12 .
Figure 34-34 shows an example of character transmission.
Figure 34-34. IrDA Modulation
34.7.5.2 IrDA Baud Rate
Table 34-13 gives some examples of CD values, baud rate error and pulse duration. Note that
the requirement on the maximum acceptable error of ±1.87% must be met.
Table 34-12. IrDA Pulse Duration
Baud Rate Pulse Duration (3/16)
2.4 Kb/s 78.13 µs
9.6 Kb/s 19.53 µs
19.2 Kb/s 9.77 µs
38.4 Kb/s 4.88 µs
57.6 Kb/s 3.26 µs
115.2 Kb/s 1.63 µs
Bit Period Bit Period
3
16
Start
Bit Data Bits Stop
Bit
00
000
111 1
1
Transmitter
Output
TXD
Table 34-13. IrDA Baud Rate Error
Peripheral Clock Baud Rate CD Baud Rate Error Pulse Time
3 686 400 115 200 2 0.00% 1.63
20 000 000 115 200 11 1.38% 1.63
32 768 000 115 200 18 1.25% 1.63
40 000 000 115 200 22 1.38% 1.63
3 686 400 57 600 4 0.00% 3.26
20 000 000 57 600 22 1.38% 3.26
32 768 000 57 600 36 1.25% 3.26
664 6500D–ATARM–29-Feb-12
SAM3S
664 6500D–ATARM–29-Feb-12
SAM3S
34.7.5.3 IrDA Demodulator
The demodulator is based on t he IrDA Receive filter comprised of an 8- bit down counter which is
loaded with the value programmed in US_IF. When a falling edge is detected on the RXD pin,
the Filter Counter starts count ing down at the Master Clock (MCK) speed. If a rising edge is
detected on the RXD pin, the counter stops and is reloaded with US_IF. If no rising edge is
detected when the counter reaches 0, the input of the receiver is driven low during one bit time.
Figure 34-35 illustrates the operations of the IrDA demodulator.
Figure 34-35. IrDA Demodulator Operations
As the IrDA mode uses the same logic as the ISO781 6, note that the FI_DI_RATIO field in
US_FIDI must be set to a value higher than 0 in order to assure IrDA communica tions operate
correctly.
40 000 000 57 600 43 0.93% 3.26
3 686 400 38 400 6 0.00% 4.88
20 000 000 38 400 33 1.38% 4.88
32 768 000 38 400 53 0.63% 4.88
40 000 000 38 400 65 0.16% 4.88
3 686 400 19 200 12 0.00% 9.77
20 000 000 19 200 65 0.16% 9.77
32 768 000 19 200 107 0.31% 9.77
40 000 000 19 200 130 0.16% 9.77
3 686 400 9 600 24 0.00% 19.53
20 000 000 9 600 130 0.16% 19.53
32 768 000 9 600 213 0.16% 19.53
40 000 000 9 600 260 0.16% 19.53
3 686 400 2 400 96 0.00% 78.13
20 000 000 2 400 521 0.03% 78.13
32 768 000 2 400 853 0.04% 78.13
Table 34-13. IrDA Baud Rate Error (Continued)
Peripheral Clock Baud Rate CD Baud Rate Error Pulse Time
MCK
RXD
Receiver
Input
Pulse
Rejected
65432 61
65432 0
Pulse
Accepted
Counter
Value
665
6500D–ATARM–29-Feb-12
SAM3S
665
6500D–ATARM–29-Feb-12
SAM3S
34.7.6 RS485 Mode The USART features the RS485 mode to enable line driver control. While operating in RS485
mode, the USART behaves as though in asynchronous or synchronous mode and configuration
of all the parameters is possible. The difference is that the RTS pin is driven high when the
transmitter is operatin g. The beha vior of th e RTS pin is controlled by th e TXEMPTY bit. A typica l
connection of the USART to a RS485 bus is shown in Figure 34-36.
Figure 34-36. Typical Connection to a RS485 Bus
The USART is set in RS485 mode by programming the USART_MODE field in the Mode Regis-
ter (US_MR) to the value 0x1.
The RTS pin is at a level inverse to the TXEMPTY bit. Significantly, the RTS pin remains high
when a timeguard is progr ammed so that the line can re main driven after t he last character com-
pletion. Figure 3 4-37 gives an example of the RTS waveform during a character transmission
when the timeguard is enabled.
Figure 34-37. Example of RTS Drive with Timeguard
USART
RTS
TXD
RXD
Differential
Bus
D0 D1 D2 D3 D4 D5 D6 D7
TXD
Start
Bit Parity
Bit Stop
Bit
Baud Rate
Clock
TG = 4
Write
US_THR
TXRDY
TXEMPTY
RTS
666 6500D–ATARM–29-Feb-12
SAM3S
666 6500D–ATARM–29-Feb-12
SAM3S
34.7.7 Modem Mode The USART features modem mode, which enables control of the signals: DTR (Data Terminal
Ready), DSR (Data Set Ready), RTS (Request to Send), CTS (Clear to Send), DCD (Data Car-
rier Detect) and RI (Ring Indicator). While operating in modem mode, the USART behaves as a
DTE (Data Terminal Equipment) as it drives DTR and RTS and can de tect level ch ange on DSR,
DCD, CTS and RI.
Setting the USART in modem mode is perfo rmed by writing the USART_MODE field in the Mode
Register (US_MR) to the value 0x3. While operating in mod em mode the USART behaves as
though in asynchronous mode and all the parameter configurations are available.
Table 34-14 gives the correspondence of the USART sign als with modem connection standards.
The control of the DTR ou tput pin is performed by writing the Control Register (US_CR) with t he
DTRDIS and DTREN bits respectively to 1. The disable command forces the corresponding pin
to its inactive level, i.e. high. The enable command forces the corresponding pin to its active
level, i.e. low. RTS output pin is automatically controlled in this mode
The level changes are detected on the RI, DSR, DCD and CTS pins. If an input change is
detected, the RIIC, DSRIC, DCDIC and CTSIC bits in the Channel Status Register (US_CSR)
are set respectively and can trigger an interrupt. The status is automatically cleared when
US_CSR is read. Furthermore, the CTS automatically disables the transmitter when it is
detected at its inactive state. If a character is being transmitted when the CTS rises, the charac-
ter transmission is complete d before the transmitter is actually disabled.
Table 34-14. Circuit References
USART Pin V24 CCITT Direction
TXD 2 103 From terminal to modem
RTS 4 105 From terminal to modem
DTR 20 108.2 From terminal to modem
RXD 3 104 From modem to terminal
CTS 5 106 From terminal to modem
DSR 6 107 From terminal to modem
DCD 8 109 From terminal to modem
RI 22 1 25 From termi nal to modem
667
6500D–ATARM–29-Feb-12
SAM3S
667
6500D–ATARM–29-Feb-12
SAM3S
34.7.8 SPI Mode The Serial Peripheral Interface (SPI) Mode is a synchronous serial data link that provides com-
munication with external devices in Master or Slave Mode. It also enables communication
between processors if an external processor is connected to the system.
The Serial Peripheral Interface is essentially a shift register tha t serially transmits data bits to
other SPIs. During a data transfer, one SPI system acts as the “master” which controls the data
flow, while the other devices act as “slaves'' which have data shifted into and out by the master.
Different CPUs can take turns being masters and one master may simultaneously shift data into
multiple slaves. (Multiple Master Protocol is the opposite of Sing le Master Protocol, where one
CPU is always the master while all of the others are always slaves.) However, only one slave
may drive its output to write data back to the master at any given time.
A slave device is selecte d when its NSS signal is asse rted by the master. The USART in SPI
Master mode can address only one SPI Slave because it can generate only one NSS signal.
The SPI system consists of two data lines and two control lines:
Master Out Slave In (MOSI): This data line supplies the output data from the master shifted
into the input of the slave.
Master In Slave Out ( MISO): This data line supplies the output data from a slave to the input
of the mast er.
Serial Clock (SCK): This control line is driv en by the master and r egulates the flow of the data
bits. The master may transmit data at a variety of baud rates. The SCK line cycles once for
each bit that is transmitted.
Slave Select (NSS): This control line allows the master to select or deselect the slave .
34.7.8.1 Modes of Operation
The USART can operate in SPI Master Mode or in SPI Slave Mode.
Operation in SPI Master Mode is programmed by writing to 0xE the USART_MODE field in the
Mode Register. In this case the SPI lines must be connected as described below:
the MOSI line is driven by the output pin TXD
the MISO line drives the input pin RXD
the SCK line is driven by the output pin SCK
the NSS line is driven by the output pin R TS
Operation in SPI Slave Mode is programmed by writing to 0xF the USART_MODE field in the
Mode Register. In this case the SPI lines must be connected as described below:
the MOSI line drives the input pin RXD
the MISO line is driven by the output pin TXD
the SCK line drives the inp ut pin SCK
the NSS line drives the inp ut pin CTS
In order to avoid unpredicted behavior, any change of the SPI Mode must be followed by a soft-
ware reset of the transmitter and of the receiver (except the initial configuration after a ha rdware
reset). (See Section 34.7.8.4 ”Receiver and Transmitter Control”).
668 6500D–ATARM–29-Feb-12
SAM3S
668 6500D–ATARM–29-Feb-12
SAM3S
34.7.8.2 Baud Rate In SPI Mode, the baudrate generator operates in the same way as in USART synchronous
mode: See “Baud Rate in Synchronous Mode or SPI Mode” on page 641. However, there are
some restrictions:
In SPI Master Mode:
the e x ternal clock SCK m ust not be se lected (USCLKS 0x3), and the b it CLKO must be set
to “1” in the Mode Register (US_MR), in order to generate correctly the serial clock on the
SCK pin.
to obtain correct behavior of the receiver and the transmitter, the value progr ammed in CD
must be superior or equal to 6.
if the internal clock divided (MCK/DIV) is se lect ed , th e value programmed in CD must be
e ven to ensure a 50:50 ma rk/space r ati o on the SCK pin , this value can be odd if the internal
clock is selected (MCK).
In SPI Slave Mode:
the e xternal clock (SCK) sele ction is f orced regardless of the value of t he USCLKS field in the
Mode Register (US_MR) . Likewise, the value written in US_BRGR has no effect, because
the clock is provided directly by the signal on the USART SCK pin.
to obtain correct behavior of the receiver and the transmitter, the external clock (SCK)
frequency must be at least 6 times lower than the system clock.
669
6500D–ATARM–29-Feb-12
SAM3S
669
6500D–ATARM–29-Feb-12
SAM3S
34.7.8.3 Data TransferUp to 9 data bits are successively shifted out on the TXD pin at each rising or falling edge
(depending of CPOL and CPHA) of the programmed serial clock. There is no Start bit, no Parity
bit and no Stop bit.
The number of da ta b its is selected by th e CHRL field an d t he M ODE 9 b i t in th e M o de Re g iste r
(US_MR). The 9 bits are selected by setting the MODE 9 bit regardless of the CHRL field. The
MSB data bit is always sent first in SPI Mode (Master or Slave).
Four combinations of po larity and phase are available fo r data transfers. The clock polarity is
programmed with the CPOL bit in the Mode Register. The clock phase is programmed with the
CPHA bit. These two parameters determine the edges of the clock signal upon which data is
driven and sampled . Each of t he two pa ra meter s ha s two possible sta te s, r esultin g in fo ur po ssi-
ble combinations th at are incom patible with one an other. Thus, a mast er/slave p air must use t he
same parameter pair values to communicate. If multiple slaves are used and fixed in different
configurations, the master must reconfigure itself each time it needs to communicate with a dif-
ferent slav e.
Table 34-15. SPI Bus Protocol Mode
SPI Bus Protocol Mode CPOL CPHA
001
100
211
310
670 6500D–ATARM–29-Feb-12
SAM3S
670 6500D–ATARM–29-Feb-12
SAM3S
Figure 34-38. SPI Transfer Format (CPHA=1, 8 bits per transfer)
Figure 34-39. SPI Transfer Format (CPHA=0, 8 bits per transfer)
6
SCK
(CPOL = 0)
SCK
(CPOL = 1)
MOSI
SPI Master ->TXD
SPI Slave -> RXD
NSS
SPI Master -> RTS
SPI Slave -> CTS
SCK cycle (for reference)
MSB
MSB
LSB
LSB
6
6
5
5
4
4
3
3
2
2
1
1
1 2345 786
MISO
SPI Master ->RXD
SPI Slave -> TXD
SCK
(CPOL = 0)
SCK
(CPOL = 1)
1 2345 7
MOSI
SPI Master -> TXD
SPI Slave -> RXD
MISO
SPI Master -> RXD
SPI Slave -> TXD
NSS
SPI Master -> RTS
SPI Slave -> CTS
SCK cycle (for reference) 8
MSB
MSB
LSB
LSB
6
6
5
5
4
4
3
3
1
1
2
2
6
671
6500D–ATARM–29-Feb-12
SAM3S
671
6500D–ATARM–29-Feb-12
SAM3S
34.7.8.4 Receiver and Transmitter Control
See “Receiver and Transmitter Control” on page 643.
34.7.8.5 Character Transmission
The characters are sent by writing in the Transmit Holding Register (US_THR) . An additional
condition for transmitting a character can be added when the USART is configured in SPI mas-
ter mode. In the USART_MR register, the value configured on INACK field can prevent any
character transmission (even if US_THR has been written) while the receiver side is not ready
(character not read). When INACK equals 0, the character is transmitted whatever the receiver
status. If INACK is set to 1, the transmitter waits for the receiver holding register to be read
before transmitting the character (RXRDY flag cleared), thus preventing any overflow (character
loss) on the receiver side.
The transmitter reports two status bits in the Channel Status Register (US_CSR): TXRDY
(Transmitter Ready), which indica tes that US_THR is empty and TXEMPTY, which indicates
that all the charact ers written in US_THR have been processed. When the current chara cter pro-
cessing is completed, the last character written in US_THR is transferred into the Shift Register
of the transmitter and US_THR becomes empty, thus TXRDY rises.
Both TXRDY and TXEMPTY bits are low when the transmitter is disabled. Writing a character in
US_THR while TXRDY is low has no effect and the written character is lost.
If the USART is in SPI Slave Mode and if a character must be sent while the Transmit Holding
Register (US_THR) is empty, the UNRE (Underrun Error) bit is set. The TXD transmission line
stays at high level during all this time. The UNRE bit is cleared by writing the Control Register
(US_CR) with the RSTSTA (Reset Status) bit to 1.
In SPI Master Mode, the slave select line (NSS) is asserted at low level 1 Tbit (Time bit) before
the transmission of the MSB bit and released at high level 1 Tbit after the transmission of the
LSB bit. So, the slave select line (NSS) is always released be tween each chara cter transm ission
and a minimum delay of 3 Tbits always inserted. However, in order to address slave devices
supporting the CSAAT mode (Chip Select Active After Transfer), the slave select line (NSS) can
be forced at low level by writing the Control Register (US_CR) with the RTSEN bit to 1. The
slave select line (NSS) can be released at high level only by writing the Control Register
(US_CR) with the RTSDIS bit to 1 (for example, when all dat a have been transferr ed to the slave
device).
In SPI Slave Mode, the transmitter does not require a falling edge of the slave select line (NSS)
to initiate a ch aracte r transmiss ion bu t only a lo w level. However, this low level must be pres ent
on the slave select line (NSS) at least 1 Tbit before the first serial clock cycle corresponding to
the MSB bit.
34.7.8.6 Character Reception
When a character reception is completed, it is transferred to the Receive Holding Register
(US_RHR) and the RXRDY bit in the Status Register (US_CSR) rises. If a character is com-
pleted while RXRDY is set, the OVRE (Overrun Error) bit is set. The last character is transferred
into US_RHR and overwrites the previous one. The OVRE bit is cleared by writing the Control
Register (US_CR) with the RSTSTA (Reset Status) bit to 1.
To ensure correct behavior of the receiver in SPI Slave Mod e, the master device sending the
frame must ensure a minimum delay of 1 Tbit between each character transmission. The
receiver does not require a falling edge of the slave select line (NSS) to initiate a character
672 6500D–ATARM–29-Feb-12
SAM3S
672 6500D–ATARM–29-Feb-12
SAM3S
reception but only a low level. Ho wever, this low level must be present on the slave sele ct line
(NSS) at least 1 Tbit befo re the first serial clock cycle corresponding to the MSB bit.
34.7.8.7 Receiver Timeout
Because the re ce ive r bau dr at e clo ck is a ctive on ly du r ing da ta transf er s in SPI Mode, a receive r
timeout is impossible in this mode, wha tever the Time-out value is (field TO) in the Time-out
Register (US_RTOR).
Figure 34-40. Test Modes
The USART can be programmed to operate in three different test modes. The internal loopback
capability allows on-board diagnostics. In the loopback mode the USART interfac e pins are dis-
connected or not and reconfigured for loopback internally or externally.
34.7.8.8 Normal Mode
Normal mode connects the RXD pin on the receiver input and the transmitter output on the TXD
pin.
Figure 34-41. Normal Mode Configuration
34.7.8.9 Automatic Echo Mode
Automatic echo mode allows bit-by-bit retransmission. When a bit is received on the RXD pin, it
is sent to the TXD pin, as shown in Figure 34 -42. Programming the transmitter has no effect on
the TXD pin. The RXD pin is still connected to the receiver input, thus the receiver remains
active.
Figure 34-42. Automatic Echo Mode Configuration
|
|
|
|
|
|
|
|
DATA 0
DATA N
RXRDY
USART3
LIN CONTROLLER
APB bus
READ BUFFER
NACT = SUBSCRIBE
DATA 0
DATA N
TXRDY
USART3
LIN CONTROLLER
APB bus
WRITE BUFFER
(Peripheral) DMA
Controller (Peripheral) DMA
Controller
Receiver
Transmitter
RXD
TXD
Receiver
Transmitter
RXD
TXD
673
6500D–ATARM–29-Feb-12
SAM3S
673
6500D–ATARM–29-Feb-12
SAM3S
34.7.8.10 Local Loopback Mode
Local loopback mode connects the output of the transmitter directly to the input of the receiver,
as shown in Figure 34-43. The TXD and RXD pins are not used. The RXD pin has no effect on
the receiver and the TXD pin is continuously driven high, as in idle state.
Figure 34-43. Local Loopback Mode Configuration
34.7.8.11 Remote Loopback Mode
Remote loopback mode directly connects the RXD p in to t he TXD pin, a s shown in Figure 34-44.
The transmitter and the receiver are disabled and have no effect. This mode allows bit-by-bit
retransmission.
Figure 34-44. Remote Loopback Mode Configuration
34.7.9 Write Protection Registers
To prevent any single software error that may corrupt USART behavior, certain address spaces can be write-protected by
setting the WPEN bit in t he USART Write Protect Mode Register (US_WPMR).
If a write access to the protected registers is detected, then the WPVS flag in the USART Write Protect Status Register
(US_WPSR) is set and the field WPVSRC indicates in which register the write access has been attempted.
The WPVS flag is reset by writing the USART Write Protect Mode Register (US_WPMR) with the appropriate access key,
WPKEY.
The protected r egisters are:
“USART Mode Register”
“USART Baud Rate Generator Register”
“USART Receiver Time-out Regist er
“USART Transmitter Timeguard Register”
“USART FI DI RATIO Register”
“USART IrDA FILTER Register”
“USART Manche ste r Co nf igu ra tio n Re gis ter
Receiver
Transmitter
RXD
TXD
1
Receiver
Transmitter
RXD
TXD
1
674 6500D–ATARM–29-Feb-12
SAM3S
674 6500D–ATARM–29-Feb-12
SAM3S
34.8 Universal Synchronous Asynchronous Receiver Transmitter (USART) User Interface
Table 34-16. Register Mapping
Offset Register Name Access Reset
0x0000 Control Register US_CR Write-only
0x0004 Mode Register US_MR Read-write
0x0008 Interrupt Enable Register US_IER Write-only
0x000C Interrupt Disable Register US_IDR Write-only
0x0010 Interrupt Mask Register US_IMR Read-only 0x0
0x0014 Channel Status Register US_CSR Read-only
0x0018 Receiver Holding Register US_RHR Read-only 0x0
0x001C Transmitter Holding Register US_THR Write-only
0x0020 Baud Rate Generator Register US_BRGR Read-write 0x0
0x0024 Receiver Time-out Register US_RTOR Read-write 0x0
0x0028 Transmitter Timeguard Register US_TTGR Read-write 0x0
0x2C - 0x3C Reserved
0x0040 FI DI Ratio Register US_FIDI Read-write 0x174
0x0044 Number of Errors Register US_NER Read-only
0x0048 Reserved
0x004C IrDA Filter Register US_IF Read-write 0x0
0x0050 Manchester Encoder Decoder Register US_MAN Read-write 0x30011004
0xE4 Write Protect Mode Register US_WPMR Read-write 0x0
0xE8 Write Protect Status Register US_WPSR Read-only 0x0
0x5C - 0xFC Reserved
0x100 - 0x128 Reserved for PDC Registers
675
6500D–ATARM–29-Feb-12
SAM3S
675
6500D–ATARM–29-Feb-12
SAM3S
34.8.1 USA RT Control Register
Name: US_CR
Addresses: 0x40024000 (0), 0x40028000 (1)
Access: Write-only
RSTRX: Reset Receiver
0: No effect.
1: Resets the receiver.
RSTTX: Reset Tr a nsm it te r
0: No effect.
1: Resets the transmitter.
RXEN: Receiver Enable
0: No effect.
1: Enables the receiver, if RXDIS is 0.
RXDIS: Receiver Disable
0: No effect.
1: Disables the receiver.
TXEN: Transmitter Enable
0: No effect.
1: Enables the transmitter if TXDIS is 0.
TXDIS: Transmitter Disable
0: No effect.
1: Disables the transmitter.
RSTSTA: Reset Status Bits
0: No effect.
1: Resets the status bits PARE, FRAME, OVRE, MANERR, UNRE and RXBRK in US_CSR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––RTSDIS/RCSRTSEN/FCSDTRDISDTREN
15 14 13 12 11 10 9 8
RETTO RSTNACK RSTIT SENDA STTTO STPBRK STTBRK RSTSTA
76543210
TXDIS TXEN RXDIS RXEN RSTTX RSTRX
676 6500D–ATARM–29-Feb-12
SAM3S
676 6500D–ATARM–29-Feb-12
SAM3S
STTBRK: Start Break
0: No effect.
1: Starts transmission of a break after the characters present in US_THR and the Transmit Shift Register have been trans-
mitted. No effect if a break is already being transmitted.
STPBRK: Stop Break
0: No effect.
1: Stops transmissio n of the break af ter a minimum of one character length and transmits a high level during 12-bit periods.
No effect if no break is being transmitted.
STTTO: Start Time-out
0: No effect.
1: Starts waiting for a character before clocking the time-out counter. Resets the status bit TIMEOUT in US_CSR.
SENDA: Send Address
0: No effect.
1: In Multidrop Mode only, the next character writte n to the US_THR is sent with the addr ess bit set.
RSTIT: Reset Iterations
0: No effect.
1: Resets ITERATION in US_CSR. No effect if the ISO7816 is not enabled.
RSTNACK: Reset Non Acknowledge
0: No effect
1: Resets NACK in US_CSR.
RETTO: Rearm Time-out
0: No effect
1: Restart Time-out
DTREN: Data Terminal Ready Enable
0: No effect.
1: Drives the pin DTR to 0.
DTRDIS: Data Terminal Ready Disable
0: No effect.
1: Drives the pin DTR to 1.
RTSEN: Request to Send Enab le
0: No effect.
1: Drives the pin RTS to 0.
FCS: Force SPI Chip Select
Applicable if USART operates in SPI Master Mode (USART_MODE = 0xE):
FCS = 0: No effect.
FCS = 1: Forces the Slave Select L ine NSS ( RTS pin) to 0, ev en if USART is no transmitting, in order to address SPI slave
677
6500D–ATARM–29-Feb-12
SAM3S
677
6500D–ATARM–29-Feb-12
SAM3S
devices supporting the CSAAT Mode (Chip Select Active After Transfer).
RTSDIS: Reque st to Send Disable
0: No effect.
1: Drives the pin RTS to 1.
RCS: Release SPI Chip Select
Applicable if USART operates in SPI Master Mode (USART_MODE = 0xE):
RCS = 0: No effect.
RCS = 1: Releases the Slave Select Line NSS (RTS pin).
678 6500D–ATARM–29-Feb-12
SAM3S
678 6500D–ATARM–29-Feb-12
SAM3S
34.8.2 USART Mode Register
Name: US_MR
Addresses: 0x40024004 (0), 0x40028004 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
USART_MODE
USCLKS: Clock Selection
CHRL: Character Length.
31 30 29 28 27 26 25 24
ONEBIT MODSYNC MAN FILTER MAX_ITERATION
23 22 21 20 19 18 17 16
INVDATA VAR_SYNC DSNACK INACK OVER CLKO MODE9 MSBF/CPOL
15 14 13 12 11 10 9 8
CHMODE NBSTOP PAR SYNC/CPHA
76543210
CHRL USCLKS USART_MODE
Value Name Description
0x0 NORMAL Normal mode
0x1 RS485 RS485
0x2 HW_HANDSHAKING Hardware Handshaking
0x3 MODEM Modem
0x4 IS07816_T_0 IS07816 Protocol: T = 0
0x6 IS07816_T_1 IS07816 Protocol: T = 1
0x8 IRDA IrDA
0xE SPI_MASTER SPI Master
0xF SPI_SLAVE SPI Slave
Value Name Description
0 MCK Master Clock MCK is selected
1 DIV Inter nal Clock Divided MCK/DIV (DIV=8) is selected
3 SCK Serial Clock SLK is selected
Value Name Description
0 5_BIT Charac te r length is 5 bits
679
6500D–ATARM–29-Feb-12
SAM3S
679
6500D–ATARM–29-Feb-12
SAM3S
SYNC: Synchronous Mode Select
0: USART operates in Asynchronous Mode.
1: USART operates in Synchronous Mode.
CPHA: SPI Clock Phase
Applicable if USART oper ates in SPI Mode (USART_MODE = 0xE or 0xF):
CPHA = 0: Data is changed on the leading edge of SPCK and captured on the following edge of SPCK.
CPHA = 1: Data is captured on the leading edge of SPCK and changed on the following edge of SPCK.
CPHA determines which edge of SPCK causes data to change and which edge causes data to be captured. CPHA is used
with CPOL to produce the required clock/data relationship between master and slave devices.
PAR: Parity Type
NBSTOP: Number of Stop Bits
CHMODE: Channel Mode
MSBF: Bit Order
0: Least Significant Bit is sent/received first.
1: Most Significant Bit is sent/received first.
1 6_BIT Charac te r length is 6 bits
2 7_BIT Charac te r length is 7 bits
3 8_BIT Charac te r length is 8 bits
Value Name Description
0 EVEN Even parity
1 ODD Odd parity
2 SPACE Parity forced to 0 (Space)
3 MARK Parity forced to 1 (Mark)
4 NO No parity
6 MULTIDROP Multidrop mode
Value Name Description
0 1_BIT 1 stop bit
1 1_5_BIT 1.5 stop bit (SYNC = 0) or reserved (SYNC = 1)
2 2_BIT 2 stop bits
Value Name Description
0 NORMAL Normal Mode
1 AUTOMATIC Automatic Echo . Receiver input is connected to the TXD pin.
2 LOCAL_LOOPBACK Local Loopback. Transmitter ou tput is connected to the Receiver Input.
3 REMOTE_LOOPBACK Remo te Loopback. RXD pin is inter nally connected to the TXD pin.
680 6500D–ATARM–29-Feb-12
SAM3S
680 6500D–ATARM–29-Feb-12
SAM3S
CPOL: SPI Clock Polarity
Applicable if USART operates in SPI Mode (Slave or Master, USART_MODE = 0xE or 0xF):
CPOL = 0: The inactive state value of SPCK is logic level zero.
CPOL = 1: The inactive state value of SPCK is logic level one.
CPOL is used to determine the inactive state value of the serial clock (SPCK). It is used with CPHA to produce the re quired
clock/data relationship between master and slave devices.
MODE9: 9-bit Char acter Length
0: CHRL defines character length.
1: 9-bit character length.
CLKO: Clock Output Select
0: The USART does not drive the SCK pin.
1: The USART drives the SCK pin if USCLKS does not select the external clock SCK.
OVER: Oversampling Mode
0: 16x Oversampling.
1: 8x Oversampling.
INACK: Inhibit Non Acknowledge
0: The NACK is generated.
1: The NACK is not generated.
Note: In SPI master mode, if INACK = 0 the character transmission starts as soon as a character is written into US_THR
register (assuming TXRDY was set). When INACK is 1, an additional condition must be met. The char acter transmission
starts when a character is written and only if RXRDY flag is cleared (Receiver Holding Register has been read).
DSNACK: Disable Successive NACK
0: NACK is sent on the ISO line as soon as a parity error occurs in the received character (unless INACK is set).
1: Successive parity errors are counted up to the value specified in the MAX_ITERATION field. These parity errors gener-
ate a NACK on the ISO line. As soon as this value is reached, no additional NACK is sent on the ISO line. The flag
ITERATION is asserted.
•INVDATA: INverted Data
0: The data field transmit ted on TXD line is the same as the one written in US_THR register or the content read in US_RHR
is the same as RXD line. Normal mode of operation.
1: The data f ield t ransmitte d on TXD line is in verted (vol tage po larity o nly) compare d to the value written on US_THR regis-
ter or the content read in US_RHR is inverted compared to what is received on RXD line (or ISO7816 IO line). Inverted
Mode of operation, useful for contactless card application. To be used with configuration bit MSBF.
VAR_SYNC: Variable Synchronization of Command/Data Sync Start Frame Delimiter
0: User defined configuration of command or data sync field depending on MODSYNC value.
1: The sync field is upda ted when a character is written into US_THR register.
MAX_ITERATION
Defines the maximum number of iterations in mode ISO7816, protocol T= 0.
681
6500D–ATARM–29-Feb-12
SAM3S
681
6500D–ATARM–29-Feb-12
SAM3S
FILTER: Infrared Receive Line Filter
0: The USART does not filter the receive line.
1: The USART filters the receive line using a three-sample filter (1/16-bit clock) (2 over 3 majority).
MAN: Manchester Encoder/Decoder Enable
0: Manchester Encoder/Decoder are disabled.
1: Manchester Encoder/Decoder are enabled.
MODSYNC: Manchester Synchronization Mode
0:The Manchester Start bit is a 0 to 1 transition
1: The Manchester Start bit is a 1 to 0 transition.
ONEBIT: Start Frame Delimiter Selector
0: Start Frame delimiter is COMMAND or DATA SYNC.
1: Start Frame delimiter is One Bit.
682 6500D–ATARM–29-Feb-12
SAM3S
682 6500D–ATARM–29-Feb-12
SAM3S
34.8.3 USA RT Interrupt Enable Register
Name: US_IER
Addresses: 0x40024008 (0), 0x40028008 (1)
Access: Write-only
0: No effect
1: Enables the corresponding interrupt.
RXRDY: RXRDY Interrupt Enable
TXRDY: TXRDY Interrupt Enable
RXBRK: Receiver Break Interrupt Enable
ENDRX: End of Receive Transfer Interrupt Enable
ENDTX: End of Transmit Interrupt Enable
OVRE: Overrun Error Interrupt Enable
FRAME: Framing Err or Interrupt Enable
PARE: Parity Error Interrupt Enable
TIMEOUT: Time-out Interrupt Enable
TXEMPTY: TXEMPTY Interrupt Enable
ITER: Max number of Repetitions Reached
UNRE: SPI Underrun Error
TXBUFE: Buffer Empty Interrupt Enable
RXBUFF: Buffer Full Interrupt Enable
NACK: Non Acknowledge Interrupt Enable
RIIC: Ring Indicator Input Change Enable
DSRIC: Data Set Ready Input Change Enable
DCDIC: Data Carrier Detect Input Change Interrupt Enable
31 30 29 28 27 26 25 24
–––––––MANE
23 22 21 20 19 18 17 16
CTSIC DCDIC DSRIC RIIC
15 14 13 12 11 10 9 8
NACK RXBUFF TXBUFE ITER/UNRE TXEMPTY TIMEOUT
76543210
PARE FRAME OVRE ENDTX ENDRX RXBRK TXRDY RXRDY
683
6500D–ATARM–29-Feb-12
SAM3S
683
6500D–ATARM–29-Feb-12
SAM3S
CTSIC: Clear to Send Input Change Interrupt Enable
MANE: Manchester Error Interrupt Enable
684 6500D–ATARM–29-Feb-12
SAM3S
684 6500D–ATARM–29-Feb-12
SAM3S
34.8.4 USART Interrupt Disable Register
Name: US_IDR
Addresses: 0x4002400C (0), 0x4002800C (1)
Access: Write-only
0: No effect
1: Disables the corresponding interrupt.
RXRDY: RXRDY Interrupt Disable
TXRDY: TXRDY Interrupt Disable
RXBRK: Receiver Break Interrupt Disable
ENDRX: End of Receive Transfer Interrupt Disable
ENDTX: End of Transmit Interrupt Disable
OVRE: Overrun Error Interrupt Disable
FRAME: Framing Error Interrupt Disable
PARE: Parity Error Interrupt Disable
TIMEOUT: Time-out Interrupt Disable
TXEMPTY: TXEMPTY Interrupt Disable
ITER: Max number of Repetitions Reached Disable
UNRE: SPI Underrun Error Disable
TXBUFE: Buffer Empty Interrupt Disable
RXBUFF: Buffer Full Interrupt Disable
NACK: Non Acknowledge Interrupt Disable
RIIC: Ring Indicator Input Change Disable
DSRIC: Data Set Ready Input Change Disable
DCDIC: Data Carrier Detect Input Change Interrupt Disable
CTSIC: Clear to Send Input Change Interrupt Disable
MANE: Manchester Error Interrupt Disable
31 30 29 28 27 26 25 24
–––––––MANE
23 22 21 20 19 18 17 16
CTSIC DCDIC DSRIC RIIC
15 14 13 12 11 10 9 8
NACK RXBUFF TXBUFE ITER/UNRE TXEMPTY TIMEOUT
76543210
PARE FRAME OVRE ENDTX ENDRX RXBRK TXRDY RXRDY
685
6500D–ATARM–29-Feb-12
SAM3S
685
6500D–ATARM–29-Feb-12
SAM3S
34.8.5 USA RT Interrupt Mask Regi st er
Name: US_IMR
Addresses: 0x40024010 (0), 0x40028010 (1)
Access: Read-only
0: The corresponding interrupt is not enabled.
1: The corresponding interrupt is enabled.
RXRDY: RXRDY Interrupt Mas k
TXRDY: TXRDY Interrupt Mask
RXBRK: Receiver Break Interrupt Mask
ENDRX: End of Rece ive Transfer Interrupt Mas k
ENDTX: End of Transmit Interrupt Mask
OVRE: Overrun Error Interrupt Mask
FRAME: Framing Err or Interrupt Mask
PARE: Parity Error Interrupt Mask
TIMEOUT: Time-out Interrupt Mask
TXEMPTY: TXEMPTY Interrupt Mask
ITER: Max number of Repetitions Reached Mask
UNRE: SPI Underrun Error Mask
TXBUFE: Buffer Empty Interrupt Mask
RXBUFF: Buffer Full Interrupt Mask
NACK: Non Acknowledge Interrupt Mask
RIIC: Ring Indicator Input Change Mask
DSRIC: Data Set Ready Input Change Mask
DCDIC: Data Carrier Detect Input Change Interrupt Mask
CTSIC: Clear to Send Input Change Interrupt Mask
MANE: Manchester Error Interrupt Mask
31 30 29 28 27 26 25 24
–––––––MANE
23 22 21 20 19 18 17 16
CTSIC DCDIC DSRIC RIIC
15 14 13 12 11 10 9 8
NACK RXBUFF TXBUFE ITER/UNRE TXEMPTY TIMEOUT
76543210
PARE FRAME OVRE ENDTX ENDRX RXBRK TXRDY RXRDY
686 6500D–ATARM–29-Feb-12
SAM3S
686 6500D–ATARM–29-Feb-12
SAM3S
34.8.6 USART Channel Status Register
Name: US_CSR
Addresses: 0x40024014 (0), 0x40028014 (1)
Access: Read-only
RXRDY: Receiver Ready
0: No complete character has been received since the last read of US_RHR or the re ceiver is disabled. If characters were
being received when the receiver was disabled, RXRDY changes to 1 when the receiver is enabled.
1: At least one complete character has been received and US_RHR has not yet been read.
TXRDY: Transmitter Ready
0: A character is in the US_THR waiting to be tr ansferred to the Transmit Shif t Register, or an STTBRK comm and has been
requested, or the transmitter is disabled. As soon as the transmitter is enabled, TXRDY becomes 1.
1: There is no character in the US_THR.
RXBRK: Break Received/ End of Break
0: No Break received or End of Break detected since the last RSTSTA.
1: Break Received or End of Break detected since the last RSTSTA.
ENDRX: End of Receiver Transfer
0: The End of Transf er signal from the Receive PDC channel is inactive.
1: The End of Transfer signal from the Receive PDC channel is active.
ENDTX: End of Transmitter Transfer
0: The End of Transf er signal from the Transmit PDC channel is inactive.
1: The End of Transf er signal from the Transmit PDC channel is active.
OVRE: Overrun Error
0: No overrun error has occurred since the last RSTSTA.
1: At least one overrun error has occurred since the last RSTSTA.
FRAME: Framing Error
0: No stop bit has been detected low since the last RSTSTA.
1: At least one stop bit has been detected low since the last RSTSTA.
31 30 29 28 27 26 25 24
–––––––MANERR
23 22 21 20 19 18 17 16
CTS DCD DSR RI CTSIC DCDIC DSRIC RIIC
15 14 13 12 11 10 9 8
NACK RXBUFF TXBUFE ITER/UNRE TXEMPTY TIMEOUT
76543210
PARE FRAME OVRE ENDTX ENDRX RXBRK TXRDY RXRDY
687
6500D–ATARM–29-Feb-12
SAM3S
687
6500D–ATARM–29-Feb-12
SAM3S
PARE: Parity Error
0: No parity error has been detected since the last RSTSTA.
1: At least one parity er ror has been detected since the last RSTSTA.
TIMEOUT: Receiver Time-out
0: There has not been a time-out since the last Start Time-out command (STTTO in US_CR) or the Time -out Register is 0.
1: There has been a time-out since the last Start Time-out command (STTTO in US_CR).
TXEMPTY: Transmitter Empty
0: There are characters in either US_THR or the Transmit Shift Register, or the transmitte r is di sabled.
1: There are no characters in US_THR, nor in the Transmit Shift Register.
ITER: Max number of Repetitions Reached
0: Maximum number of repetitions has not been reached since the last RSTSTA.
1: Maximum number of repetitions has been reached since the last RSTSTA.
UNRE: SPI Underrun Error
– Applicable if USART operates in SPI Slave Mode (USART_MODE = 0xF):
UNRE = 0: No SPI underrun error has occurred since the last RSTSTA.
UNRE = 1: At least one SPI underrun error has occurred since the last RSTSTA.
TXBUFE: Transmission Buffer Empty
0: The signal Buffer Empty from the Transmit PDC channel is inactive.
1: The signal Buffer Empty from the Transmit PDC channel is active.
RXBUFF: Reception Buffer Full
0: The signal Buffer Full from the Receive PDC channel is inactive.
1: The signal Buffer Full from the Receive PDC channel is active.
NACK: Non Acknowledge Interrupt
0: Non Acknowledge has not been detected since the last RSTNACK.
1: At least one Non Acknowledge has been detected since the last RSTNACK.
RIIC: Ring Indicator Input Change Flag
0: No input change has been detected on the RI pin since the last read of US_CSR.
1: At least one input change has been detected on the RI pin since the last read of US_CSR.
DSRIC: Data Set Ready Input Change Flag
0: No input change has been detected on the DSR pin since the last read of US_CSR.
1: At least one input change has been detected on the DSR pin since the last read of US_CSR.
DCDIC: Data Carrier Detect Input Change Flag
0: No input change has been detected on the DCD pin since the last read of US_CSR.
1: At least one input change has been detected on the DCD pin since the last read of US_CSR.
688 6500D–ATARM–29-Feb-12
SAM3S
688 6500D–ATARM–29-Feb-12
SAM3S
CTSIC: Clear to Send Input Change Flag
0: No input change has been detected on the CTS pin since the last read of US_CSR.
1: At least one input change has been detected on the CTS pin since the last read of US_CSR.
RI: Image of RI Input
0: RI is set to 0.
1: RI is set to 1.
DSR: Image of DSR Input
0: DSR is set to 0
1: DSR is set to 1.
DCD: Image of DCD Input
0: DCD is set to 0.
1: DCD is set to 1.
CTS: Image of CTS Input
0: CTS is set to 0.
1: CTS is set to 1.
MANERR: Manchester Error
0: No Manchester error has been detected since the last RSTSTA.
1: At least one Manche ste r er ro r ha s be en de tec te d sin ce th e last RSTSTA.
689
6500D–ATARM–29-Feb-12
SAM3S
689
6500D–ATARM–29-Feb-12
SAM3S
34.8.7 USART Receive Holding Register
Name: US_RHR
Addresses: 0x40024018 (0), 0x40028018 (1)
Access: Read-only
RXCHR: Received Character
Last character received if RXRDY is set.
RXSYNH: Received Sync
0: Last Character received is a Data.
1: Last Character received is a Command.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
RXSYNH ––––––RXCHR
76543210
RXCHR
690 6500D–ATARM–29-Feb-12
SAM3S
690 6500D–ATARM–29-Feb-12
SAM3S
34.8.8 USART Transmit Holding Register
Name: US_THR
Addresses: 0x4002401C (0), 0x4002801C (1)
Access: Write-only
TXCHR: Character to be Transmitted
Next character to be transmitted after the cur rent character if TXRDY is not set.
TXSYNH: Sync Field to be transmitted
0: The next character sent is encoded as a data. Start Frame Delimiter is DATA SYNC.
1: The next characte r sent is encoded as a command. Start Frame Delimiter is COMMAND SYNC.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TXSYNH ––––––TXCHR
76543210
TXCHR
691
6500D–ATARM–29-Feb-12
SAM3S
691
6500D–ATARM–29-Feb-12
SAM3S
34.8.9 USART Baud Rate Generator Register
Name: US_BRGR
Addresses: 0x40024020 (0), 0x40028020 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
CD: Clock Divider
FP: Fractional Part
0: Fractional div ide r is di sa ble d .
1 - 7: Baudrate resolution, defined by FP x 1/8.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––– FP
15 14 13 12 11 10 9 8
CD
76543210
CD
CD
USART_MODE ISO7816
USART_MODE =
ISO7816
SYNC = 0
SYNC = 1
or
USART_MODE = SPI
(Master or Slave)
OVER = 0 OVER = 1
0 Baud Rate Clock Disabled
1 to 65535 Baud Rate =
Selected Clock/(16*CD) Baud Rate =
Selected Clock/(8*CD) Baud Rate =
Selected Clock /CD Baud Rate = Selected
Clock/(FI_DI_RATIO*CD)
692 6500D–ATARM–29-Feb-12
SAM3S
692 6500D–ATARM–29-Feb-12
SAM3S
34.8.10 USART Receiver Time-out Register
Name: US_RTOR
Addresses: 0x40024024 (0), 0x40028024 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
TO: Time-out Value
0: The Receiver Time-out is disabled.
1 - 65535: The Receiver Time-out is enabled and the Time-out delay is TO x Bit Period.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
TO
76543210
TO
693
6500D–ATARM–29-Feb-12
SAM3S
693
6500D–ATARM–29-Feb-12
SAM3S
34.8.11 USART Transmitter Timeguard Register
Name: US_TTGR
Addresses: 0x40024028 (0), 0x40028028 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
TG: Timeguar d Value
0: The Transmitter Timeguard is disabled.
1 - 255: The Transmitter timeguard is enabled and the timeguard delay is TG x Bit Period.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
TG
694 6500D–ATARM–29-Feb-12
SAM3S
694 6500D–ATARM–29-Feb-12
SAM3S
34.8.12 USART FI DI RATIO Register
Name: US_FIDI
Addresses: 0x40024040 (0), 0x40028040 (1)
Access: Read-write
Reset Value: 0x174
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
FI_DI_RATIO: FI Over DI Ratio Value
0: If ISO7816 mode is selected, the Baud Rate Generator generates no signal.
1 - 2047: If ISO7816 mode is selected, the Baud Rate is the clock provided on SCK divided by FI_DI_RATIO.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––– FI_DI_RATIO
76543210
FI_DI_RATIO
695
6500D–ATARM–29-Feb-12
SAM3S
695
6500D–ATARM–29-Feb-12
SAM3S
34.8.13 USART Number of Errors Register
Name: US_NER
Addresses: 0x40024044 (0), 0x40028044 (1)
Access: Read-only
NB_ERRORS: Number of Errors
Total number of errors that occurred during an ISO7816 transfer. This register automatically clears when read.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
NB_ERRORS
696 6500D–ATARM–29-Feb-12
SAM3S
696 6500D–ATARM–29-Feb-12
SAM3S
34.8.14 USART IrDA FILTER Register
Name: US_IF
Addresses: 0x4002404C (0), 0x4002804C (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
IRDA_FILTER: IrDA Filter
Sets the filter of the IrDA demodulator.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
IRDA_FILTER
697
6500D–ATARM–29-Feb-12
SAM3S
697
6500D–ATARM–29-Feb-12
SAM3S
34.8.15 USART Manchester Configuration Register
Name: US_MAN
Addresses: 0x40024050 (0), 0x40028050 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “USART Write Protect Mode Register” on page 699.
TX_PL: Transmitter Preamble Length
0: The Transmitter Preamble pattern generation is disabled
1 - 15: The Preamble Leng th is TX_PL x Bit Period
TX_PP: Transmitter Preamble Pattern
The following values assume that TX_MPOL f ield is not set:
TX_MPOL: Transmitter Manchester Polarity
0: Logic Zero is coded as a zero-t o-one transition, Logic One is coded as a one-to-zero transition.
1: Logic Zero is coded as a one-t o-zero transition, Logic One is coded as a zero-to-one transitio n.
RX_PL: Receiver Preamble Length
0: The receiver preamble pattern detection is disabled
1 - 15: The detected preamble length is RX_PL x Bit Period
RX_PP: Receiver Preamble Pattern detected
The following values assume that RX_MPOL field is not set:
31 30 29 28 27 26 25 24
DRIFT 1 RX_MPOL RX_PP
23 22 21 20 19 18 17 16
–––– RX_PL
15 14 13 12 11 10 9 8
TX_MPOL TX_PP
76543210
–––– TX_PL
Value Name Description
00 ALL_ONE The preamble is composed of ‘1’s
01 ALL_ZERO The preamble is composed of ‘0’s
10 ZERO_ONE The preamble is composed of ‘01’s
11 ONE_ZERO The preamble is composed of ‘10’s
Value Name Description
00 ALL_ONE The preamble is composed of ‘1’s
698 6500D–ATARM–29-Feb-12
SAM3S
698 6500D–ATARM–29-Feb-12
SAM3S
RX_MPOL: Receiver Manchest er Polarity
0: Logic Zero is coded as a zero-t o-one transition, Logic One is coded as a one-to-zero transition.
1: Logic Zero is coded as a one-t o-zero transition, Logic One is coded as a zero-to-one transitio n.
DRIFT: Drift compensation
0: The USART can not recover from an important clock drift
1: The USART can recover from clock drift. The 16X clock mode must be enabled.
01 ALL_ZERO The preamble is composed of ‘0’s
10 ZERO_ONE The preamble is composed of ‘01’s
11 ONE_ZERO The preamble is composed of ‘10’s
699
6500D–ATARM–29-Feb-12
SAM3S
699
6500D–ATARM–29-Feb-12
SAM3S
34.8.16 USART Write Protect Mode Register
Name: US_WPMR
Addresses: 0x400240E4 (0), 0x400280E4 (1)
Access: Read-write
Reset: See Table 34-16
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x555341 (“USA” in ASCII).
1 = Enables the Write Protect if WPKEY corresponds to 0x555341 (“USA” in ASCII).
Protects the registers:
“USART Mode Register” on page 678
“USART Baud Rate Genera tor Register” on page 691
“USART Receiver Time-out Register” on page 692
“USART Transmitter Timeguard Register” on page 693
“USART FI DI RATIO Register” on page 694
“USART IrDA FILTER Register” on page 696
“USART Manche ste r Co nf igu ra tio n Re gis ter ” on pa ge 697
WPKEY: Write Protect KEY
Should be written at value 0x555341 (“USA” in ASCII). Writing any other value in this field aborts the write operation of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
———————WPEN
700 6500D–ATARM–29-Feb-12
SAM3S
700 6500D–ATARM–29-Feb-12
SAM3S
34.8.17 USART Write Protect Status Register
Name: US_WPSR
Addresses: 0x400240E8 (0), 0x400280E8 (1)
Access: Read-only
Reset: See Table 34-16
WPVS: Write Protect Violation Status
0 = No Write Protect Violation has occurred since the last read of the US_WPSR register.
1 = A Write Protect Violation has occurred since the last read of the US_WPSR register. If this violation is an unauthorized
attempt to write a prot ected register, the associated violation is reported into field WPVSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Note: Reading US_WPSR automatically clears all fields.
31 30 29 28 27 26 25 24
————————
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
———————WPVS
701
6500D–ATARM–29-Feb-12
SAM3S
701
6500D–ATARM–29-Feb-12
SAM3S
35. Timer Counter (TC) Programmer Datasheet
35.1 Description The Timer Counter (TC) includes 6 identical 16-bit Timer Counter channels.
Each channel can be independently programmed to perform a wide range of functions including
frequency measurement, event counting, interval measurement, pulse generation, delay timing
and pulse width modulation.
Each channel has three external clock inputs, five internal clock inputs and two multi-purpose
input/output signals which can be configured by the user. Each channel drives an internal inter-
rupt signal which can be programmed to generate processor interrupts.
The Timer Count er (TC) e mbeds a quad rature decoder log ic conne cted in fr ont of t he timer s and
driven by TIOA0, TIOB0 and TIO A1 inputs. When enable d, the quad rature d ecoder per forms t he
input lines filtering, decoding of quadrature signals and connects to the timers/counters in orde r
to read the position and speed of the motor through the user inte rface.
The Timer Counter block has two global registers which act upon all TC channels.
The Block Control Register allows the channels to be started simultaneously with the same
instruction.
The Block Mode Register defines the external clock inputs for each channel, allowing them to be
chained.
Table 35-1 gives the assignment of the device Timer Counter clock inputs common to Timer
Counter 0 to 2.
Note: 1. When Slow Clock is selected for Master Clock (CSS = 0 in PMC Master CLock Register),
TIMER_CLOCK5 input is Master Clock, i.e., Slow CLock modified by PRES and MDIV fields.
35.2 Embedded Characteristics
Provides 6 16-bit Timer Counter channels
Wide range of functions including:
Frequency measurement
Event counting
Interval measurement
Pulse generation
Delay timing
Pulse Width Modulation
Up/down capabilities
Table 35-1. Timer Counter Clock Assignment
Name Definition
TIMER_CLOCK1 MCK/2
TIMER_CLOCK2 MCK/8
TIMER_CLOCK3 MCK/32
TIMER_CLOCK4 MCK/128
TIMER_CLOCK5(1) SLCK
702 6500D–ATARM–29-Feb-12
SAM3S
702 6500D–ATARM–29-Feb-12
SAM3S
Quadrature decoder logic
2-bit gray up/down count for stepper motor
Each channel is user-configurable and contains:
Three external clock inputs
Five Internal clock inputs
Two multi-purpose input/output signals
Internal interrupt signal
Two global registers that act on all TC channels
Compare even t fault generation for PWM
Configura tion registers can be write protected
35.3 Block Diagram
Figure 35-1. Timer Counter Block Diagram
Note: The quadrature decoder logic connections are detailed in Figure 35-15 ”Predefined Connection of
the Quadrature Decoder with Timer Counters”
Timer/Counter
Cha nnel 0
Timer/Counter
Cha nnel 1
Timer/Counter
Cha nnel 2
SYNC
Pa ralle l I/O
Controller
TC1XC1S
TC0XC0S
TC2XC2S
INT0
INT1
INT2
TIOA0
TIOA1
TIOA2
TIOB0
TIOB1
TIOB2
XC0
XC1
XC2
XC0
XC1
XC2
XC0
XC1
XC2
TCLK0
TCLK1
TCLK2
TCLK0
TCLK1
TCLK2
TCLK0
TCLK1
TCLK2
TIOA1
TIOA2
TIOA0
TIOA2
TIOA0
TIOA1
Interrupt
Controller
TCLK0
TCLK1
TCLK2
TIOA0
TIOB0
TIOA1
TIOB1
TIOA2
TIOB2
Timer Counter
TIOA
TIOB
TIOA
TIOB
TIOA
TIOB
SYNC
SYNC
TIMER_CLOCK2
TIMER_CLOCK3
TIMER_CLOCK4
TIMER_CLOCK5
TIMER_CLOCK1
FAULT
PWM
703
6500D–ATARM–29-Feb-12
SAM3S
703
6500D–ATARM–29-Feb-12
SAM3S
35.4 Pin Name List
35.5 Product Dependencies
35.5.1 I/O Lines The pins used for interfacing the compliant external devices may be multiplexed with PIO lines.
The programmer must first program the PIO controllers to assign the TC pins to their peripheral
functions.
Table 35-2. Signal Name Description
Block/Channel Signal Name Description
Channel Signal
XC0, XC1, XC2 External Clock Inputs
TIOA Capture Mode: Timer Counter Input
Waveform Mode: Timer Counter Output
TIOB Capture Mode: Timer Counter Input
Waveform Mode: Timer Counter Input/Output
INT Interrupt Signal Output
SYNC Synch ronization Input Signal
Table 35-3. TC pin list
Pin Name Description Type
TCLK0-TCLK2 External Clock Input Input
TIOA0-T IOA2 I/O Line A I/O
TIOB0-TIOB2 I/O Line B I/O
FAULT Drives internal fault input of PWM Output
Table 35-4. I/ O Lin es
Instance Signal I/O Line Peripheral
TC0 TCLK0 PA4 B
TC0 TCLK1 PA28 B
TC0 TCLK2 PA29 B
TC0 TIOA0 PA0 B
TC0 TIOA1 PA15 B
TC0 TIOA2 PA26 B
TC0 TIOB0 PA1 B
TC0 TIOB1 PA16 B
TC0 TIOB2 PA27 B
TC1 TCLK3 PC25 B
TC1 TCLK4 PC28 B
TC1 TCLK5 PC31 B
704 6500D–ATARM–29-Feb-12
SAM3S
704 6500D–ATARM–29-Feb-12
SAM3S
35.5.2 Power Management
The TC is clocked t hrough the Power Mana gement Co ntroller ( PMC), thus t he progra mmer must
first configure the PMC to enable the Timer Counter clock.
35.5.3 Interrupt The TC has an interrupt line connected to the Interrupt Controller (IC). Handling the TC interrupt
requires prog ramming the IC before configuring the TC.
35.5.4 Fault Output The TC has the FAULT output connected to the fault input of PWM. Refer to Sectio n 35.6.17
”Fault Mode”, and to the product Pulse Width Modulation (PWM) implementation.
35.6 Functional Description
35.6.1 TC DescriptionThe 6 channels of the Timer Counter are independent and identical in operation except when
quadrature decoder is enabled. The registers for channel programming are listed in Table 35-5
on page 725.
35.6.2 16-bit Counter Each channel is organized around a 16-bit counter. The value of the counter is incremented at
each positive edge of the selected clock. When the counter has reached the value 0xFFFF and
passes to 0x0000, an overflow occurs and the COVFS bit in TC_SR (Status Register) is set.
The current value of the counter is accessible in real ti me by reading the Counter Value Regis-
ter, TC_CV. The counter can be reset by a trigg er. In this case, the counter value passes to
0x0000 on the next valid edge of the selected clock.
35.6.3 Cl ock Selection
At block level, input clock signals of each channel can eit her be connect ed to the ext erna l input s
TCLK0, TCLK1 or TCLK2, or be connected to the internal I/O signals TIOA0, TIOA1 or TIOA2
for chaining by programming the TC_BMR (Block Mode). See Figure 35-2 ”Clock Chaining
Selection”.
Each channel can independently select an internal or external clock source for its counter:
Internal clock signals: TIMER_CLOCK1, TIMER_CLOCK2, TIMER_CLOCK3,
TIMER_CLOCK4, TIMER_CLOCK5
External clock signals: XC0, XC1 or XC2
This selection is made by the TCCLKS bits in the TC Channel Mode Register.
TC1 TIOA3 PC23 B
TC1 TIOA4 PC26 B
TC1 TIOA5 PC29 B
TC1 TIOB3 PC24 B
TC1 TIOB4 PC27 B
TC1 TIOB5 PC30 B
Table 35-4. I/ O Lin es
705
6500D–ATARM–29-Feb-12
SAM3S
705
6500D–ATARM–29-Feb-12
SAM3S
The selected clock can be inverted with the CLKI bit in TC_CMR. This allows counting on the
opposite edges of the clock.
The burst fun ction allows the clock to be validat ed when an external signal is high. The BURST
parameter in the Mode Register defines this signal (none, XC0, XC1, XC2). See Figure 35-3
”Clock Selection”
Note: In all cases, if an external clock is used, the duration of each of its levels must be longer than the
master clock period. The external clock frequency must be at least 2.5 times lower than the mas-
ter clock
Figure 35-2. Clock Chaining Selection
Timer/Counter
Channel 0
SYNC
TC0XC0S
TIOA0
TIOB0
XC0
XC1 = TCLK1
XC2 = TCLK2
TCLK0 TIOA1
TIOA2
Timer/Counter
Channel 1
SYNC
TC1XC1S
TIOA1
TIOB1
XC0 = TCLK0
XC1
XC2 = TCLK2
TCLK1 TIOA0
TIOA2
Timer/Counter
Channel 2
SYNC
TC2XC2S
TIOA2
TIOB2
XC0 = TCLK0
XC1 = TCLK1
XC2
TCLK2 TIOA0
TIOA1
706 6500D–ATARM–29-Feb-12
SAM3S
706 6500D–ATARM–29-Feb-12
SAM3S
Figure 35-3. Clock Selection
35.6.4 Cl ock Control The clock of each counter can be controlled in two different ways: it can be enabled/disabled
and started/stopped. See Figure 35-4.
The clock can be enab led or disabled by the user with the CLKEN and the CLKDIS
commands in the Cont rol Register. In Capture Mode it can be disab led b y an RB load e v en t if
LDBDIS is set to 1 in TC_CMR. In Waveform Mode, it can be disabled by an RC Compare
event if CPCDIS is set to 1 in TC_CMR. When disabled, the start or the stop actions have no
effect: only a CLKEN command in the Control Register can re-enable the clock. When t he
clock is en abled, the CLKSTA bit is set in the Status Register.
The clock can also be started or stopped: a trigger (software, synchro, external or compare)
always starts the clock. The clock can be stopped by an RB load event in Capture Mode
(LDBSTOP = 1 in TC_CMR) or a RC compare event in Waveform Mode (CPCSTOP = 1 in
TC_CMR). The start and the stop commands have effect only if the clock is enabled.
TIMER_CLOCK1
TIMER_CLOCK2
TIMER_CLOCK3
TIMER_CLOCK4
TIMER_CLOCK5
XC0
XC1
XC2
TCCLKS
CLKI
Synchronous
Edge Detection
BURST
MCK
1
Selected
Clock
707
6500D–ATARM–29-Feb-12
SAM3S
707
6500D–ATARM–29-Feb-12
SAM3S
Figure 35-4. Clock Control
35.6.5 TC Operating Modes
Each channel can independently operate in two different modes:
Capture Mode provides measurement on signals.
Waveform Mode provides wave generation.
The TC Operating Mode is programmed with the WAVE bit in the TC Channel Mode Register.
In Capture Mode, TIOA and TIOB are configured as inputs.
In Waveform Mode, TIOA is always configured to be an output and TIOB is an output if it is not
selected to be the external trigger.
35.6.6 Trigger A trigger resets the counter and starts the counter clock. Three types of triggers are common to
both modes, and a fourth external trigger is available to each mode.
Regardless of the trigger used, it will be taken into account at the following active edge of the
selected clock. This means that the counter value can be read differently from zero just after a
trigger, especially when a low frequency signal is selected as the clock.
The following triggers are common to both modes:
Software Trigger: Each channel has a software trigger, availab le by setting SWTRG in
TC_CCR.
SYNC: Each channel has a synchro nization signal SYNC . When asserted, this signal has the
same effect as a software trigger. The SYNC signals of all channels are asserted
simultaneously by writing TC_BCR (Block Control) with SYNC set.
Compare RC Trigger: RC is implemented in each channel and can pro vide a trigger when the
counter value matches the RC value if CPCTRG is set in TC_CMR.
The channel can also be configured to have an external trigger. In Capture Mode, the exter nal
trigger signa l can be selected be tween TIOA and TIOB. In Waveform Mode, an external event
QS
R
S
R
Q
CLKSTA CLKEN CLKDIS
Stop
Event Disable
Event
Counter
Clock
Selected
Clock Trigger
708 6500D–ATARM–29-Feb-12
SAM3S
708 6500D–ATARM–29-Feb-12
SAM3S
can be programmed on one of the following signals: TIOB, XC0, XC1 or XC2. This external
event can then be programmed to perform a trigger by setting ENETRG in TC_CMR.
If an external trigger is used, the duration of the pulses must be longer than the master clock
period in order to be detected.
35.6.7 Capture Operating Mode
This mode is entered by clearing the WAVE parameter in TC_CMR (Channel Mode Register).
Capture Mode allows the TC channel to perform measurements such as pulse timing, fre-
quency, period, duty cycle and phase on TIOA and TIOB signals which are considered as
inputs.
Figure 35-5 shows the configuration of the TC channel when programmed in Capture Mode.
35.6.8 Ca p tur e Registers A and B
Registers A and B (RA and RB) ar e used as capture registers. This me ans that they can be
loaded with the counter value when a programmable event occurs on the signal TIOA.
The LDRA parameter in TC_CMR defines the TIOA selected edge for the loading of register A,
and the LDRB parameter defines the TIOA selected edge for the loading of Regi ster B.
RA is loaded only if it has not been loaded since the last trigger or if RB has been loaded since
the last loading of RA.
RB is loaded only if RA has been loaded since the last trigger or the last loading of RB.
Loading RA or RB before th e re ad of th e last value loade d se ts th e Over ru n Erro r Flag (LO VRS)
in TC_SR (Status Register). In this case, the old value is overwritten.
35.6.9 Trigger Conditions
In addition to the SYNC signal, the softwar e trigge r and the RC compare trigger , an ext ernal tr ig-
ger can be defined.
The ABETRG bit in TC_CMR selects TIOA or TIOB input signal as an external trigger. The
ETRGEDG parameter defines the edge (rising, falling or both) detected to generate an external
trigger. If ETRGEDG = 0 (none), the external trigger is disabled.
709
6500D–ATARM–29-Feb-12
SAM3S
709
6500D–ATARM–29-Feb-12
SAM3S
Figure 35-5. Capture Mode
TIMER_CLOCK1
TIMER_CLOCK2
TIMER_CLOCK3
TIMER_CLOCK4
TIMER_CLOCK5
XC0
XC1
XC2
TCCLKS
CLKI
QS
R
S
R
Q
CLKSTA CLKEN CLKDIS
BURST
TIOB
Register C
Capture
Register A Capture
Register B Compare RC =
Counter
ABETRG
SWTRG
ETRGEDG CPCTRG
TC1_IMR
Trig
LDRBS
LDRAS
ETRGS
TC1_SR
LOVRS
COVFS
SYNC
1
MTIOB
TIOA
MTIOA
LDRA
LDBSTOP
If RA is not loaded
or RB is Loaded If RA is Loaded
LDBDIS
CPCS
INT
Edge
Detector
Edge
Detector
LDRB
Edge
Detector
CLK OVF
RESET
Timer/Counter Channel
MCK
Synchronous
Edge Detection
710 6500D–ATARM–29-Feb-12
SAM3S
710 6500D–ATARM–29-Feb-12
SAM3S
35.6.10 W aveform Operating Mode
Waveform operatin g mode is entered by setting the WAVE p arameter in TC_CMR (Channel
Mode Register ).
In Waveform Operating Mode the TC channel generates 1 or 2 PWM signals with the same fre-
quency and independently programmable duty cycles, or generates different types of one-shot
or repetitive pulses.
In this mode, TIOA is configured as an output and TIOB is defined as an output if it is not used
as an external event (EEVT parameter in TC_CMR).
Figure 35-6 shows the configuration of the TC channel when programmed in Waveform Operat-
ing Mode.
35.6.11 Waveform Selection
Depending on the WAVSEL parameter in TC_CMR (Channel Mode Register), the behavior of
TC_CV varies.
With any selection, RA, RB and RC can all be used as compare registers.
RA Compare is used to co nt ro l th e T IOA out pu t, RB Comp are is used to cont rol t he TIOB ou tp ut
(if correctly configured) and RC Compare is used to control TIOA and/or TIOB output s.
711
6500D–ATARM–29-Feb-12
SAM3S
711
6500D–ATARM–29-Feb-12
SAM3S
Figure 35-6. Waveform Mode
TCCLKS
CLKI
QS
R
S
R
Q
CLKSTA CLKEN CLKDIS
CPCDIS
BURST
TIOB
Register A Register B Register C
Compare RA = Compare RB = Compare RC =
CPCSTOP
Counter
EEVT
EEVTEDG
SYNC
SWTRG
ENETRG
WAVSEL
TC1_IMR
Trig
ACPC
ACPA
AEEVT
ASWTRG
BCPC
BCPB
BEEVT
BSWTRG
TIOA
MTIOA
TIOB
MTIOB
CPAS
COVFS
ETRGS
TC1_SR
CPCS
CPBS
CLK OVF
RESET
Output ControllerOutput Controller
INT
1
Edge
Detector
Timer/Counter Channel
TIMER_CLOCK1
TIMER_CLOCK2
TIMER_CLOCK3
TIMER_CLOCK4
TIMER_CLOCK5
XC0
XC1
XC2
WAVSEL
MCK
Synchronous
Edge Detection
712 6500D–ATARM–29-Feb-12
SAM3S
712 6500D–ATARM–29-Feb-12
SAM3S
35.6.11.1 WAVSEL = 00
When WAVSEL = 00, the value of TC_CV is incremented from 0 to 0xFFFF. Once 0xFFFF has
been reached, the value of TC_CV is reset. Incrementation of TC_CV starts again and the cycle
continues. See Figure 35-7.
An external event trigger or a software trigger can reset the value of TC_CV. It is important to
note that the trigger may occur at any time. See Figure 35-8.
RC Compare canno t be programmed to generate a trigger in this configuration. At the same
time, RC Compare can stop th e counter clock (CPCSTOP = 1 in TC_CMR) and/or disable the
counter clock (CPCDIS = 1 in TC_CMR).
Figure 35-7. WAVSEL= 00 without trigger
Time
Counter V alue
RC
RB
RA
TIOB
TIOA
Counter cleared by compare match with 0xFFFF
0xFFFF
Waveform Examples
713
6500D–ATARM–29-Feb-12
SAM3S
713
6500D–ATARM–29-Feb-12
SAM3S
Figure 35-8. WAVSEL= 00 with trigger
35.6.11.2 WAVSEL = 10
When WAVSEL = 10, the value of TC_CV is incremented from 0 to the value of RC, then auto-
matically reset on a RC Compare. Once the value of TC_CV has been reset, it is then
incremented and so on. See Figure 35-9.
It is important to note that TC_CV can be reset at any time by an external event or a software
trigger if both are programmed correctly. See Figure 35-10.
In addition, RC Compa re can stop t he count er clo ck (CPCSTOP = 1 in T C_CMR) an d/or disable
the counter clock (CPCDIS = 1 in TC_CMR).
Figure 35-9. WAVSEL = 10 Without Trigger
Time
Counter V alue
RC
RB
RA
TIOB
TIOA
Counter cleared by compare match with 0xFFFF
0xFFFF
Waveform Examples
Counter cleared by trigger
Time
Counter V alue
R
C
R
B
R
A
TIOB
TIOA
Counter cleared by compare match with RC
0xFFFF
Waveform Examples
714 6500D–ATARM–29-Feb-12
SAM3S
714 6500D–ATARM–29-Feb-12
SAM3S
Figure 35-10. WAVSEL = 10 With Trigger
35.6.11.3 WAVSEL = 01
When WAVSEL = 01, the value o f TC_CV is incremented from 0 to 0xFFFF. Once 0x FFFF is
reached, the value of TC_CV is decremented to 0, then re-incremented to 0xFFFF and so on.
See Figure 35-11.
A trigger such as an external even t or a software tr igger can modify TC_CV at any time. If a tr ig-
ger occurs while TC_CV is incrementing, TC_CV then decrements. If a trigger is received while
TC_CV is decrementing, TC_CV then increments. See Figure 35-1 2.
RC Compare cannot be programmed to generate a trigger in this configuration.
At the same time, RC Compare can stop the coun ter clock (CPCSTOP = 1) and/or disable the
counter clock (CPCDIS = 1).
Time
Counter V alue
R
C
R
B
R
A
TIOB
TIOA
Counter cleared by compare match with RC
0xFFFF
Waveform Examples
Counter cleared by trigger
715
6500D–ATARM–29-Feb-12
SAM3S
715
6500D–ATARM–29-Feb-12
SAM3S
Figure 35-11. WAVSEL = 01 Without Trigger
Figure 35-12. WAVSEL = 01 With Trigger
35.6.11.4 WAVSEL = 11
When WAVSEL = 11, the value of TC_CV is incremented from 0 to RC. Once RC is reached, the
value of TC_CV is decremented to 0, then re-incremented to RC and so on. See Figure 35-13.
A trigger such as an external even t or a software tr igger can modify TC_CV at any time. If a tr ig-
ger occurs while TC_CV is incrementing, TC_CV then decrements. If a trigger is received while
TC_CV is decrementing, TC_CV then increments. See Figure 35-1 4.
RC Compare can stop the counter clock (CPCSTOP = 1) and/or disable the counter clock
(CPCDIS = 1).
Time
Counter V alue
RC
RB
RA
TIOB
TIOA
Counter decremented by compare match with 0xFFFF
0xFFFF
Waveform Examples
Time
Counter V alue
TIOB
TIOA
Counter decremented by compare match with 0xFFFF
0xFFFF
Waveform Examples
Counter decremented
by trigger
Counter incremented
by trigger
RC
RB
RA
716 6500D–ATARM–29-Feb-12
SAM3S
716 6500D–ATARM–29-Feb-12
SAM3S
Figure 35-13. WAVSEL = 11 Without Trigger
Figure 35-14. WAVSEL = 11 With Trigger
Time
Counter V alue
RC
RB
RA
TIOB
TIOA
Counter decremented by compare match with RC
0xFFFF
Waveform Examples
Time
Counter V alue
TIOB
TIOA
Counter decremented by compare match with RC
0xFFFF
Waveform Examples
Counter decremented
by trigger
Counter incremented
by trigger
RC
RB
RA
717
6500D–ATARM–29-Feb-12
SAM3S
717
6500D–ATARM–29-Feb-12
SAM3S
35.6.12 External Event/Trigger Conditions
An external event can be programmed to be de tected on one of the clock so urces (XC0, XC1,
XC2) or TIOB. The external event selected can then be used as a trigger.
The EEVT parameter in TC_CMR selects the external trigger. The EEVTEDG parameter defines
the trigger edge for each of the possible external triggers (rising, falling or both). If EEVTEDG is
cleared (none), no external even t is defined.
If TIOB is defined as an external event signal (EEVT = 0), TIOB is no longer used as an output
and the compare register B is not used to generate waveforms and subsequently no IRQs. In
this case the TC channel can only generate a waveform on TIOA.
When an external event is defined, it can be used as a trigger by setting bit ENETRG in
TC_CMR.
As in Capture Mode, the SYNC signal and the sof tware t rigge r ar e also available a s t ri ggers. RC
Compare can also be used as a trigger depending on the parameter WAVSEL.
35.6.13 Output Controller
The output contr oller defines the output level ch anges on TIOA and TIOB followin g an event.
TIOB control is used only if TIOB is defined as output (not as an external event).
The following events control TIOA and TIOB: software trigger, external event and RC compare.
RA compare controls TIOA and RB compare controls TIOB. Each of these events can be pro-
grammed to set, clear or toggle the output as defined in the corresponding parameter in
TC_CMR.
35.6.14 Quadrature Decoder Logic
35.6.14.1 Description The quadrature decoder logic is driven by TIOA0, TIOB0, TIOB1 input pins and drives the
timer/counter of channel 0 and 1. Channel 2 can be used as a time base in case of speed mea-
surement requirements (refer to Figure 35.7 ”Timer Counter (TC) User Interface”).
When writing 0 in th e QDEN field of the TC_BMR re gister, the quadr ature decoder log ic is tot ally
transparent.
TIOA0 and TIOB0 are to be driven by the 2 dedicated quadrature signals from a rotary sensor
mounted on the shaft of the off-chip motor.
A third signal from the rotary sensor can be processed through pin TIOB1 and is typically dedi-
cated to be driven by an index signal if it is provided by the sensor. This signal is not required to
decode the quadrature signals PHA, PHB.
TCCLKS field of TC_CMR channels must be configured to select XC0 input (i.e. 0x101).
TC0XC0S field has no effect as soon as quadrature decoder is enabled.
Either speed or position /revolu tio n can be me asur ed. Posit ion chann el 0 accum ulate s the edg es
of PHA, PHB input signals giving a high accu ra cy on motor position whe reas chan nel 1 accumu-
lates the index pulses of the sensor, therefore the number of rotations. Concatenation of both
values provides a high level of precision on motion system position.
In speed mode, posit ion cannot be measured but revolution can be measured.
Inputs from the rotary sensor can be filtered prior to down-stream processing. Accomm odation
of input polarity, phase definition and other factors are configurable.
718 6500D–ATARM–29-Feb-12
SAM3S
718 6500D–ATARM–29-Feb-12
SAM3S
Interruptions can be generated on different events.
A compare function (using TC_RC register) is available on channel 0 (speed/position) or chan-
nel 1 (rotation) and can generate an interrupt by means of the CPCS flag in the TC_SR
registers.
Figure 35-15. Predefined Connection of the Quadrature Decoder with Timer Counters
35.6.14.2 Input Pre-processing
Input pre-processing consists of capabilities to take into account rotary sensor factors such as
polarities and phase definition followed by configurable digital filtering.
Each input can be negated and swapping PHA, PHB is also configurable.
Timer/Counter
Channel 0
1
XC0
TIOA
TIOB
Timer/Counter
Channel 1
1
XC0
TIOB
QDEN
Timer/Counter
Channel 2
1
TIOB0 XC0
1
1
SPEEDEN
1XC0
Quadrature
Decoder
(Filter + Edge
Detect + QD)
PHA
PHB
IDX
TIOA0
TIOB0
TIOB1
TIOB1
TIOA0
Index
Speed/Position
Rotation
Speed Time Base
Reset pulse
Direction
PHEdgesQDEN
719
6500D–ATARM–29-Feb-12
SAM3S
719
6500D–ATARM–29-Feb-12
SAM3S
By means of the MAXFILT field in TC_BMR, it is possible to configure a minimum duration for
which the pulse is stated as valid. When the filter is active, pulses with a duration lower than
MAXFILT+1 * tM CK ns ar e no t pa sse d to dow n-s tr eam logic .
Filters can be disabled using the FILTER field in the TC_BMR register.
Figure 35-16. Input Sta ge
Input filtering can efficiently remove spurious pulses that might be generated by the presence of
particulate contamination on the optical or magnetic disk of the rotary sensor .
Spurious pulses can also occur in environments with high levels of electro-magnetic interfer-
ence. Or, simply if vibra tion occurs even when rotation is fully stopped and the shaft of the motor
is in such a position that the beginning of one of the reflective or magnetic bars on the rotary
sensor disk is aligned with th e light or magnetic (Hall) receive r cell of the rotary sensor. Any
vibration can make the PHA, PHB signals toggle for a short duration.
1
1
1
MAXFILT
PHA
PHB
IDX
TIOA0
TIOB0
TIOB1
INVA
1
INVB
1
INVIDX
SWAP
1
IDXPHB
Filter
Filter
Filter 1
FILTER
Direction
and
Edge
Detection
IDX
PHedge
DIR
Input Pre-Processing
720 6500D–ATARM–29-Feb-12
SAM3S
720 6500D–ATARM–29-Feb-12
SAM3S
Figure 35-17. Filtering Examples
PHA,B
Filter Out
MCK MAXFILT=2
particulate contamination
PHA
PHB motor shaft stopped in such a position that
rotary sensor cell is aligned with an edge of the disk
rotation
PHA
PHB
PHB Edge area due to system vibration
Resulting PHA, PHB electrical waveforms
PHA
Optical/Magnetic disk strips
stop
PHB
mechanical shock on system
vibration
stop
PHA, PHB electrical waveforms after filtering
PHA
PHB
721
6500D–ATARM–29-Feb-12
SAM3S
721
6500D–ATARM–29-Feb-12
SAM3S
35.6.14.3 Direction Status and Change Detection
After filtering, the quadrature signals are analyzed to extract the rotation direction and edges of
the 2 quadrature signals detected in order to be counted by timer/counter logic downstream .
The direction status can be directly read at anytime on TC_QISR register. The po larity of the
direction flag status depends on the configurati on written in TC_BMR register. INVA, INVB,
INVIDX, SWAP modify the polarity of DIR flag.
Any change in rotation direction is reported on TC_QISR register and can generate an interrupt.
The direction change condition is reported as soon as 2 consecutive edges on a phase signal
have sampled the same value on the other phase signal and there is an edge on the other sig-
nal. The 2 consecutive edges of 1 phase signal sampling the same value on other phase signal
is not sufficient to declare a direction change, for the reason that particulate contamination may
mask one or more reflective bar on the optical or magnetic disk of the sensor. (Refer to Figure
35-18 ”Rotation Change Detection” for waveforms.)
Figure 35-18. Rotatio n Ch an ge Dete ct ion
The direction change detection is disabled when QDTRANS is set to 1 in TC_BM R. In this cas e
the DIR flag report must not be used.
PHA
PHB
Direction Change under normal conditions
DIR
DIRCHG
change condition
Report Time
No direction change due to particulate contamination masking a reflective bar
PHA
PHB
DIR
DIRCHG spurious change condition (if detected in a simple way)
same phase
missing pulse
722 6500D–ATARM–29-Feb-12
SAM3S
722 6500D–ATARM–29-Feb-12
SAM3S
A quadrature error is also reported by the quadrature decoder logic. Rather than reporting an
error only when 2 edges occur at the same time on PHA and PHB, which is unlikely to occur in
real life, there is a report if the time difference between 2 edges on PHA, PHB is lower than a
predefined value. This predefined value is configurable and cor responds to (MAXFILT+1) *
tMCK ns. After being filtered there is no reason to have 2 edges closer than (MAXFILT+1) *
tMCK ns under normal mod e of operation. In the instance an anomaly occurs, a quadrature error
is reported on QERR flag on TC_QIS R register.
Figure 35-19. Quadrature Error Detection
MAXFILT must be tuned according to several factors such as the system clock frequency
(MCK), type of rotary sensor and rotation speed to be achieved.
35.6.14.4 Position and Rotation Measurement
When POSEN is set in TC_BMR register, position is processed on channel 0 (by means of the
PHA,PHB edge detections) and motor revolutions are accumulated in channel 1 timer/counter
and can be read through TC_CV0 a nd/or TC_CV1 register if the IDX signal is provided on
TIOB1 input.
Channel 0 and 1 must be configured in capture mode (WAVE = 0 in TC_CMR0).
MCK MAXFILT = 2
PHA
PHB
Abnormally formatted optical disk strips (theoretical view)
PHA
PHB
strip edge inaccurary due to disk etching/printing process
resulting PHA, PHB electrical waveforms
PHA
PHB
Even with an abnorrmaly formatted disk, there is no occurence of PHA, PHB switching at the same time.
QERR
duration < MAXFILT
723
6500D–ATARM–29-Feb-12
SAM3S
723
6500D–ATARM–29-Feb-12
SAM3S
In parallel, the number of edge s are accumulated on timer/coun ter channel 0 and can be read on
the TC_CV0 register.
Therefore, the accura te position can b e read on bot h TC_CV registers and concatenat ed to form
a 32-bit word.
The timer/counter channel 0 is cleared for each increment of IDX count value.
Depending on the q uadrat ure signa ls, the d irection is decoded a nd allows to count up or down in
timer/counter channels 0 and 1. The direction status is reported on TC_QISR register.
35.6.14.5 Speed Measurement
When SPEEDEN is set in TC_BMR register, the speed measure is enabled on channel 0.
A time base must be defined on channel 2 by writing the TC_RC2 period register. Channel 2
must be configured in waveform mode (WAVE bit field set) in TC_CMR2 register. WAVSEL bit
field must be defined with 0x10 to clear the counter by comparison and matching with TC_RC
value. ACPC field must be define d at 0x11 to toggle TIOA output.
This time base is automatically fed back to TIOA of channel 0 when QDEN and SPEEDEN are
set.
Channel 0 must be configured in capture mode (WAVE = 0 in TC_CMR0). ABETRG bit field of
TC_CMR0 must be configured at 1 to get TIOA as a trigger for this channel.
EDGTRG can be set to 0x01, to clear the co unt e r on a rising edge of the TIO A signal and LDRA
field must be set accor dingly to 0x01, to load TC_RA0 at the same time as the coun ter is cleared
(LDRB must be set to 0x01). As a consequence, at the end of each time base period the differ-
entiation required for the speed calculation is performed.
The process must be started by configuring the TC_CR register with CLKEN and SWTRG.
The speed can be read on TC_RA0 register in TC_CMR0.
Channel 1 can still be used to count the number of revolutions of the motor.
35.6.15 2-bit Gray Up/Down Counter for Stepper Motor
Each channel can be independently configured to generate a 2-bit gray count waveform on cor-
responding TIOA,TIOB outputs by means of GCEN bit in TC_SMMRx registers.
Up or Down count can be defi ned by writing bit DOWN in TC_SMMRx registers.
It is mandatory to configure the channel in WAVE mode in TC_CMR register.
The period of the counters can be programmed on TC_RCx registers.
724 6500D–ATARM–29-Feb-12
SAM3S
724 6500D–ATARM–29-Feb-12
SAM3S
Figure 35-20. 2-bit Gray Up/Down Counter.
35.6.16 Write Protection System
In order to bring security to the Timer Counter, a write p rotecti on system ha s been implemente d.
The write protection mode prevent the write of TC_BMR, TC_FMR, TC_CMRx, TC_SMMRx,
TC_RAx, TC_RBx, TC_RCx registers. When this mode is enab led and on e of th e pr ot ecte d re g-
isters write, the re gister write request canceled.
Due to the nature of the write protection feature, enabling and disabling the write protection
mode requires the use of a securi ty code. Thus when enabling or disabling the write protection
mode the WPKEY field of the TC_WPMR register must be filled with the “TIM” ASCII code (cor-
responding to 0x54494D) otherwise the register write will be canceled.
35.6.17 Fault Mode At anytime, the TC_RCx registers can be used to perform a comparison on the respective cur-
rent channel counter value (TC_CVx) with the value of TC_RCx register.
The CPCSx flags can be set accordingly and an interrupt can be generated.
This interrupt is processed but requires an unpredictable amount of time to be achieve the
required action.
It is possible to trigger the FAULT output of the TIMER1 with CPCS from TC_SR0 register
and/or CPCS from TC_SR1 register. Each source can be independently enabled/disabled by
means of TC_FMR register.
This can be useful to detect an overflow on speed and/or position when QDEC is processed and
to act immediately by using th e FAULT output.
Figure 35-21. Fault Outp ut Gen er a tio n
TIOAx
TIOBx
DOWNx
TC_RCx
WAVEx = GCENx =1
TC_SR0 flag CPCS
TC_FMR / ENCF0 FAULT (to PWM input)
OR
AND
AND
TC_SR1 flag CPCS
TC_FMR / ENCF1
725
6500D–ATARM–29-Feb-12
SAM3S
725
6500D–ATARM–29-Feb-12
SAM3S
35.7 Timer Counter (TC) User Interface
Notes: 1. Channel index ranges from 0 to 2.
2. Read-only if WAVE = 0
Table 35-5. Register Mapping
Offset(1) Register Name Access Reset
0x00 + channel * 0x40 + 0x00 Channel Control Register TC_CCR Write-only
0x00 + channel * 0x40 + 0x04 Channel Mode Register TC_CMR Read-write 0
0x00 + channel * 0x40 + 0x08 Stepper Motor Mode Register TC_SMMR Read-write 0
0x00 + channel * 0x40 + 0x0C Reserved
0x00 + channel * 0x40 + 0x10 Counter Value TC_CV Read-only 0
0x00 + channel * 0x40 + 0x14 Register A TC_RA Read-write(2) 0
0x00 + channel * 0x40 + 0x18 Register B TC_RB Read-write(2) 0
0x00 + channel * 0x40 + 0x1C Register C TC_RC Read-write 0
0x00 + channel * 0x40 + 0x20 Status Register TC_SR Re ad-only 0
0x00 + channel * 0x40 + 0x24 Interrupt Enable Register TC_IER Wr ite-only
0x00 + channel * 0x40 + 0x28 Interrupt Disa ble Register TC_IDR Wr ite-only
0x00 + channel * 0x40 + 0x2C Interrupt Mask Register TC_IMR Read-only 0
0xC0 Bloc k Control Register TC_BCR Write-only
0xC4 Bloc k Mode Register TC_BMR Read-write 0
0xC8 QDEC Interrupt Enable Register TC_ QIER Write-only
0xCC QDEC Interr upt Disable Register TC_QIDR Write-only
0xD0 QDEC Interrupt Mask Register TC_QIMR Read-only 0
0xD4 QDEC Interrupt Status Register TC_QISR Read-only 0
0xD8 Fa ult Mode Register TC_FMR Read-write 0
0xE4 Write Protect Mode Register TC_WPMR Read-write 0
0xFC Reserved
726 6500D–ATARM–29-Feb-12
SAM3S
726 6500D–ATARM–29-Feb-12
SAM3S
35.7.1 TC Block Control Register
Name: TC_BCR
Address: 0x400100C0 (0), 0x400140C0 (1)
Access: Write-only
SYNC: Synchro Command
0 = no effect.
1 = asserts the SYNC signal which generates a software trigger simultaneously for each of the channels.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––SYNC
727
6500D–ATARM–29-Feb-12
SAM3S
727
6500D–ATARM–29-Feb-12
SAM3S
35.7.2 TC Block Mode Register
Name: TC_BMR
Address: 0x400100C4 (0), 0x400140C4 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735.
TC0XC0S: External Clock Signal 0 Selection
TC1XC1S: External Clock Signal 1 Selection
TC2XC2S: External Clock Signal 2 Selection
QDEN: Quadrature Decoder ENabled
0 = disabled.
1 = enables the quadrature decoder logic (filter, edge detection and quadratu re decoding).
quadrature decoding (direction change) can be disabled using QDTRANS bit.
31 30 29 28 27 26 25 24
–––––– MAXFILT
23 22 21 20 19 18 17 16
MAXFILT FILTER IDXPHB SWAP
15 14 13 12 11 10 9 8
INVIDX INVB INVA EDGPHA QDTRANS SPEEDEN POSEN QDEN
76543210
TC2XC2S TC1XC1S TC0XC0S
Value Name Description
0 TCLK0 Signal connected to XC0: TCLK0
1– Reserved
2 TIOA1 Signal connected to XC0: TIOA1
3 TIOA2 Signal connected to XC0: TIOA2
Value Name Description
0 TCLK1 Signal connected to XC1: TCLK1
1– Reserved
2 TIOA0 Signal connected to XC1: TIOA0
3 TIOA2 Signal connected to XC1: TIOA2
Value Name Description
0 TCLK2 Signal connected to XC2: TCLK2
1– Reserved
2 TIOA1 Signal connected to XC2: TIOA1
3 TIOA2 Signal connected to XC2: TIOA2
728 6500D–ATARM–29-Feb-12
SAM3S
728 6500D–ATARM–29-Feb-12
SAM3S
One of the POSEN or SPEEDEN bits must be also enabled.
POSEN: POSition ENabled
0 = disable position.
1 = enables the position measure on channel 0 and 1
SPEEDEN: SPEED ENabled
0 = disabled.
1 = enables the speed measure on channel 0, the time base being provided by channel 2.
QDTRANS: Quadrature Decoding TRANSparent
0 = full quadrature decoding logic is active (direction change detected).
1 = quadrature decoding logic is inactive (direction change inactive) but input filtering and edge detection are performed.
EDGPHA: EDGe on PHA count mode
0 = edges are detected on both PHA and PHB.
1 = edges are detected on PHA only.
INVA: INVerted phA
0 = PHA (TIOA0) is directly driving quadrature decoder logic.
1 = PHA is inverted before driving quadrature decoder logic.
INVB: INVer ted phB
0 = PHB (TIOB0) is directly driving quadrature decoder logic.
1 = PHB is inverted before driving quadrature decoder logic.
SWAP: SWAP PHA and PHB
0 = no swap between PHA and PHB.
1 = swap PHA and PHB internally, prior to driving quadrature decoder logic.
INVIDX: INVerted InDeX
0 = IDX (TIOA1) is directly driving quadrature logic.
1 = IDX is inverted before driving quadrature logic.
IDXPHB: InDeX pin is PHB pin
0 = IDX pin of the rotary sensor must drive TIOA1.
1 = IDX pin of the rotary sensor must drive TIOB0.
•FILTER:
0 = IDX,PHA, PHB input pins are no t filtered.
1 = IDX,PHA, PHB input pins are filtered using MAXFILT value.
MAXFILT: MAXimum FILTer
1.. 63: defines the filtering capabilities
Pulses with a period shorter than MAXFILT+1 MCK clock cycles are discarded.
729
6500D–ATARM–29-Feb-12
SAM3S
729
6500D–ATARM–29-Feb-12
SAM3S
35.7.3 TC Channel Control Register
Name: TC_CCRx [x=0..2]
Address: 0x40010000 (0)[0], 0x40010040 (0)[1], 0x40010080 (0)[2], 0x40014000 (1)[0], 0x40014040 (1)[1],
0x40014080 (1)[2]
Access: Write-only
CLKEN: Counter Clock Enable Command
0 = no effect.
1 = enables the clock if CLKDIS is not 1.
CLKDIS: Counter Clock Disable Comm and
0 = no effect.
1 = disables the clock.
SWTRG: Software Trigger Command
0 = no effect.
1 = a software trigger is performed: the counter is reset and the clock is started.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––SWTRGCLKDISCLKEN
730 6500D–ATARM–29-Feb-12
SAM3S
730 6500D–ATARM–29-Feb-12
SAM3S
35.7.4 TC QDEC Interrupt Enable Register
Name: TC_QIER
Address: 0x400100C8 (0), 0x400140C8 (1)
Access: Write-only
•IDX: InDeX
0 = no effect.
1 = enables the interrupt when a rising edge occurs on IDX input.
DIRCHG: DIRection CHanGe
0 = no effect.
1 = enables the interrupt when a change on rotation direction is detected.
QERR: Quadrature ERRor
0 = no effect.
1 = enables the interrupt when a quadrature error occurs on PHA,PHB.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
QERR DIRCHG IDX
731
6500D–ATARM–29-Feb-12
SAM3S
731
6500D–ATARM–29-Feb-12
SAM3S
35.7.5 TC QDEC Interrupt Disable Register
Name: TC_QIDR
Address: 0x400100CC (0), 0x400140CC (1)
Access: Write-only
•IDX: InDeX
0 = no effect.
1 = disables the interrupt when a rising edge occurs on IDX input.
DIRCHG: DIRection CHanGe
0 = no effect.
1 = disables the interrupt when a change on rotation direction is detecte d.
QERR: Quadrature ERRor
0 = no effect.
1 = disables the interrupt when a quadrature error occurs on PHA, PHB.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
QERR DIRCHG IDX
732 6500D–ATARM–29-Feb-12
SAM3S
732 6500D–ATARM–29-Feb-12
SAM3S
35.7.6 TC QDEC Interrupt Mask Register
Name: TC_QIMR
Address: 0x400100D0 (0), 0x400140D0 (1)
Access: Read-only
•IDX: InDeX
0 = the interrupt on IDX input is disabled.
1 = the interrupt on IDX input is enabled.
DIRCHG: DIRection CHanGe
0 = the interrupt on rotation direction change is disabled.
1 = the interrupt on rotation direction change is enabled.
QERR: Quadrature ERRor
0 = the interrupt on quadrature error is disabled.
1 = the interrupt on quadrature error is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
QERR DIRCHG IDX
733
6500D–ATARM–29-Feb-12
SAM3S
733
6500D–ATARM–29-Feb-12
SAM3S
35.7.7 TC QDEC Interrupt Status Register
Name: TC_QISR
Address: 0x400100D4 (0), 0x400140D4 (1)
Access: Read-only
•IDX: InDeX
0 = no Index input change since the la st read of TC_QISR.
1 = the IDX input has change since the last read of TC_QISR.
DIRCHG: DIRection CHanGe
0 = no change on rotation direction since the last read of TC_QISR.
1 = the rotation direction changed since the last read of TC_QISR.
QERR: Quadrature ERRor
0 = no quadrature error since the last read of TC_QISR.
1 = A quadrature error occurred since the last read of TC_QISR.
DIR: Direction
Returns an image of the actual rotation direction.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––––DIR
76543210
QERR DIRCHG IDX
734 6500D–ATARM–29-Feb-12
SAM3S
734 6500D–ATARM–29-Feb-12
SAM3S
35.7.8 TC Fault Mode Register
Name: TC_FMR
Address: 0x400100D8 (0), 0x400140D8 (1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
ENCF0: ENable Compare Fault Channel 0
0 = disables the FAULT output source (CPCS flag) from channel 0.
1 = enables the FAULT output source (CPCS flag) from channel 0.
ENCF1: ENable Compare Fault Channel 1
0 = disables the FAULT output source (CPCS flag) from channel 1.
1 = enables the FAULT output source (CPCS flag) from channel 1.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––––ENCF1ENCF0
735
6500D–ATARM–29-Feb-12
SAM3S
735
6500D–ATARM–29-Feb-12
SAM3S
35.7.9 TC Write Protect Mode Register
Name: TC_WPMR
Address: 0x 40 0 100E4 (0), 0x4001 4 0E4 (1)
Access: Read-write
WPEN: Write Protect Enable
0 = disables the Write Protect if WPKEY corresponds to 0x54494D (“TIM” in ASCII).
1 = enables the Write Protect if WPKEY corresponds to 0x54494D (“TIM” in ASCII).
Protects the registers:
”TC Block Mode Register”
”TC Channel Mode Register: Capture Mode”
”TC Channel Mode Register: Waveform Mode”
”TC Fault Mode Register”
”TC Stepper Motor Mod e Register”
”TC Register A”
”TC Register B”
”TC Register C”
WPKEY: Write Protect KEY
This security code is needed to set/reset the WPROT bit value (see for details).
Must be filled with “TIM” ASCII code.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
736 6500D–ATARM–29-Feb-12
SAM3S
736 6500D–ATARM–29-Feb-12
SAM3S
35.7.10 TC Channel Mode Register: Capture Mode
Name: TC_CMRx [x=0..2] (WAVE = 0)
Address: 0x40010004 (0)[0], 0x40010044 (0)[1], 0x40010084 (0)[2], 0x40014004 (1)[0], 0x40014044 (1)[1],
0x40014084 (1)[2]
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
TCCLKS: Clock Selection
CLKI: Clock Invert
0 = counter is incremented on rising edge of the clock.
1 = counter is incremented on falling edge of the clock.
BURST: Burst Signal Selection
LDBSTOP: Counter Clock Stopped with RB Loading
0 = counter clock is not stopped when RB loading occurs.
1 = counter clock is stopped when RB loading occurs.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
LDRB LDRA
15 14 13 12 11 10 9 8
WAVE CPCTRG ABETRG ETRGEDG
76543210
LDBDIS LDBSTOP BURST CLKI TCCLKS
Value Name Description
0 TIMER_CLOCK1 Clock selected: TCLK1
1 TIMER_CLOCK2 Clock selected: TCLK2
2 TIMER_CLOCK3 Clock selected: TCLK3
3 TIMER_CLOCK4 Clock selected: TCLK4
4 TIMER_CLOCK5 Clock selected: TCLK5
5 XC0 Clock selected: XC0
6 XC1 Clock selected: XC1
7 XC2 Clock selected: XC2
Value Name Description
0 NONE The clock is not gated by an external signal.
1 XC0 XC0 is ANDed wi th the selected clock.
2 XC1 XC1 is ANDed wi th the selected clock.
3 XC2 XC2 is ANDed wi th the selected clock.
737
6500D–ATARM–29-Feb-12
SAM3S
737
6500D–ATARM–29-Feb-12
SAM3S
LDBDIS: Counter Clock Disable with RB Loading
0 = counter clock is not disabled when RB loading occurs.
1 = counter cloc k is disabled when RB loading occurs.
ETRGEDG: External Trigger Edge Selection
ABETRG: TIOA or TIOB External Trigger Selection
0 = TIOB is used as an external trigger.
1 = TIOA is used as an external trigger.
CPCTRG: RC Compare Trigger Enable
0 = RC Compare has no effect on th e counter and its clock.
1 = RC Compare resets the counter and starts the cou nter clock.
WAVE: Waveform Mode
0 = Capture Mode is enabled.
1 = Capture Mode is disa bled (Waveform Mode is enabled).
LDRA: RA Loading Edge Selection
LDRB: RB Loading Edge Selection
Value Name Description
0 NONE The clock is not gated by an external signal.
1 RISING Rising edge
2 FALLING Falling edge
3 EDGE Each ed ge
Value Name Description
0NONE None
1 RISING Rising edge of TIOA
2 FALLING Falling edge of TIOA
3 EDGE Each edge of TIOA
Value Name Description
0NONE None
1 RISING Rising edge of TIOA
2 FALLING Falling edge of TIOA
3 EDGE Each edge of TIOA
738 6500D–ATARM–29-Feb-12
SAM3S
738 6500D–ATARM–29-Feb-12
SAM3S
35.7.11 TC Channel Mode Register: Wavef orm Mode
Name: TC_CMRx [x=0..2] (WAVE = 1)
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
TCCLKS: Clock Selection
CLKI: Clock Invert
0 = counter is incremented on rising edge of the clock.
1 = counter is incremented on falling edge of the clock.
BURST: Burst Signal Selection
CPCSTOP: Counter Clock Stopped with RC Compare
0 = counter clock is not stopped when counter reaches RC.
1 = counter clock is stopped when counter reaches RC.
31 30 29 28 27 26 25 24
BSWTRG BEEVT BCPC BCPB
23 22 21 20 19 18 17 16
ASWTRG AEEVT ACPC ACPA
15 14 13 12 11 10 9 8
WAVE WAVSEL ENETRG EEVT EEVTEDG
76543210
CPCDIS CPCSTOP BURST CLKI TCCLKS
Value Name Description
0 TIMER_CLOCK1 Clock selected: TCLK1
1 TIMER_CLOCK2 Clock selected: TCLK2
2 TIMER_CLOCK3 Clock selected: TCLK3
3 TIMER_CLOCK4 Clock selected: TCLK4
4 TIMER_CLOCK5 Clock selected: TCLK5
5 XC0 Clock selected: XC0
6 XC1 Clock selected: XC1
7 XC2 Clock selected: XC2
Value Name Description
0 NONE The clock is not gated by an external signal.
1 XC0 XC0 is ANDed wi th the selected clock.
2 XC1 XC1 is ANDed wi th the selected clock.
3 XC2 XC2 is ANDed wi th the selected clock.
739
6500D–ATARM–29-Feb-12
SAM3S
739
6500D–ATARM–29-Feb-12
SAM3S
CPCDIS: Counter Clock Disable with RC Compare
0 = counter clock is not disabled when counter reaches RC.
1 = counter clock is disabled when counter reaches RC.
EEVTEDG: External Event Edge Selection
EEVT: External Event Selection
Signal selected as external event.
Note: 1. If TIOB is chosen as the external event signal, it is configured as an in put and no longer generates wav eforms and subse-
quently no IRQs.
ENETRG: External Ev ent Trigger Enable
0 = the external event has no effect on the counter and its clock. In this case, the selected external event only controls the
TIOA output.
1 = the external event resets the counter and starts the counter clock.
WAVSEL: Waveform Selection
WAVE: Waveform Mode
0 = Waveform Mode is disabled (Capture Mode is enabled).
1 = Waveform Mode is enabled.
Value Name Description
0NONE None
1 RISING Rising edge
2 FALLING Falling edge
3 EDGE Each ed ge
Va lue Name Des cription TIOB Direction
0 TIOB TIOB(1) input
1 XC0 XC0 output
2 XC1 XC1 output
3 XC2 XC2 output
Value Name Description
0 UP UP mode without automa tic trigg er on RC Compare
1 UPDOWN UPDOWN mode without automatic trigger on RC Compare
2 UP_RC UP mode with automatic trigger on RC Compare
3 UPDOWN_RC UPDOWN mode with automatic trigge r on RC Compare
740 6500D–ATARM–29-Feb-12
SAM3S
740 6500D–ATARM–29-Feb-12
SAM3S
ACPA: RA Compare Effect on TIOA
ACPC: RC Compare Effect on TIOA
AEEVT: External Event Effect on TIOA
ASWTRG: Software Trigger Effect on TIOA
BCPB: RB Compare Effect on TIOB
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
741
6500D–ATARM–29-Feb-12
SAM3S
741
6500D–ATARM–29-Feb-12
SAM3S
BCPC: RC Compare Effect on TIOB
BEEVT: External Event Effect on TIOB
BSWTRG: Software Trigger Effect on TIOB
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
Value Name Description
0NONE None
1 SET Set
2 CLEAR Clear
3 TOGGLE Toggle
742 6500D–ATARM–29-Feb-12
SAM3S
742 6500D–ATARM–29-Feb-12
SAM3S
35.7.12 TC Stepper Motor Mode Register
Name: TC_SMMRx [x=0..2]
Address: 0x40010008 (0)[0], 0x40010048 (0)[1], 0x40010088 (0)[2], 0x40014008 (1)[0], 0x40014048 (1)[1],
0x40014088 (1)[2]
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
GCEN: Gray Count Enable
0 = TIOAx [x=0..2] and TIOBx [x=0..2] are driven by internal counter of channel x.
1 = TIOAx [x=0..2] and TIOBx [x=0..2] are driven by a 2-bit gray counter.
DOW N: DOWN Count
0 = Up counter.
1 = Down counter.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–DOWNGCEN
743
6500D–ATARM–29-Feb-12
SAM3S
743
6500D–ATARM–29-Feb-12
SAM3S
35.7.13 TC Counter Value Register
Name: TC_CVx [x=0..2]
Address: 0x40010010 (0)[0], 0x40010050 (0)[1], 0x40010090 (0)[2], 0x40014010 (1)[0], 0x40014050 (1)[1],
0x40014090 (1)[2]
Access: Read-only
CV: Counter Value
CV contains the counter value in real time.
35.7.14 TC Register A
Name: TC_RAx [x=0..2]
Address: 0x40010014 (0)[0], 0x40010054 (0)[1], 0x40010094 (0)[2], 0x40014014 (1)[0], 0x40014054 (1)[1],
0x40014094 (1)[2]
Access: Read-only if WAVE = 0, Read-write if WAVE = 1
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
RA: Register A
RA contains the Register A value in real tim e.
31 30 29 28 27 26 25 24
CV
23 22 21 20 19 18 17 16
CV
15 14 13 12 11 10 9 8
CV
76543210
CV
31 30 29 28 27 26 25 24
RA
23 22 21 20 19 18 17 16
RA
15 14 13 12 11 10 9 8
RA
76543210
RA
744 6500D–ATARM–29-Feb-12
SAM3S
744 6500D–ATARM–29-Feb-12
SAM3S
35.7.15 TC Register B
Name: TC_RBx [x=0..2]
Address: 0x40010018 (0)[0], 0x40010058 (0)[1], 0x40010098 (0)[2], 0x40014018 (1)[0], 0x40014058 (1)[1],
0x40014098 (1)[2]
Access: Read-only if WAVE = 0, Read-write if WAVE = 1
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
RB: Register B
RB contains the Register B value in real tim e.
35.7.16 TC Register C
Name: TC_RCx [x=0..2]
Address: 0x4001001C (0)[0], 0x4001005C (0)[1], 0x4001009C (0)[2], 0x4001401C (1)[0], 0x4001405C (1)[1],
0x4001409C (1)[2]
Access: Read-write
This register can only be written if the WPEN bit is cleared in “TC Writ e Protect Mode Register” on page 735
RC: Register C
RC contains the Register C value in real time.
31 30 29 28 27 26 25 24
RB
23 22 21 20 19 18 17 16
RB
15 14 13 12 11 10 9 8
RB
76543210
RB
31 30 29 28 27 26 25 24
RC
23 22 21 20 19 18 17 16
RC
15 14 13 12 11 10 9 8
RC
76543210
RC
745
6500D–ATARM–29-Feb-12
SAM3S
745
6500D–ATARM–29-Feb-12
SAM3S
35.7.17 TC Status Register
Name: TC_SRx [x=0..2]
Address: 0x40010020 (0)[0], 0x40010060 (0)[1], 0x400100A0 (0)[2], 0x40014020 (1)[0], 0x40014060 (1)[1],
0x400140A0 (1)[2]
Access: Read-only
COVFS: Counter Overflow Status
0 = no counter overflow has occurred since the last read of the Status Register.
1 = a counter overflow has occurred since the last read of the Status Register.
LOVRS: Load Overrun Status
0 = Load overrun has not occurred since the last read of the Status Register or WAVE = 1.
1 = RA or RB have been loaded at least twice without any rea d of the corresponding register since th e last read of the Sta-
tus Register, if WAVE = 0.
CPAS: RA Compare Status
0 = RA Compare has not occurred since the last read of the Status Register or WAVE = 0.
1 = RA Compare has occurred since the last read of the Status Register, if WAVE = 1.
CPBS: RB Compare Status
0 = RB Compare has not occurred since the last read of the Status Register or WAVE = 0.
1 = RB Compare has occurred since the last read of the Status Register, if WAVE = 1.
CPCS: RC Compare Status
0 = RC Compare has not occurred since the last read of the Status Register.
1 = RC Compare has occurred since the last read of the Status Register.
LDRAS: RA Loading Status
0 = RA Load has not occurred since the last read of the Status Register or WAVE = 1.
1 = RA Load has occurred since the last read of the Status Register, if WAVE = 0.
LDRBS: RB Loading Status
0 = RB Load has not occurred since the last read of the Status Register or WAVE = 1.
1 = RB Load has occurred since the last read of the Status Register, if WAVE = 0.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––MTIOBMTIOACLKSTA
15 14 13 12 11 10 9 8
––––––––
76543210
ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS
746 6500D–ATARM–29-Feb-12
SAM3S
746 6500D–ATARM–29-Feb-12
SAM3S
ETRGS: External Trigger Status
0 = external trigger has not occurred since the last read of the St atus Register.
1 = external trigger has occurred since the last read of the Status Register.
CLKSTA: Clock Enabling Status
0 = clock is disabled.
1 = clock is enabled.
MTIOA: TIOA Mirror
0 = TIOA is low. If WAVE = 0, this means that TIOA pin is low. If WAVE = 1, this means that TIOA is driven low.
1 = TIOA is high. If WAVE = 0, this means that TIOA pin is high. If WAVE = 1, this means that TIOA is driven high.
MTIOB: TIOB Mirror
0 = TIOB is low. If WAVE = 0, this means that TIOB pin is low. If WAVE = 1, this means that TIOB is driven low.
1 = TIOB is high. If WAVE = 0, this means that TIOB pin is high. If WAVE = 1, this means that TIOB is driven high.
747
6500D–ATARM–29-Feb-12
SAM3S
747
6500D–ATARM–29-Feb-12
SAM3S
35.7.18 TC Interrupt Enable Register
Name: TC_IERx [x=0..2]
Address: 0x40010024 (0)[0], 0x40010064 (0)[1], 0x400100A4 (0)[2], 0x40014024 (1)[0], 0x40014064 (1)[1],
0x400140A4 (1)[2]
Access: Write-only
COVFS: Counter Overflow
0 = no effect.
1 = enables the Counter Overflow Interrupt.
LOVRS: Load Overrun
0 = no effect.
1 = enables the Load Overrun Interrupt.
CPAS: RA Compare
0 = no effect.
1 = enables the RA Compare Interrupt.
CPBS: RB Compare
0 = no effect.
1 = enables the RB Compare Interrupt.
CPCS: RC Compare
0 = no effect.
1 = enables the RC Compare Int errupt.
LDRAS: RA Loading
0 = no effect.
1 = enables the RA Load Interrupt.
LDRBS: RB Loading
0 = no effect.
1 = enables the RB Load Interrupt.
ETRGS: External Trigger
0 = no effect.
1 = enables the External Trigger Interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS
748 6500D–ATARM–29-Feb-12
SAM3S
748 6500D–ATARM–29-Feb-12
SAM3S
35.7.19 TC Interrupt Disable Register
Name: TC_IDRx [x=0..2]
Address: 0x40010028 (0)[0], 0x40010068 (0)[1], 0x400100A8 (0)[2], 0x40014028 (1)[0], 0x40014068 (1)[1],
0x400140A8 (1)[2]
Access: Write-only
COVFS: Counter Overflow
0 = no effect.
1 = disables the Counter Overflow Interrupt.
LOVRS: Load Overrun
0 = no effect.
1 = disables the Load Overrun Interrupt (if WAVE = 0).
CPAS: RA Compare
0 = no effect.
1 = disables the RA Compare Interrupt (if WAVE = 1).
CPBS: RB Compare
0 = no effect.
1 = disables the RB Compare Interrupt (if WAVE = 1).
CPCS: RC Compare
0 = no effect.
1 = disables the RC Compare Interrupt.
LDRAS: RA Loading
0 = no effect.
1 = disables the RA Load Interrupt (if WAVE = 0).
LDRBS: RB Loading
0 = no effect.
1 = disables the RB Load Interrupt (if WAVE = 0).
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS
749
6500D–ATARM–29-Feb-12
SAM3S
749
6500D–ATARM–29-Feb-12
SAM3S
ETRGS: External Trigger
0 = no effect.
1 = disables the External Trigger Interrupt.
750 6500D–ATARM–29-Feb-12
SAM3S
750 6500D–ATARM–29-Feb-12
SAM3S
35.7.20 TC Interrupt Mask Register
Name: TC_IMRx [x=0..2]
Address: 0x4001002C (0)[0], 0x4001006C (0)[1], 0x400100AC (0)[2], 0x4001402C (1)[0], 0x4001406C (1)[1],
0x400140AC (1)[2]
Access: Read-only
COVFS: Counter Overflow
0 = the Counter Overflow Interrupt is disabled.
1 = the Counter Overflow Interrupt is enabled.
LOVRS: Load Overrun
0 = the Load Overrun Interrupt is disabled.
1 = the Load Overrun Interrupt is enabled.
CPAS: RA Compare
0 = the RA Compare Interrupt is disabled.
1 = the RA Compare Interrupt is enabled.
CPBS: RB Compare
0 = the RB Compare Interrupt is disabled.
1 = the RB Compare Interrupt is enabled.
CPCS: RC Compare
0 = the RC Compare Interrupt is disabled.
1 = the RC Compare Interrupt is enabled.
LDRAS: RA Loading
0 = the Load RA Interrupt is disabled.
1 = the Load RA Interrupt is enabled.
LDRBS: RB Loading
0 = the Load RB Interrupt is disabled.
1 = the Load RB Interrupt is enabled.
ETRGS: External Trigger
0 = the External Trigger Interrupt is disabled.
1 = the External Trigger Interrupt is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
ETRGS LDRBS LDRAS CPCS CPBS CPAS LOVRS COVFS
751
6500D–ATARM–29-Feb-12
SAM3S
36. High Speed MultiMedia Card Interface (HSMCI)
36.1 Description The High Speed MultiMedia Card Interface (HSMCI) supports the MultiMedia Card (MMC)
Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 sp ecification and
CE-ATA V1.1.
The HSMCI includes a command register, re sponse registers, data registers, timeout counte rs
and error detection logic that automatically handle the transmission of commands and, when
required, the reception of the a ssociated respo nses and data with a limited processor ove rhead.
The HSMCI supports stream, block and multi block data read and write, and is compatible with
the Peripheral DMA Controller (PDC) Channels, minimizing process or in te rvention f or la rg e b uf -
fer transfers .
The HSMCI operates at a rate of up to Master Clock divided by 2 and supports the interfacing of
1 slot(s). Each slot may be used to interface with a High Speed MultiMediaCard bus (up to 30
Cards) or with an SD Memory Card. Only one slot can be selected at a time (slots are multi-
plexed). A bit field in the SD Card Register performs this selection.
The SD Memory Card communication is based on a 9-pin interface (clock, command, four data
and three power lines) and the High Speed MultiMedia Card on a 7-pin interface (clock, com-
mand, one data, three power lines and one reserved for future use).
The SD Memory Card interface also supports High Speed MultiMedia Card operations. The
main differences between SD and High Speed MultiMedia Cards are the initialization process
and the bus topology.
HSMCI fully supports CE-ATA Revision 1.1, built on the MMC System Specification v4.0. The
module includes dedicate d hardware to issue the command com pletion signal and capture the
host command completion signal disable.
36.2 Embedded Characteristics
4-bit or 1-bit Interface
Compatibility with MultiMedia Card Specification Version 4.3
Compatibility with SD and SDHC Memory Card Specification Version 2.0
Compatibility with SDIO Specification Version V2.0.
Compatibility with CE-ATA Specification 1.1
Cards cloc k rate up to Master Clock divided by 2
Boot Oper ation Mode support
High Speed mod e su pp ort
Embedded power management to slow down clock rate when not used
HSMCI has one slot supporting
One MultiMediaCard bus (up to 30 cards) or
One SD Memory Card
One SDIO Card
Support f or stream, block and multi-block data rea d and write
752 6500D–ATARM–29-Feb-12
SAM3S
36.3 Block Diagram
Figure 36-1. Block Diagram
HSMCI Interface
Interrupt Control
PIO
PDC
APB Bridge
PMC MCK
HSMCI Interrupt
MCCK(1)
MCCDA(1)
MCDA0(1)
MCDA1(1)
MCDA2(1)
MCDA3(1)
APB
753
6500D–ATARM–29-Feb-12
SAM3S
36.4 Application Block Diagram
Figure 36-2. Application Block Diagram
36.5 Pin Name List
Notes: 1. I: Input, O: Output, PP: Push/Pull, OD: Open Drain.
2. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK, MCCDA to HSMCIx_CDA,
MCDAy to HSMCIx_DAy.
2345617
MMC
23456178
SDCard
9
Physical Layer
HSMCI Interface
Application Layer
ex: File System, Audio, Security, etc.
9 1011 1213 8
Table 36-1. I/O Lines Description for 4-bit Configuration
Pin Name(2) Pin Description T y pe (1) Comments
MCCDA Command/response I/O/PP/OD CMD of an MMC or SDCard/SDIO
MCCK Clock I/O CLK of an MMC or SD Card/SDIO
MCDA0 - MCDA3 Data 0..3 of Slot A I/O/PP DAT[0..3] of an MMC
DAT[0..3] of an SD Card/SDIO
754 6500D–ATARM–29-Feb-12
SAM3S
36.6 Product Dependencies
36.6.1 I/O Lines The pins used for in terfacing t he High Sp eed MultiMedia Cards or SD Cards are multiplexed with
PIO lines. The programmer must first program the PIO controllers to assign the peripheral func-
tions to HSMCI pins.
36.6.2 Power Management
The HSMCI is clocked through the Power Management Controller (PMC), so the programmer
must first configure the PMC to enable the HSMCI clock.
36.6.3 Interrupt The HSMCI interface has an interrupt line connected to the Nested Vector Interrupt Controller
(NVIC).
Handling the HSMCI interrupt requires programming the NVIC before configuring the HSMCI.
36.7 Bus Topology
Figure 36-3. High Speed MultiMedia Memory Card Bus Topology
Table 36-2. I/ O Lin es
Instance Signal I/O Line Periph eral
HSMCI MCCDA PA28 C
HSMCI MCCK PA29 C
HSMCI MCDA0 PA30 C
HSMCI MCDA1 PA31 C
HSMCI MCDA2 PA26 C
HSMCI MCDA3 PA27 C
Table 36-3. Peripheral IDs
Instance ID
HSMCI 18
2345617
MMC
91011 12138
755
6500D–ATARM–29-Feb-12
SAM3S
The High Speed Mul tiMedia Card communica tion is based on a 13-pin serial bus interface. It has
three communication lines and four supply lines.
Notes: 1. I: Input, O: Output, PP: Push/Pull, OD: Open Drain.
2. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK,
MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy.
Figure 36-4. MMC Bus Connections (One Slot)
Note: When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK,
MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy.
Table 36-4. Bus Topology
Pin
Number Name Type(1) Description HSMCI Pin Name((2)
(Slot z)
1 DAT[3] I/O/PP Data MCDz3
2 CMD I/O/PP/OD Command/response MCCDz
3 VSS1 S Supply voltage ground VSS
4 VDD S Supply volta ge VDD
5 CLK I/O Clock MCCK
6 VSS2 S Supply voltage ground VSS
7 D AT[0] I/O/PP Data 0 MCDz0
8 D AT[1] I/O/PP Data 1 MCDz1
9 D AT[2] I/O/PP Data 2 MCDz2
10 DAT[4] I/O/PP Data 4 MCDz4
11 DAT[5] I/O/PP Data 5 MCDz5
12 DAT[6] I/O/PP Data 6 MCDz6
13 DAT[7] I/O/PP Data 7 MCDz7
MCCDA
MCDA0
MCCK
HSMCI
2345617
MMC1
9 1011 1213 8
2345617
MMC2
9 1011 1213 8
2345617
MMC3
9 1011 1213 8
756 6500D–ATARM–29-Feb-12
SAM3S
Figure 36-5. SD Memory Card Bus Topology
The SD Memory Card bus inclu des the signals listed in Table 36-5.
Notes: 1. I: input, O: output, PP: Push Pull, OD: Open Drain.
2. When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK,
MCCDA to HSMCIx_CDA, MCDAy to HSMCIx_DAy.
Figure 36-6. SD Card Bus Connections with One Slot
Note: When several HSMCI (x HSMCI) are embedded in a product, MCCK refers to HSMCIx_CK,
MCCDA to HSMCIx_CDA MCDAy to HSMCIx_DAy.
When the HSMCI is config ured to oper ate with SD memo ry card s, the width o f the da ta bus ca n
be selected in the HSMCI_SDCR register. Clearing the SDCBUS bit in this register means that
the width is one bit; setting it means that the width is four bits. In the case of High Speed Multi-
Media cards, only the data line 0 is used. The other data lines can be used as independent
PIOs.
Table 36-5. SD Memory Card Bus Signals
Pin Number Name Type(1) Description HSMCI Pin Name(2)
(Slot z)
1 CD/DAT[3] I/O/PP Card detect/ Data line Bit 3 MCDz3
2 CMD PP Command/response MCCDz
3 VSS1 S Supply voltage ground VSS
4 VDD S Supply voltage VDD
5 CLK I/O Clock MCCK
6 VSS2 S Supply voltage ground VSS
7 DAT[0] I/O/PP Data line Bit 0 MCDz0
8 DAT[1] I/O/PP Data line Bit 1 or Interrupt MCDz1
9 DAT[2] I/O/PP Data line Bit 2 MCDz2
23456178
SD CARD
9
2345617
MCDA0 - MCDA3
MCCDA
MCCK
8
SD CARD
9
757
6500D–ATARM–29-Feb-12
SAM3S
36.8 High Speed MultiMedia Card Operations
After a power-on reset, the cards are initialized by a special message-based High Speed Multi-
Media Card bus protocol. Each message is represented by one of the following tokens:
Command: A comman d is a to ken that starts an operation. A command is se nt f ro m the h ost
either to a single card (addressed command) or to all connected cards (broadcast
command). A command is transferred serially on the CMD line.
Response: A response is a token which is sent from an addressed card or (synchronously)
from all connected cards to the host as an answer to a previously received command. A
response is transferred serially on the CMD line.
Data: Data can be transferred from the card to the host or vice versa. Data is transferred via
the data line.
Card addressing is implemented using a session address assigned during the initialization
phase by the bus controller to all currently connected cards. Their unique CID number identifies
individual cards.
The structure of commands, response s and data blocks is describe d in the High Speed MultiMe-
dia-Card System Specification. See also Table 36-6 on page 758.
High Speed MultiMediaCard bus data transfers are composed of these tokens.
There are different types of operations. Addressed operations always contain a command and a
response token. In addition, some operations ha ve a data token; the o thers transfer their infor-
mation direc tly within the co mm and or r espons e s truct ure. In this c ase, no d ata t oken is pres ent
in an operatio n. The bits o n the DAT and the CMD lines ar e transferre d synchronous to the clock
HSMCI Clock.
Two types of data transfer commands are defined:
Sequential co mmands: These commands initiate a continuous data stream. They are
terminated only when a stop command follows on the CMD line. This mode reduces the
command overhead to an absolute minimum.
Block-oriented commands: These commands send a data block succeeded by CRC bits.
Both read and write operations allow either single or multiple block transmission. A multiple
block transmission is terminated when a stop command follows on the CMD line similarly to the
sequential read or when a multiple block transmission has a pre-defined block count (See “Data
Transfer Operation” on page 760.).
The HSMCI provides a set of registers to perform the entire range of High Speed MultiMedia
Card operations.
36.8.1 Command - Response Operation
After reset, the HSMCI is disabled and becomes valid after setting the MCIEN bit in the
HSMCI_CR Control Register.
The PWSEN bit saves power by dividing the HSMCI clock by 2PWSDIV + 1 when the bus is
inactive.
The two bits, RDPROOF and WRPROOF in the HSMCI Mode Register (HSMCI_MR) allow
stopping th e HSMCI Clock du ring read or writ e access if the internal FIFO is full. This will guar-
antee data integrity, not bandwidth.
All the timings for High Speed MultiMedia Card are defined in the High Speed MultiMed iaCard
System Specification.
758 6500D–ATARM–29-Feb-12
SAM3S
The two bus modes (open drain and push/pull) needed to process all the operations are defined
in the HSMCI command register. The HSMCI_CMDR allows a command to be carried out.
For example, to perform an ALL_SEND_CID command:
The command ALL_SEND_CID and the fields and values for the HSMC I_CMDR Control Regis-
ter are describ ed in Table 36-6 and Table 36-7 .
Note: bcr means broadcast command with response.
The HSMCI_ ARG R c onta i ns the ar gu m en t fie ld of th e co mm a nd .
To send a command, the user must perform the following steps:
Fill the argument register (HSMCI_ARGR) with the command argument.
Set the command register (HSMCI_CMDR) (see Ta ble 36-7).
The command is sent immediately after writing the command register.
While the card maintains a busy indication (at the end of a STOP_TRANSMISSION command
CMD12, for example), a new co mmand shall not be sent. The NOTBUSY flag in the status regis-
ter (HSMCI_SR) is asserted when the card releases the busy indication.
If the command requires a response, it can be read in the HSMCI response register
(HSMCI_RSPR). The response size can be from 48 bits up to 136 bits depe nding on the com-
mand. The HSMCI embeds an er ror detection to prevent any corrupted data during the transfer .
Host Command NID Cycles CID
CMD S T Content CRC E Z ****** Z S T Content Z Z Z
Table 36-6. ALL_SEND _CID Command Description
CMD Index Type Argument Resp Abbreviation Command
Description
CMD2 bcr [31:0] stuff bits R2 ALL_SEND_CID Asks all cards to send
their CID numbers on
the CMD line
Table 36-7. Fields and Values for HSMCI_CMDR Command Register
Field Value
CMDNB (command number) 2 (CMD2)
RSPTYP (response type) 2 (R2: 136 bits response)
SPCMD (special command) 0 (not a special command)
OPCMD (open drain command) 1
MAXLAT (max latency for command to response) 0 (NID cycles ==> 5 cycles)
TRCMD (transfer command) 0 (No transfer)
TRDIR (transfer direction) X (available only in transfer command)
TRTYP (transfer type) X (available only in transfer command)
IOSPCMD (SDIO special command) 0 (not a special command)
759
6500D–ATARM–29-Feb-12
SAM3S
The following flowchart shows how to send a command to the card and read the response if
needed. In this example, the status register bits are polled but setting the appropriate bits in the
interrupt enable register (HSMCI_IER) allows using an interrupt method.
Figure 36-7. Command/Response Functional Flow Diagram
Note: 1. If the command is SEND_OP_COND, the CRC error flag is alwa ys present (ref er to R3 response in the High Speed MultiMe-
dia Card specification).
RETURN OK
RETURN ERROR(1)
RETURN OK
Set the command argument
HSMCI_ARGR = Argument(1)
Set the command
HSMCI_CMDR = Command
Read HSMCI_SR
CMDRDY
Status error flags?
Read response if required
Yes
Wait for command
ready status flag
Check error bits in the
status register (1)
0
1
Does the command involve
a busy indication? No
Read HSMCI_SR
0
NOTBUSY
1
760 6500D–ATARM–29-Feb-12
SAM3S
36.8.2 Data Transfer Operation
The High Speed MultiMedia Card allows several read/write operations (single block, multiple
blocks, stream, etc. ). These kinds of transfer can be selected set ting the Transfer Type (TRTYP)
field in the HSMCI Command Register (HSMCI_CMDR).
These operations can be done using the features of the Peripheral DMA Controller (PDC). If the
PDCMODE bit is set in HSMCI_MR, then all reads and writes use the PDC facilities.
In all cases, the block length (BLKLEN field) must be defined either in the mode register
HSMCI_MR, or in the Block Register HSMCI_BLKR. This field determines the size of the data
block.
Consequent to MMC Specification 3.1, two types of multiple block read (or write) transactions
are defined (the host can use either one at any time):
Open-ended/Infinite Multiple block read (or write):
The number of blocks f or the r ead (o r write) mult iple block op eration is not def ined. The card
will continuously transfer (or program) data blocks until a stop transmission command is
received.
Multiple block read (or write) with pre-defined block count (since version 3.1 and higher):
The card will transfer (or program) the requested number of data blocks and terminate the
transaction. The stop command is not required at the end of this type of multiple block read
(or write), unless terminated with an error. In order to start a multiple block read (or write)
with pre-defined block count, the host must correctly program the HSMCI Block Register
(HSMCI_BLKR). Otherwise the card will start an open-ended multiple block read. The BCNT
field of the Block Register defines the number of blocks to transfer (from 1 to 65535 blocks).
Programming the value 0 in t he BCNT field corresponds to an infinite block tra nsfer.
36.8.3 Read Ope ratio n
The following flowchart shows how to read a single block with or without use of PDC facilities. In
this example (see Fi gure 36-8) , a polling method is used to wait for the en d of read. Similarl y, the
user can configure the in terr upt enab le regist er (HSM CI_IER) t o trigge r an inter rupt at t he end of
read.
761
6500D–ATARM–29-Feb-12
SAM3S
Figure 36-8. Read Functional Flow Diagram
Note: 1. It is assumed that this command has been correctly sent (see Figure 36-7 ).
Read status register HSMCI_SR
Send SELECT/DESELECT_CARD
command(1) to select the card
Send SET_BLOCKLEN command(1)
Read with PDC
Reset the PDCMODE bit
HSMCI_MR &= ~PDCMODE
Set the block length (in bytes)
HSMCI_MR |= (BlockLenght <<16)
Number of words to read = 0 ?
Poll the bit
RXRDY = 0?
Read data = HSMCI_RDR
Number of words to read =
Number of words to read -1
Send READ_SINGLE_BLOCK
command(1)
Yes
Set the PDCMODE bit
HSMCI_MR |= PDCMODE
Set the block length (in bytes)
HSMCI_MR |= (BlockLength << 16)
Configure the PDC channel
HSMCI_RPR = Data Buffer Address
HSMCI_RCR = BlockLength/4
HSMCI_PTCR = RXTEN
Send READ_SINGLE_BLOCK
command(1)
Read status register HSMCI_SR
Poll the bit
ENDRX = 0? Yes
RETURN
RETURN
YesNo
No
No
Yes
No
Number of words to read = BlockLength/4
762 6500D–ATARM–29-Feb-12
SAM3S
36.8.4 Write Ope rat ion
In write operation, the HSMCI Mode Register (HSMCI_MR) is used to define the padding value
when writing non-multiple block size. If the bit PDCPADV is 0, then 0x00 value is used when
padding data, oth erwise 0xFF is used.
If set, the bit PDCMODE enables PDC transfer.
The following flowchart ( Figure 36-9) shows how to write a single block with or without use of
PDC facilities. Polling or interrupt method can be used to wait for the end of write according to
the contents of the Interrupt Mask Register (HSMCI_IMR).
763
6500D–ATARM–29-Feb-12
SAM3S
Figure 36-9. Write Functional Flow Diagram
Note: 1. It is assumed that this command has been correctly sent (see Figure 36-7 ).
Send SELECT/DESELECT_CARD
command(1) to select the card
Send SET_BLOCKLEN command(1)
Write using PDC
Reset the PDCMODE bit
HSMCI_MR &= ~PDCMODE
Set the block length
HSMCI_MR |= (BlockLenght <<16)
Send WRITE_SINGLE_BLOCK
command(1)
Set the PDCMODE bit
HSMCI_MR |= PDCMODE
Set the block length
HSMCI_MR |= (BlockLength << 16)
Configure the PDC channel
HSMCI_TPR = Data Buffer Address
HSMCI_TCR = BlockLength/4
Send WRITE_SINGLE_BLOCK
command(1)
Read status register HSMCI_SR
Poll the bit
NOTBUSY= 0? Yes
RETURN
No Yes
No
Read status register HSMCI_SR
Number of words to write = 0 ?
Poll the bit
TXRDY = 0?
HSMCI_TDR = Data to write
Number of words to write =
Number of words to write -1
Yes
RETURN
No
Yes
No
Number of words to write = BlockLength/4
HSMCI_PTCR = TXTEN
764 6500D–ATARM–29-Feb-12
SAM3S
The following flowchart (F i gu re 36-10) show s ho w to ma na g e a mu ltiple write block transfer with
the PDC. Polling or interrupt method can be used to wait for the end of write according to the
contents of the Interrupt Mask Register (HSMCI_IMR).
Figure 36-10. Multiple Write Functional Flow Diagram
Note: 1. It is assumed that this command has been correctly sent (see Figure 36-7).
Send SELECT/DESELECT_CARD
command(1) to select the card
Send SET_BLOCKLEN command(1)
Set the PDCMODE bit
HSMCI_MR |= PDCMODE
Set the block length
HSMCI_MR |= (BlockLength << 16)
Configure the PDC channel
HSMCI_TPR = Data Buffer Address
HSMCI_TCR = BlockLength/4
Send WRITE_MULTIPLE_BLOCK
command(1)
Read status register HSMCI_SR
Poll the bit
BLKE = 0? Yes
No
HSMCI_PTCR = TXTEN
Poll the bit
NOTBUSY = 0? Yes
RETURN
No
Send STOP_TRANSMISSION
command(1)
765
6500D–ATARM–29-Feb-12
SAM3S
36.9 SD/SDIO Card Operation
The High Speed MultiMedia Card Interface allows processing of SD Memory (Secure Digital
Memory Card) and SDIO (SD Input Output) Card commands.
SD/SDIO cards are based on the Multi Media Card (MM C) format, but are physically slightly
thicker and feature higher data transfer rates, a lock switch on the side to prevent accidental
overwriting and security features. The physical form factor, pin assignment and data transfer
protocol are forward-compatible with the High Speed MultiMedia Card with some additions. SD
slots can actually be used for m ore than flash memory cards. Devices that support SDIO can
use small devices designed for th e SD form factor, such as GPS receivers, Wi-Fi or Bluetooth
adapters, modems, b arcode readers, I rDA adapters, FM radio t uners, RFID reader s, digital cam-
eras and more.
SD/SDIO is covered by numerous patents and trademarks, and licensing is only available
through the Secure Digital Card Association.
The SD/SDIO Card communication is based on a 9-pin interface (Clock, Command, 4 x Data
and 3 x Power lines). The communi cation protocol is de fined as a part of this specification. The
main difference between the SD/SDIO Card and the High Speed MultiMedia Card is the initial-
ization proces s.
The SD/SDIO Card Register (HSMCI _SDCR) allows selection of the Card Slot and the data bus
width.
The SD/SDIO Card bus allows dynamic configuration of the number of data lines. After power
up, by default, the SD/SDIO Card uses only DAT0 for data transfer. After initialization, the host
can change the bus width (number of active data lines).
36.9.1 SDIO Data Transfer Type
SDIO cards may transfer data in either a multi-byte (1 to 512 bytes) or an optional block format
(1 to 511 blocks), while the SD memory cards are fixed in the block transfer mode. The TRTYP
field in the HSMCI Command Register (HSMCI_CMDR) allows to choose between SDIO Byte or
SDIO Block transfer.
The number of bytes/blocks to transfer is set through the BCNT field in the HSMCI Block Regis-
ter (HSMCI_BLKR). In SDIO Block mode, the field BLKLEN m ust be set to the data block size
while this field is not used in SDIO Byte mode.
An SDIO Card can have multiple I/O or co mbined I/O and memory (called Combo Card). Within
a multi-function SDIO or a Combo card, there are multiple devices (I/O and memory) that share
access to the SD bus. In ord er to allow th e sharing of access to the h ost among multiple devices,
SDIO and combo cards c an implement the optional co ncept of suspend/resume (Refe r to the
SDIO Specification for more details). To send a suspend or a resume command, the host must
set the SDIO Special Command field (IOSPCMD) in the HSMCI Command Register.
36.9.2 SDIO Inte rrupts
Each function within an SDIO or Combo card may im plement interrupts (Refer to the SDIO
Specification for more details). In order to allow the SDIO card to in terrupt th e host, an in terrupt
function is added to a pin on the DAT[1] line to signal the card’s inte rrupt to the host. An SDIO
interrupt on each slot can be enabled through the HSMCI Interrupt Enable Register. The SDIO
interrupt is sample d re ga r dle ss of the cu rr en tly se lec ted sl ot .
766 6500D–ATARM–29-Feb-12
SAM3S
36.10 CE-ATA Operation
CE-ATA maps the streamlined ATA command set onto the MMC interface. The ATA task file is
mapped onto MMC register space.
CE-ATA utilizes five MMC commands:
GO_IDLE_STATE (CMD0): used for hard reset.
STOP_TRANSMISSION (CMD12): causes the ATA command currently executing to be
aborted.
FAST_IO (CMD39): Used fo r sing le re gister a ccess to th e ATA taskfile registers , 8 bit acce ss
only.
RW_MULTIPLE_REGISTERS (CMD60): used to issue an ATA command or to access the
control/status r egisters.
RW_MULTIPLE_BLOCK (CMD61): used to transfer data for an ATA command.
CE-ATA utilizes the same MMC command sequences for initialization as traditional MMC
devices.
36.10.1 Executing an ATA Polling Command
1. Issue READ_DMA_EXT with RW_MULTIPLE_REGISTER (CMD60) for 8kB of DATA.
2. Read the ATA status register until DRQ is set.
3. Issue RW_MULTIPLE_BLOCK (CMD61) to transfer DATA.
4. Read the ATA status register until DRQ && BSY are set to 0.
36.10.2 Executing an ATA Interrupt Command
1. Issue READ_DMA_EXT with RW_MULTIPLE_REGISTER (CMD60) for 8kB of DATA
with nIEN field set to zero to enable the command completion signal in the device.
2. Issue RW_MULTIPLE_BLOCK (CMD61) to transfer DATA.
3. Wait f or Completion Signal Received Interrupt.
36.10.3 Aborting an ATA Command
If the host needs to abort an ATA command prior to the completion signal it must send a special
command to avoid potential collision on the command line. The SPCMD field of the
HSMCI_CMDR must be set to 3 to issue the CE-ATA completion Signal Disable Command.
36.10.4 CE-ATA Error Recov ery
Several methods of ATA command failure may occur, including:
No response to an MMC command, such as RW_MULTIPLE_REGISTER (CMD60).
CRC is invalid for an MMC command or response.
CRC16 is invalid for an MMC data packet.
ATA Status register reflects an error by setting the ERR bit to one.
The command completion signal does not arrive within a host specified time out period.
Error conditions are expected to happen infrequently. Thus, a robust error recovery mechanism
may be used for each error event. The recommended error recovery procedure after a timeout
is:
Issue the command completion signal disable if nIEN was cleared to zero and the
RW_MULTIPLE_BLOCK (CMD61) response has been received.
767
6500D–ATARM–29-Feb-12
SAM3S
Issue STOP_TRANSMISSION (CMD12) and successfully receive the R1 response.
Issue a software reset to the CE-ATA device using FAST_IO (CMD39).
If STOP_TRANMISSION (CMD12) is successful, then the device is again ready for ATA com-
mands. However, if the error recovery procedure does not work as expected or there is another
timeout, the next step is to issu e GO_IDLE_STATE (CMD0) to the device. GO_ IDLE_STATE
(CMD0) is a hard reset to the device and completely resets all device states.
Note that after issu ing GO_IDLE_STATE ( CMD0), a ll device initialization need s t o be com pleted
again. If the CE-ATA device completes all MMC commands correctly but fails the ATA command
with the ERR bit set in the ATA Status register, no error recovery action is required. The ATA
command itself failed implying that the device could not complete the action requested, how-
ever, there was no co mmunicat ion or protocol fa ilure. After the device signals an error by setting
the ERR bit to one in the ATA Statu s register, the host ma y attempt to retry the command.
36.11 HSMCI Boot Operation Mode
In boot oper ation mod e, the pro cessor can rea d boot da ta from t he slave (MMC d evice) by keep-
ing the CMD line low after power-on before issuing CMD1. The data can be read from either the
boot area or use r area, dep ending on reg ist er se tting . As it i s no t po ssible t o bo ot d irect ly on SD-
CARD, a preliminary boot code must be stored in internal Flash.
36.11.1 Boot Procedure, Processor Mode
1. Configure the HSMCI data bus width programming SDCBUS Field in the
HSMCI_SDCR register. The BOOT_BUS_WIDTH field located in the device Extended
CSD register must be set accordingly.
2. Set the byte count to 512 bytes and the block count to the desired number of blocks,
writing BLKLEN and BCNT fields of the HSMCI_BLKR Register.
3. Issue the Boot Operation Request command by writing to the HSMCI_CMDR register
with SPCMD field set to BOO TREQ, TRDIR set to READ and TRCMD set to “start data
transfer”.
4. The BOOT_ACK field located in the HSMCI_CMDR register must be set to one, if the
BOO T_ACK field of the MMC device located in the Ext ended CSD register is set to one.
5. Host processor can copy boot data se quentially as soon as the RXRDY flag is
asserted.
6. When Data transfer is completed, host processor shall terminate the boot stream by
writing the HSMCI_CMDR register with SPCMD field set to BOOTEND.
768 6500D–ATARM–29-Feb-12
SAM3S
36.12 HSMCI Transfer Done Timings
36.12.1 Definition The XFRDONE flag in the HSMCI_SR indicates exactly when the read or write sequence is
finished.
36.12.2 Read Access During a read access, the XFRDONE flag behaves as shown in Figure 36-11.
Figure 36-11. XFRDONE During a Read Access
36.12.3 Write Access During a write access, the XFRDONE flag behaves as shown in Figure 36-12.
Figure 36-12. XFRDONE During a Write Access
CMD line
HSMCI read CMD
Card response
CMDRDY flag
Data
1st Block Last Block
Not busy flag
XFRDONE flag
The CMDRDY flag is released 8 tbit after the end of the card response.
CMD line
Card response
CMDRDY flag
Data bus - D0
1st Block
Not busy flag
XFRDONE flag
The CMDRDY flag is released 8 tbit after the end of the card response.
Last Block
D0
1st Block Last Block
D0 is tied by the card
D0 is released
HSMCI write CMD
769
6500D–ATARM–29-Feb-12
SAM3S
36.13 Write Protection Registers
To prevent any single software error that may corrupt HSMCI behavior, the entire HSMCI
address space from address o ffset 0x000 to 0x00FC can be write-protected b y setting the
WPEN bit in the “HSMCI Write Protect Mode Register” (HS MC I_ WPM R).
If a write access to anywhere in the HSMCI address space from address offset 0x000 to 0x00FC
is detected, then the WPVS flag in the HSMCI Write Protect Status Register (HSMCI_W PSR) is
set and the field WPVSRC indicates in which register the write access has been attempted.
The WPVS flag is reset by writing the HSMCI Write Protect Mode Register (HSMCI_WPMR)
with the appropriate access key, WPKEY.
The protected registers are:
“HSMCI Mode Register” on page 772
“HSMCI Data Tim e ou t Re gist er” on pag e 774
“HSMCI SDCard/SDIO Register” on page 775
“HSMCI Completion Signal Timeout Register” on page 781
“” on page 794
“HSMCI Configuration Register” on page 795
770 6500D–ATARM–29-Feb-12
SAM3S
36.14 High Speed MultiMedia Card Interface (HSMCI) User Interface
Note: 1. The response register can be read by N accesses at the same HSMCI_RSPR or at consecutive addresses (0x20 to 0x2C).
N depends on the size of the response.
Table 36-8. Register Mapping
Offset Register Name Access Reset
0x00 Control Register HSMCI_CR Write
0x04 Mode Reg ister HSMCI_MR Read -write 0x0
0x08 Data Timeout Register HSMCI_DTOR Read-write 0x0
0x0C SD/SDIO Card Register HSMCI_SDCR Read-write 0x0
0x10 Argument Regi ster HSMCI_ARGR Read-write 0x0
0x14 Command Register HSMCI_CMDR Write
0x18 Block Register HSMCI_BLKR Read-write 0x0
0x1C Completion Signal Timeout Register HSMCI_CSTO R Read-write 0x0
0x20 Response Regi ster(1) HSMCI_RSPR Read 0x0
0x24 Response Regi ster(1) HSMCI_RSPR Read 0x0
0x28 Response Regi ster(1) HSMCI_RSPR Read 0x0
0x2C Response Register(1) HSMCI_RSPR Read 0x0
0x30 Receive Data Register HSMCI_RDR Read 0x0
0x34 Transmit Data Register HSMCI_TDR Write
0x38 - 0x3C Reserved
0x40 Status Register HSMCI_SR Read 0xC0E5
0x44 Interrupt Enable Register HSMCI_IER Write
0x48 Interrupt Disable Register HSMCI_IDR Write
0x4C Interrupt Mask Register HSMCI_IMR Read 0x0
0x50 Reserved
0x54 Configuration Register HSMCI_CFG Read-write 0x00
0x58-0xE0 Reserved
0xE4 Write Protection Mode Register HSMCI_WPMR Read-write
0xE8 Write Protection Status Register HSMCI_WPSR Read-only
0xEC - 0xFC Reserved
0x100-0x1FC Reserved
0x200 FIFO Memory Aperture0 HSMCI_FIFO0 Read-write 0x0
... ... ... ... ...
0x5FC FIFO Memory Aperture255 HSMCI_FIFO255 Read-write 0x0
771
6500D–ATARM–29-Feb-12
SAM3S
36.14.1 HSMCI Control Register
Name: HSMCI_CR
Address: 0x40000000
Access: Write-only
MCIEN: Multi-Media Interface Enable
0 = No effect.
1 = Enables the Multi-Media Interface if MCDIS is 0.
MCIDIS: Mult i-Media Interface Disable
0 = No effect.
1 = Disables the Multi-Med ia Interface.
PWSEN: Power Save Mode Enable
0 = No effect.
1 = Enables the Power Saving Mode if PWSDIS is 0.
Warning: Before enabling this mode, the user must set a value different from 0 in the PWSDIV field (Mode Register,
HSMCI_MR).
PWSDIS: Power Save Mode Disable
0 = No effect.
1 = Disables the Power Saving Mode.
SWRST: Software Reset
0 = No effect.
1 = Resets the HSMCI. A software triggered hardwar e rese t of th e HSM CI interface is perform e d.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
SWRST PWSDIS PWSEN MCIDIS MCIEN
772 6500D–ATARM–29-Feb-12
SAM3S
36.14.2 HSMCI Mode Register
Name: HSMCI_MR
Address: 0x40000004
Access: Read-write
This register can only be written if the WPEN bit is cleared in “HSMCI Write Protect Mode Register” on page 796.
CLKDIV: Clock Divider
High Speed MultiMedia Card Interface clock (MCCK or HSMCI_CK) is Master Clock (MCK) divided by (2*(CLKDIV +1)).
PWSDIV: Power Saving Divider
High Speed MultiMedia Card In terface clock is divided by 2(PWSDIV) + 1 when entering Power Saving Mode.
Warning: This value must be different from 0 before enabling the Power Save Mode in the HSMCI_CR (HSMCI_PWSEN
bit).
RDPROOF Read Proof Enable
Enabling Read Proof allows to stop the HSMCI Clock during read access if the internal FIFO is full. This will guarantee data
integrity, not bandwidth.
0 = Disables Read Proof.
1 = Enables Read Proof.
WRPROOF Write Proof Enable
Enabling Write Proof allows to stop the HSMCI Clock during write access if the internal FIFO is full. This will guarantee data
integrity, not bandwidth.
0 = Disables Write Proof.
1 = Enables Write Proof.
FBYTE: Force Byte Transfer
Enabling Force Byte Tr ansfer allow byte transfers, so tha t transfer of blocks with a size differe nt from modulo 4 can be
supported.
Warning: BLKLEN value depends on FBYTE.
0 = Disables Force Byte Transfer.
1 = Enables Force Byte Transfer.
31 30 29 28 27 26 25 24
BLKLEN
23 22 21 20 19 18 17 16
BLKLEN
15 14 13 12 11 10 9 8
PDCMODE PADV FBYTE WRPROOF RDPROOF PWSDIV
76543210
CLKDIV
773
6500D–ATARM–29-Feb-12
SAM3S
PADV: Padding Value
0 = 0x00 value is used when padding data in write transfer.
1 = 0xFF value is used when padding data in write transfer.
PADV may be only in manual transfer.
PDCMODE: PDC-oriented Mode
0 = Disables PDC transfer
1 = Enables PDC transfer. In this case, UNRE and OVRE flags in the MCI Mode Register (MCI_SR) are deactivated after
the PDC transfer has be en com p let ed .
BLKLEN: Data Block Length
This field determines the size of the data block.
This field is also accessible in the HSMCI Block Register (HSMCI_BLKR).
Bits 16 and 17 must be set to 0 if FBYTE is disabled.
Note: In SDIO Byte mode, BLKLEN field is not used.
774 6500D–ATARM–29-Feb-12
SAM3S
36.14.3 HSMCI Data Timeout Register
Name: HSMCI_DTOR
Address: 0x40000008
Access: Read-write
This register can only be written if the WPEN bit is cleared in “HSMCI Write Protect Mode Register” on page 796.
DTOCYC: Data Timeout Cycle Number
These fields determine the maximum number of Master Clock cycles that the HSMCI waits between two data block trans-
fers. It equals (DTOCYC x Multiplier).
DTOMUL: Data Timeout Multiplier
Multiplier is defined by DTOMUL as shown in the following table :
If the data time-out set by DTOCYC and DTOMUL has been exceeded, the Data Time-out Error flag (DTOE) in the HSMCI
Status Register (HSMCI_SR) raises.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
DTOMUL DTOCYC
Value Name Description
01DTOCYC
1 16 DTOCYC x 16
2 128 DTOCYC x 128
3 256 DTOCYC x 256
4 1024 DTOCYC x 1024
5 4096 DTOCYC x 4096
6 65536 DTOCYC x 65536
7 1048576 DTOCYC x 1048576
775
6500D–ATARM–29-Feb-12
SAM3S
36.14.4 HSMCI SDCard/SDIO Register
Name: HSMCI_SDCR
Address: 0x4000000C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “HSMCI Write Protect Mode Register” on page 796.
SDCSEL: SDCard/SDIO Slot
SDCBUS: SDCard/SDIO Bus Width
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
SDCBUS –––– SDCSEL
Value Name Description
0SLOTA
Slot A is selected.
1SLOTB
2SLOTC
3SLOTD
Value Name Description
01
1 bit
1–
Reserved
244 bit
388 bit
776 6500D–ATARM–29-Feb-12
SAM3S
36.14.5 HSMCI Argument Regi ster
Name: HSMCI_ARGR
Address: 0x40000010
Access: Read-write
ARG: Command Argument
31 30 29 28 27 26 25 24
ARG
23 22 21 20 19 18 17 16
ARG
15 14 13 12 11 10 9 8
ARG
76543210
ARG
777
6500D–ATARM–29-Feb-12
SAM3S
36.14.6 HSMCI Command Register
Name: HSMCI_CMDR
Address: 0x40000014
Access: Write-only
This register is write-protected while CMDRDY is 0 in HSMCI_SR. If an Interrupt command is se nt, this register is only
writeable by an interrupt response (field SPCMD). This means that the current command execution cannot be interrupted
or modified.
CMDNB: Command Number
This is the command index.
RSPTYP: Response Type
SPCMD: Special Command
31 30 29 28 27 26 25 24
––––BOOT_ACKATACS IOSPCMD
23 22 21 20 19 18 17 16
TRTYP TRDIR TRCMD
15 14 13 12 11 10 9 8
MAXLAT OPDCMD SPCMD
76543210
RSPTYP CMDNB
Value Name Description
0 NORESP No response.
1 48_BIT 48-bit response.
2 136_ BIT 136-bit response.
3 R1B R1b response type
Value Name Description
0 STD Not a special CMD.
1INIT
Initialization CMD:
74 clock cycles for initialization sequence.
2 SYNC Synchronized CMD :
Wait for the end of the current data block transfer before sending the pending command.
3CE_ATA
CE-ATA Completion Signal disable Command.
The host cancels the ability for the device to return a command completion signal on the
command line.
4IT_CMD
Interrupt command:
Corresponds to the Interrupt Mode (CMD40).
778 6500D–ATARM–29-Feb-12
SAM3S
OPDCMD: Open Drain Command
0 (PUSHPULL) = Push pull command.
1 (OPENDRAIN) = Open drain command.
MAXLAT: Max Latency for Command to Response
0 (5) = 5-cycle max latency.
1 (64) = 64-cycle max lat ency.
TRCMD: Transfer Command
TRDIR: Tr ansfer Direction
0 (WRITE) = Write.
1 (READ) = Read.
TRTYP: Transfer Type
IOSPCMD: SDIO Special Command
5 IT_RESP Interrupt response:
Corresponds to the Interrupt Mode (CMD40).
6BOR
Boot Operation Request.
Start a boot operation mode, the host processor can read boot data from the MMC device directly.
7EBO
End Boot Operation.
This command allows the host processor to ter m inate the boot operation mode.
Value Name Description
0 NO_DATA No data transfer
1 START_DATA Start data transfer
2 STOP_DATA Stop data transfer
3–Reserved
Value Name Description
0 SINGLE MMC/SDCard Single Block
1 MULTIPLE MMC/SDCard Multiple Block
2 STREAM MMC Stream
4 BYTE SDIO Byte
5 BLOCK SDIO Block
Value Name Description
0 STD Not an SDIO Special Command
1 SUSPEND SDIO Suspend Command
2 RESUME SDIO Resume Command
Value Name Description
779
6500D–ATARM–29-Feb-12
SAM3S
ATACS: ATA with Command Completion Signal
0 (NORMAL) = Normal operation mode.
1 (COMPLETION) = This bit indicates that a completion signal is expected within a programmed amount of time
(HSMCI_CSTOR).
BOOT_ACK: Boot Operation Acknowledge.
The master can choose to receive the boot acknowledge from the slave when a Boot Request command is issued. When
set to one this field indicates that a Boot acknowledge is expected within a programmable amount of time defined with
DTOMUL and DTOCYC fields located in the HSMCI_DTOR register. If the acknowledge pattern is not received then an
acknowledge timeout er ror is raised. If the acknowledge pattern is corrupted then an acknowledge pattern error is set.
780 6500D–ATARM–29-Feb-12
SAM3S
36.14.7 HSMCI Block Register
Name: HSMCI_BLKR
Address: 0x40000018
Access: Read-write
BCNT: MMC/SDIO Block Count - SDIO Byte Count
This field determines the number of data byte(s) or block(s) to transfer.
The transfer data type and the authorized values for BCNT field are determined by the TRTYP field in the HSMCI Com-
mand Register (HSMCI_CMDR):
Warning: In SDIO Byte and Block modes, writin g to the 7 last bit s of BCNT field is forbidde n and may lead to unpre dictable
results.
BLKLEN: Data Block Length
This field determines the size of the data block.
This field is also accessible in the HSMCI Mode Register (HSMCI_MR).
Bits 16 and 17 must be set to 0 if FBYTE is disabled.
Note: In SDIO Byte mode, BLKLEN field is not used.
31 30 29 28 27 26 25 24
BLKLEN
23 22 21 20 19 18 17 16
BLKLEN
15 14 13 12 11 10 9 8
BCNT
76543210
BCNT
Value Name Description
0MULTIPLE MMC/SDCARD Multiple Block
From 1 to 65635: Value 0 corresponds to an infinite block transfer.
4 BYTE SDIO Byte
From 1 to 512 bytes: Value 0 corres ponds to a 512-byte transfer.
Values from 0x200 to 0xFFFF are forbidden.
5BLOCK SDIO Block
From 1 to 511 blocks: Value 0 corresponds to an infinite block transfer.
Values from 0x200 to 0xFFFF are forbidden.
781
6500D–ATARM–29-Feb-12
SAM3S
36.14.8 HSMCI Completion Signal Timeout Register
Name: HSMCI_CSTOR
Address: 0x4000001C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “HSMCI Write Protect Mode Register” on page 796.
CSTOCYC: Completion Signal Timeout Cycle Number
These fields determine the maximum number of Master Clock cycles that the HSMCI waits between two data block trans-
fers. Its value is calculated by (CSTOCYC x Multiplier).
CSTOMUL: Completion Signal Timeout Multiplier
These fields determine the maximum number of Master Clock cycles that the HSMCI waits between two data block trans-
fers. Its value is calculated by (CSTOCYC x Multiplier).
These fields determine the maximum number of Master Clock cycles that the HSMCI waits between the end of the data
transfer and the asser tion of the comple tion signa l. The data t ransfer comprises dat a phase an d the optio nal busy phase. If
a non-DATA ATA command is issued, the HSMCI starts waiting immediatel y after the e nd of the r esponse until the comp le-
tion signal.
Multiplier is defined by CSTOMUL as shown in the followin g ta ble :
If the data time-out set by CSTOCYC and CSTOMUL has been exceeded, the Completion Signal Time-out Error flag
(CSTOE) in the HSMCI Status Register (HSMCI_SR) raises.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CSTOMUL CSTOCYC
Value Name Description
0 1 CSTOCYC x 1
1 16 CSTOCYC x 16
2 128 CSTOCYC x 128
3 256 CSTOCYC x 256
4 1024 CSTOCYC x 1024
5 4096 CSTOCYC x 4096
6 6 5536 CSTOCYC x 65536
7 1048576 CSTOCYC x 1048576
782 6500D–ATARM–29-Feb-12
SAM3S
36.14.9 HSMCI Response Register
Name: HSMCI_RSPR
Address: 0x40000020
Access: Read-only
RSP: Response
Note: 1. The response register can be read by N accesses at the same HSMCI_RSPR or at consecutive addresses (0x20 to 0x2C).
N depends on the size of the response.
31 30 29 28 27 26 25 24
RSP
23 22 21 20 19 18 17 16
RSP
15 14 13 12 11 10 9 8
RSP
76543210
RSP
783
6500D–ATARM–29-Feb-12
SAM3S
36.14.10 HSMCI Receive Data Register
Name: HSMCI_RDR
Address: 0x40000030
Access: Read-only
DATA: Data to Read
31 30 29 28 27 26 25 24
DATA
23 22 21 20 19 18 17 16
DATA
15 14 13 12 11 10 9 8
DATA
76543210
DATA
784 6500D–ATARM–29-Feb-12
SAM3S
36.14.11 HSMCI Transmit Data Register
Name: HSMCI_TDR
Address: 0x40000034
Access: Write-only
DATA: Data to Write
31 30 29 28 27 26 25 24
DATA
23 22 21 20 19 18 17 16
DATA
15 14 13 12 11 10 9 8
DATA
76543210
DATA
785
6500D–ATARM–29-Feb-12
SAM3S
36.14.12 HSMCI Status Register
Name: HSMCI_SR
Address: 0x40000040
Access: Read-only
CMDRDY: Command Ready
0 = A command is in progress.
1 = The last command has been sent. Cleared when writing in the HSMCI_CMDR.
RXRDY: Receiver Ready
0 = Data has not yet been received since the last read of HSMCI_RDR.
1 = Data has been received since the last read of HSMCI_RDR.
TXRDY: Transmit Ready
0= The last data written in HSMCI_TDR has not yet been transferred in the Shift Register.
1= The last data written in HSMCI_TDR has been transferred in the Shift Register.
BLKE: Data Block Ended
This flag must be used on ly for Write Operations.
0 = A data block transfer is not yet finished. Cleared when reading the HSMCI_SR.
1 = A data block transfer has ended, including the CRC16 Status transmission.
the flag is set for each transmitted CRC Status.
Refer to the MMC or SD Specification for more details concerning the CRC Status.
DTIP: Data Transfer in Progress
0 = No data transfer in progress.
1 = The current data transfer is still in progress, including CRC16 calculation. Cleared at the end of the CRC16 calculation.
NOTBUSY: HSMCI Not Busy
This flag must be used on ly for Write Operations.
A block write operation uses a simple busy signalling of the write operation duration on the data (DAT0) line: during a data
transfer block, if t he card doe s not have a free d ata receive buff er, the ca rd indicate s this condit ion by pulling down t he dat a
line (DAT0) to LOW. The card stops pulling down the data line as soon as at least one receive buffer for the defined data
transfer block length becomes free.
The NOTBUSY flag allows to deal with these different states.
31 30 29 28 27 26 25 24
UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY
23 22 21 20 19 18 17 16
CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE
15 14 13 12 11 10 9 8
TXBUFE RXBUFF CSRCV SDIOWAIT SDIOIRQA
76543210
ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY
786 6500D–ATARM–29-Feb-12
SAM3S
0 = The HSMCI is not ready for new data transfer. Cleared at the end of the card response.
1 = The HSMCI is ready for new data transfer. Set when the busy state on the data line has ended. This corr esponds to a
free internal data receive buffer of the card.
Refer to the MMC or SD Specif ica tio n for mo re det ails co nc er nin g th e bu sy be h av ior .
For all the read operations, the NOTBUSY flag is cleared at the end of the host command.
For the Infinite Read Multiple Blocks, the NOTBUSY flag is set at the end of the STOP_TRANSMISSION host command
(CMD12).
For the Single Block Reads, the NOTBUSY fla g is set at the end of the data read block.
For the Multiple Block Reads with pre-defined block count, the NOTBUSY flag is set at the end of the last received data
block.
ENDRX: End of RX Buffer
0 = The Receive Counter Register has not reached 0 since the last write in HSMCI_RCR or HSMCI_RNCR.
1 = The Receive Counter Register has reached 0 since the last write in HSMCI_RCR or HSMCI_RNCR.
ENDTX: End of TX Buffer
0 = The Transmit Counter Register has not reached 0 since the last write in HSMCI_TCR or HSMCI_TNCR.
1 = The Transmit Counter Register has reached 0 since the last write in HSMCI_TCR or HSMCI_TNCR.
Note: BLKE an d NOTBUSY flags can be us ed to check that the data has been successfully transmitted on the data lines and not only
transferred from the PDC to the HSMCI Controller.
SDIOIRQA: SDIO Interrupt for Slot A
0 = No interrupt detected on SDIO Slot A.
1 = An SDIO Interrupt on Slot A occurred. Cleared when reading the HSMCI_SR.
SDIOWAIT: SDIO Read Wait Operation Status
0 = Normal Bus operation.
1 = The data bus has entered IO wait state.
CSRCV: CE-ATA Completion Signal Receiv ed
0 = No completion signal received since last status read operation.
1 = The device has issued a command completion signal on the command line. Cleared by reading in the HSMCI_SR
register.
RXBUFF: RX Buffer Full
0 = HSMCI_RCR or HSMCI_RNCR has a value other than 0.
1 = Both HSMCI_RCR and HSMCI_RNCR have a value of 0.
TXBUFE: TX Buffer Empty
0 = HSMCI_TCR or HSMCI_TNCR has a value other than 0.
1 = Both HSMCI_TCR and HSMCI_TNCR have a value of 0.
Note: BLKE an d NOTBUSY flags can be us ed to check that the data has been successfully transmitted on the data lines and not only
transferred from the PDC to the HSMCI Controller.
787
6500D–ATARM–29-Feb-12
SAM3S
RINDE: Response Index Error
0 = No error.
1 = A mismatch is detected betwee n the command index sen t and the response index received. Clea red when writing in
the HSMCI_CMDR.
RDIRE: Response Direction Error
0 = No error.
1 = The direction bit from card to host in the response has not been detected.
RCRCE: Response CRC Error
0 = No error.
1 = A CRC7 error has been detected in the response. Cleared when writing in the HSMCI_CMDR.
RENDE: Response End Bit Error
0 = No error.
1 = The end bit of the response has not been detected. Cleared when writing in the HSMCI_CMDR.
RTOE: Response Time-out Error
0 = No error.
1 = The response time-out set by MAXLAT in the HSMCI_CMDR has been exceeded. Cleared when writing in the
HSMCI_CMDR.
DCRCE: Data CRC Error
0 = No error.
1 = A CRC16 error has been detected in the last data block. Cleared by reading in the HSMCI_SR register.
DTOE: Data Time-out Error
0 = No error.
1 = The data time-out set by DTOCYC and DTOMUL in HSMCI_DTOR has been exceeded. Cleared by reading in the
HSMCI_SR register.
CSTOE: Completion Signal Time-out Error
0 = No error.
1 = The completion signal time-out set by CSTOCYC and CSTOMUL in HSMCI_CSTOR has been exceeded. Cleared by
reading in the HSMCI_SR register. Cleared by reading in the HSMCI_SR register.
FIFOEMPTY: FIFO empty flag
0 = FIFO contains at least one byte.
1 = FIFO is empty.
XFRDONE: Transfer Done flag
0 = A transfer is in progress.
1 = Command register is ready to operate and the data bus is in the idle state.
ACKRCV: Boot Operation Acknowledge Received
0 = No Boot acknowledge received since the last read of the status register.
1 = A Boot acknowledge signal has been received. Cleared by reading the HSMCI_SR register.
788 6500D–ATARM–29-Feb-12
SAM3S
ACKRCVE: Boot Operation Acknowledge Error
0 = No error
1 = Corrupted Boot Acknowledge signal received.
OVRE: Overrun
0 = No error.
1 = At least one 8-bi t received data has been lost (not read). Cleared when sending a new data transfer command.
When FERRCTRL in HSMCI_CFG is set to 1, OVRE becomes reset after read.
UNRE: Underrun
0 = No error.
1 = At least one 8-bit data has been sent without valid information (not written). Cleared when sending a new data transfer
command or when setting FERRCTRL in HSMCI_CFG to 1.
When FERRCTRL in HSMCI_CFG is set to 1, UNRE becomes reset after read.
789
6500D–ATARM–29-Feb-12
SAM3S
36.14.13 HSMCI Interrupt Enable Register
Name: HSMCI_IER
Address: 0x40000044
Access: Write-only
CMDRDY: Command Ready Interrupt Enable
RXRDY: Receiver Ready Interrupt Enable
TXRDY: Transmit Ready Interrupt Enable
BLKE: Data Block Ended Interrupt Enable
DTIP: Data Transfer in Progress Interrupt Enable
NOTBUSY: Data Not Busy Interrupt Enable
ENDRX: End of Receive Buffer Interrupt Enable
ENDTX: End of Transmit Buffer In terrupt Enable
SDIOIRQA: SDIO Interrupt for Slot A Interrupt Enable
SDIOIRQD: SDIO Interrupt for Slot D Interrupt Enable
SDIOWAIT: SDIO Read Wait Operation Status Interrupt Enable
CSRCV: Completi on Signal Rece iv ed Inte rrupt Enable
RXBUFF: Receive Buffer Full Interrupt Enable
TXBUFE: Transmit Buffer Empty Interrupt Enable
RINDE: Response Index Error Interrupt Enable
RDIRE: Response Direction Error Interrupt Enable
RCRCE: Response CRC Error Interrupt Enable
RENDE: Response End Bit Error Interrupt Enable
RTOE: Response Time-out Error Interrupt Enable
DCRCE: Data CRC Error Interrupt Enable
DTOE: Data Time-out Error Interrupt Enable
31 30 29 28 27 26 25 24
UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY
23 22 21 20 19 18 17 16
CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE
15 14 13 12 11 10 9 8
TXBUFE RXBUFF CSRCV SDIOWAIT SDIOIRQA
76543210
ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY
790 6500D–ATARM–29-Feb-12
SAM3S
CSTOE: Completion Signal Timeout Error Interrupt Enable
FIFOEMPTY: FIFO empty Interrupt enable
XFRDONE: Transfer Done Interrupt enable
ACKRCV: Boot Acknowledge Interrupt Enable
ACKRCVE: Boot Acknowledge Error Interrupt Enable
OVRE: Overrun Interrupt Enable
UNRE: Underrun Interrupt Enable
0 = No effect.
1 = Enables the corresponding interrupt.
791
6500D–ATARM–29-Feb-12
SAM3S
36.14.14 HSMCI Interrupt Disable Register
Name: HSMCI_IDR
Address: 0x40000048
Access: Write-only
CMDRDY: Command Ready Interrupt Disable
RXRDY: Receiver Ready Interrupt Disable
TXRDY: Transmit Ready Interrupt Disable
BLKE: Data Block Ended Interrupt Disable
DTIP: Data Transfer in Progress Interrupt Disable
NOTBUSY: Data Not Busy Interrupt Disable
ENDRX: End of Receive Buffer Interrupt Disable
ENDTX: End of Transmit Buffer In terrupt Disable
SDIOIRQA: SDIO Interrupt for Slot A Interrupt Disable
SDIOWAIT: SDIO Read Wait Operation Status Interrupt Disable
CSRCV: Completion Signal received interrupt Disable
RXBUFF: Receive Buffer Full Interrupt Disable
TXBUFE: Transmit Buffer Empty Interrupt Disable
RINDE: Response Index Error Interrupt Disable
RDIRE: Response Direction Error Interrupt Disable
RCRCE: Response CRC Error Interrupt Disable
RENDE: Response End Bit Error Interrupt Disable
RTOE: Response Time-out Error Interrupt Disable
DCRCE: Data CRC Error Interrupt Disable
DTOE: Data Time-out Error Interrupt Disable
CSTOE: Completion Signal Time out Error Interrupt Disable
31 30 29 28 27 26 25 24
UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY
23 22 21 20 19 18 17 16
CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE
15 14 13 12 11 10 9 8
TXBUFE RXBUFF CSRCV SDIOWAIT SDIOIRQA
76543210
ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY
792 6500D–ATARM–29-Feb-12
SAM3S
FIFOEMPTY: FIFO empty Interrupt Disable
XFRDONE: Transfer Done Interrupt Disable
ACKRCV: Boot Acknowledge Interrupt Disable
ACKRCVE: Boot Acknowledge Error Interrupt Disable
OVRE: Overrun Interrupt Disable
UNRE: Underrun Interrupt Disable
0 = No effect.
1 = Disables the corresponding interrupt.
793
6500D–ATARM–29-Feb-12
SAM3S
36.14.15 HSMCI Interrupt Mask Register
Name: HSMCI_IMR
Address: 0x4000004C
Access: Read-only
CMDRDY: Command Ready Interrupt Mask
RXRDY: Re ce iv er Ready Interrupt Mask
TXRDY: Transmit Ready Interrupt Mask
BLKE: Data Block Ended Interrupt Mask
DTIP: Data Transfer in Progress Interrupt Mask
NOTBUSY: Data Not Busy Interrupt Mask
ENDRX: End of Receive Buffer Interrupt Mask
ENDTX: End of Transmit Buffer In terrupt Mask
SDIOIRQA: SDIO Interrupt for Slot A Interrupt Mask
SDIOWAIT: SDIO Read Wait Operation Status Interrupt Mask
CSRCV: Completi on Signal Rece iv ed Inte rrupt Mas k
RXBUFF: Receive Buffer Full Interrupt Mask
TXBUF E: Transmit Buffer Empty Inte rrupt Mask
RINDE: Response Index Error Interrupt Mask
RDIRE: Response Direction Error Interrupt Mask
RCRCE: Response CRC Error Interrupt Mask
RENDE: Response End Bit Er ror Interrupt Mask
RTOE: Response Time-out Error Interrupt Mask
DCRCE: Data CRC Error Interrupt Mask
DTOE: Data Time-out Error Interrupt Mask
CSTOE: Completion Signal Time-out Error Interrupt Mask
31 30 29 28 27 26 25 24
UNRE OVRE ACKRCVE ACKRCV XFRDONE FIFOEMPTY
23 22 21 20 19 18 17 16
CSTOE DTOE DCRCE RTOE RENDE RCRCE RDIRE RINDE
15 14 13 12 11 10 9 8
TXBUFE RXBUFF CSRCV SDIOWAIT SDIOIRQA
76543210
ENDTX ENDRX NOTBUSY DTIP BLKE TXRDY RXRDY CMDRDY
794 6500D–ATARM–29-Feb-12
SAM3S
FIFOEMPTY: FIFO Empty Interrupt Mask
XFRDONE: Transfer Done Interrupt Mask
ACKRCV: Boot Operation Acknowledge Received Interrupt Mask
ACKRCVE: Boot Operation Acknowledge Error Interrupt Mask
OVRE: Overrun Interrupt Mask
UNRE: Underrun Interrupt Mask
0 = The corresponding interrupt is not enabled.
1 = The corresponding interrupt is enabled.
795
6500D–ATARM–29-Feb-12
SAM3S
36.14.16 HSMCI Configuration Register
Name: HSMCI_CFG
Address: 0x40000054
Access: Read-write
This register can only be written if the WPEN bit is cleared in “HSMCI Write Protect Mode Register” on page 796.
FIFOMODE: HSMCI Internal FIFO control mode
0 = A write transfer starts when a sufficient amount of data is written into the FIFO.
When the block lengt h is greate r th an or e qual to 3/4 of the HSMCI interna l FIFO size, then the write t ransfer starts as soon
as half the FIFO is filled. When the block len gth is greater th an or equal to half t he internal FIFO size, the n the write transfer
starts as soon as one quarter of the FIFO is filled. In other cases, the transfer starts as soon as the total amount of data is
written in the internal FIFO.
1 = A write transfer starts as soon as one data is written into the FIFO.
FERRCTRL: Flow Error flag reset control mode
0= When an underflow/o verflow condition flag is set, a new Write/Read command is needed to reset the flag.
1= When an underflow/overflow condition flag is set, a read status resets the flag.
HSMODE: High Speed Mode
0= Default bus timing mode.
1= If set to one, the host controlle r outputs command line and data lines on the rising edge of the card clock. The Host
driver shall check the high speed support in the card registers.
LSYNC: Synchronize on the last block
0= The pending command is sent at the end of the current data block.
1= The pending command is sent at the end of the block transfer when the transfer length is not infinite. (block count shall
be different from zero)
31 30 29 28 27 26 25 24
––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––LSYNC–––HSMODE
76543210
FERRCTRL FIFOMODE
796 6500D–ATARM–29-Feb-12
SAM3S
36.14.17 HSMCI Write Protect Mode Register
Name: HSMCI_WPMR
Address: 0x400000E4
Access: Read-write
WP_EN: Write Pr otection Enable
0 = Disables the Write Protection if WP_KEY corresponds to 0x4D4349 (“MCI’ in ASCII).
1 = Enables the Write Pr otection if WP_KEY corresponds to 0x4D4349 (“MCI’ in ASCI I).
WP_KEY: Write Protection Key password
Should be written at value 0x4D4349 (ASCII code for “MCI”). Writing any other value in this field has no effect.
Protects the registers:
“HSMCI Mode Register” on page 772
“HSMCI Data Time ou t Reg i st er ” on page 774
“HSMCI SDCard/SDIO Register” on page 775
“HSMCI Completion Signal Timeout Register” on page 781
“HSMCI Configurat ion Register” on page 795
31 30 29 28 27 26 25 24
WP_KEY (0x4D => “M”)
23 22 21 20 19 18 17 16
WP_KEY (0x43 => C”)
15 14 13 12 11 10 9 8
WP_KEY (0x49 => “I”)
76543210
WP_EN
797
6500D–ATARM–29-Feb-12
SAM3S
36.14.18 HSMCI Write Protect Status Register
Name: HSMCI_WPSR
Address: 0x400000E8
Access: Read-only
WP_VS: Write Protection Violation Status
WP_VSRC: Write Protection Violation SouRCe
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
WP_VSRC
15 14 13 12 11 10 9 8
WP_VSRC
76543210
–––– WP_VS
Value Name Description
0NONE
No Write Protection Violation occurred since the last read of this
register (WP_SR)
1WRITE
Write Protection de te ct ed un au t ho rized attempt to write a control
register had occurred (since the last read.)
2 RESET Software reset had been performed while Wr ite Protection was
enabled (since the last read).
3BOTH
Both Write Protection violation and software reset with Write
Protection enabled have occurred since the last read.
798 6500D–ATARM–29-Feb-12
SAM3S
36.14.19 HSMCI FIFOx Memory Aperture
Name: HSMCI_FIFOx[x=0..255]
Address: 0x40000200
Access: Read-write
DATA: Data to Read or Data to Write
31 30 29 28 27 26 25 24
DATA
23 22 21 20 19 18 17 16
DATA
15 14 13 12 11 10 9 8
DATA
76543210
DATA
799
6500D–ATARM–29-Feb-12
SAM3S
37. Pulse Width Modulation Controller (PWM)
37.1 Description The PWM macrocell controls 4 channels independen tly. Each cha nne l co ntro ls t wo comp lemen-
tary square output waveforms. Characteristics of the output waveforms such as period, duty-
cycle, polarity and d ead-t ime s (also calle d dead -b ands o r n on-over lap ping tim es) a re co nf igured
through the user interface. Each channel selects and uses one of the clocks provided by the
clock generator. The clock generator provides several clocks resulting from the division of the
PWM master clock (MCK).
All PWM macrocell accesses are made through registers mapped on the peripheral bus. All
channels integrate a double buffering system in order to prevent an unexpected output wave-
form while modifying the period, the duty-cycle or the dead-times.
Channels can be linked together as synchronous channels to be ab le to update their duty-cycle
or dead-times at the same time.
The update of duty-cycles of synchronous channels can be performed by the Peripheral DMA
Controller Channel (PDC) which offers buffer transfer without processor Intervention.
The PWM macrocell provides 8 independent comparison units capable of comparing a pro-
grammed value to the counter of the synchronous channels (counter of channel 0). Th ese
comparisons are intended to generate software interrupts, to trigg er pulses on the 2 inde pen-
dent event lines (in order to synchronize ADC conversions with a lot of flexibility independently of
the PWM outputs), and to trigger PDC transfer requests.
The PWM outputs can be overridden synchronously or asynchronously to their channel counter.
The PWM block provides a fault protection mechanism with 6 fault inputs, capable of detecting a
fault condition and to override the PWM outputs asynchronously.
For safety usage, some control registers are write-protected.
37.2 Embedded Characteristics
One Four-channel 16-bit PWM Controller, 16-bit counter per channel
Common clock generator, providing Thirteen Different Clocks
A Modulo n counter providing eleven clocks
Two independent Linear Dividers working on modulo n counter outputs
Independent channel programming
Independent Enable Disable Commands
Independent Clock Selection
Independent Period and Duty Cycle, with Double Buffering
Programmable selection of the output waveform polarity
Programmable center or left aligned output waveform
Independent Output Override for each channel
Independent complementary Outputs with 12-bit dead time generator for each
channel
Independent Enable Disable Commands
Independent Clock Selection
800 6500D–ATARM–29-Feb-12
SAM3S
Independent Period and Duty Cycle, with Double Buffering
Synchronous Channel mode
Synchronous Channels share the same counter
Mode to update the synchronous channels registers after a programmable number
of periods
Connection to one PDC channel
Offers Buffer transfer without Processor Intervention, to update duty cycle of
synchronou s ch an ne ls
independent event lines which can send up to 4 triggers on ADC within a period
Programmable Fault Input providing an asynchronous protection of outputs
Stepper motor control (2 Channels)
37.3 Block Diagram
Figure 37-1. Pulse Width Modulation Controller Block Diagram
APB
ADC
Comparison
Units
PDC
NVIC
Interrupt Generator
event line 0
event line 1
Events
Generator event line x
Clock
Selector Counter
Channel 0
Duty-Cycle
Period
Update
APB
Interface
CLOCK
Generator
PIO
PMC
Comparator
Clock
Selector
Channel x
Dead-Time
Generator Output
Override
OCx
DTOHx
DTOLx
Fault
Protection
OOOHx PWMHx
PWMLx
OOOLx
Counter
Channel x
Duty-Cycle
Period
Update
MUX
SYNCx
Comparator
Channel 0
Dead-Time
Generator Output
Override
OC0
DTOH0
DTOL0
Fault
Protection
OOOH0 PWMH0
PWML0
OOOL0
PWM Controller
PWMHx
PWMLx
PWMH0
PWML0
PIO
MCK
PWMFI0
PWMFIx
801
6500D–ATARM–29-Feb-12
SAM3S
37.4 I/O Lines Description
Each channel outputs two complementary external I/O lines.
37.5 Product Dependencies
37.5.1 I/O Lines The pins used for inter facing the PWM are mult iplexed wit h PIO lines. The pr ogrammer must first
program the PIO controller to assign the desired PWM pins to their per ipheral function. If I/O
lines of the PWM are not used by the application, they can b e used for other purposes by th e PIO
controller.
All of the PWM outputs may or ma y not be enab led. If a n applicat ion requir es only four ch annels,
then only four PIO lines will be assigned to PWM outputs.
Table 37-1. I/ O Lin e De scr ipt ion
Name Description Type
PWMHx PWM Waveform Output High for channel x Output
PWMLx PWM Wa veform Output Low for channel x Output
PWMFIx PWM Fault Input x Input
Table 37-2. I/ O Lin es
Instance Signal I/O Line Peripheral
PWM PWMFI0 PA9 C
PWM PWMH0 PA0 A
PWM PWMH0 PA11 B
PWM PWMH0 PA23 B
PWM PWMH0 PB0 A
PWM PWMH0 PC18 B
PWM PWMH1 PA1 A
PWM PWMH1 PA12 B
PWM PWMH1 PA24 B
PWM PWMH1 PB1 A
PWM PWMH1 PC19 B
PWM PWMH2 PA2 A
PWM PWMH2 PA13 B
PWM PWMH2 PA25 B
PWM PWMH2 PB4 B
PWM PWMH2 PC20 B
PWM PWMH3 PA7 B
PWM PWMH3 PA14 B
PWM PWMH3 PA17 C
PWM PWMH3 PB14 B
802 6500D–ATARM–29-Feb-12
SAM3S
37.5.2 Power Management
The PWM is not continuously clocked. The programmer must first enable the PWM clock in the
Power Management Controller (PMC) before using the PWM. However, if the application does
not require PWM operations, the PWM clock can be stopped when not needed and be restarted
later. In this case, the PWM will resume its operations where it left off.
In the PWM description, Master Clock (MCK) is the clock of the peripheral bus to which the PWM
is connected.
37.5.3 Interrupt Sources
The PWM interru pt line is conn ected o n on e of t he in tern al sou rces of th e Nest ed Vect or ed In te r-
rupt Controller (NVIC). Using the PWM interrupt requires the NVIC to be programmed first.
37.5.4 Fault Inputs The PWM has the FAULT inputs connected to the different module s. Please refer to the imple-
mentation of these module within the product for detailed information about the fault generation
PWM PWMH3 PC21 B
PWM PWML0 PA19 B
PWM PWML0 PB5 B
PWM PWML0 PC0 B
PWM PWML0 PC13 B
PWM PWML1 PA20 B
PWM PWML1 PB12 A
PWM PWML1 PC1 B
PWM PWML1 PC15 B
PWM PWML2 PA16 C
PWM PWML2 PA30 A
PWM PWML2 PB13 A
PWM PWML2 PC2 B
PWM PWML3 PA15 C
PWM PWML3 PC3 B
PWM PWML3 PC22 B
Table 37-2. I/O Lines (Continued)
Table 37-3. Peripheral IDs
Instance ID
PWM 31
803
6500D–ATARM–29-Feb-12
SAM3S
procedure. The PWM receives faults from PIO inputs, PMC, ADC controller, Analog Comparator
Controller and Timer/Counters
37.6 Functional Description
The PWM macrocell is primarily composed of a clock generator module and 4 channels.
Clocked by the master clock (MCK), the clock generator module provides 13 clocks.
Each channel can independe ntly choose one of the clock generator outputs.
Each channel generates an output waveform with attributes that can be defined
independently for each channel through the user interface registers.
Table 37-4. Fau lt In pu ts
Fault Inputs External PWM Fault Input Number Fault Input ID
PA9 PWMFI0 0
Main OSC 1
ADC 2
Analog Comparator 3
Timer0 4
Timer1 5
804 6500D–ATARM–29-Feb-12
SAM3S
37.6.1 PWM Clock Generator
Figure 37-2. Functional View of the Clock Generator Block Diagram
modulo n counter
MCK/2
MCK/4
MCK/16
MCK/32
MCK/64
MCK/8
Divider A clkA
DIVA
PWM_MR
MCK
MCK/128
MCK/256
MCK/512
MCK/1024
PREA
Divider B clkB
DIVB
PWM_MR
PREB
MCK
805
6500D–ATARM–29-Feb-12
SAM3S
The PWM master clock (MCK) is divided in the clock generator module to provide different
clocks available for all channels. Each channel can independently select one of the divided
clocks.
The clock generator is divided in three blocks:
a modulo n counter which provides 11 clocks: FMCK, FMCK/2, FMCK/4, FMCK/8,
FMCK/16, FMCK/32, FMCK/64, FMCK/128, FMCK/256, FMCK/512, FMCK/1024
two linear dividers (1, 1/2, 1/3, ... 1/255) that provide two separate clocks: clkA and
clkB
Each linear divider can independently divide one of the clocks of the modulo n counter. The
selection of the clock to be divided is made according to the PREA (PREB) field of the PWM
Clock register (PWM_CLK). The resulting clock clkA (clkB) is the clock selected divided by DIVA
(DIVB) field value.
After a reset of the PWM controller, DIVA (DIVB) and PREA (PREB) are set to 0. This implies
that after reset clkA (clkB) are turned off.
At reset, all clocks provided by the modulo n counter ar e tu rn ed of f except clock ”M CK” . Th is si t-
uation is also true when the PWM master clock is turned off through the Power Management
Controller.
CAUTION:
Before using the PWM macrocell, the programmer must first enable the PWM clock in the
Power Management Controller (P MC).
806 6500D–ATARM–29-Feb-12
SAM3S
37.6.2 PWM Channel
37.6.2.1 Block Diagram
Figure 37-3. Functional View of the Channel Block Diagram
Each of the 4 channels is composed of six blocks:
A clock se lector which selects o ne of the cloc ks pro vided b y the cloc k gener ator (described in
Section 37.6.1 on page 804).
A counter clocked by the output of the clock selector. This counter is incremented or
decremented according to the channel configuration and comparators matches. The size of
the counter is 16 bits.
A comparato r used to comp ute the O Cx out put w aveform according to the counter value and
the configuration. The counter value can be the one of the channel counter or the one of the
channel 0 counter according to SYNCx bit in the “PWM Sync Channels Mode Register” on
page 844 (PWM_SCM).
A 2-bit configur ab le gr a y counter en ables the stepper motor driv er. One gra y count er drives 2
channels.
A dead-time generator providing two complementary outputs (DTOHx/DTOLx) which allows
to drive external power control switches safely.
An output override block that can force the two complementary outputs to a programmed
value (OOOHx/OOOLx).
An asynchronous fault protection mecha nism that ha s the high est priority to override the two
complementary outputs in case of fault detection (PWMHx/PWMLx).
Comparator
x
Clock
Select or
Cha nnel x
Dead-Time
Generat or Output
Override
OCx DTOHx
DTOLx Faul t
Protection
OOOHx PWMHx
PWMLx
OOOLx
Counter
Channel x
Duty-Cycle
Period
Update
Counter
Cha nne l 0
MUX SYNCx
Dead-Time
Generat or Output
Override
OCy DTOHy
DTOLy Fault
Protection
OOOHy PWMHy
PWMLy
OOOLy
Channel y (= x+1)
MUX MUX
2-bit gray
counter z
Comparator
y
from
Clock
Generator
from APB
Peripheral Bus
z = 0 (x = 0, y = 1),
z = 1 (x = 2, y = 3),
z = 2 (x = 4, y = 5),
z = 3 (x = 6, y = 7)
807
6500D–ATARM–29-Feb-12
SAM3S
37.6.2.2 Comparator The comparator continuously compares its counter value with the channel period defined by
CPRD in the “PWM Channel Period Register” on page 8 76 (PWM_CPRDx) and the duty-cycle
defined by CDTY in the “PWM Channel Duty Cycle Register” on page 874 (PWM_C DTYx) to
generate an output signal OCx accordingly.
The different properties of the waveform of the output OCx are:
the clock selecti on. The channel counter is clocked by one of the clocks provided by the
clock gen erator described in the previous section. This channel parameter is defined in the
CPRE field of the “PWM Channel Mode Register on page 872 (PWM_CMRx). This field is
reset at 0.
the waveform period. This channel parameter is defined in the CPRD field of the
PWM_CPRDx register.
If the waveform is left aligned, then the out put wavef orm period depends on the counter
source clock and can be calculated:
By using the PWM master clock (MCK) divided b y an X giv en prescaler v alue (with X being 1,
2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024), the resulting period formula will be:
By using the PWM master clock (MCK) divided by one of both DIVA or DIVB divider, the
formula becomes, respectively:
or
If the waveform is center aligned then the output waveform period depends on the counter
source clock and can be calculated:
By using the PWM master clock (MCK) divided by an X given prescaler value
(with X being 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). The resulting period formula will
be:
By using the PWM master clock (MCK) divided by one of both DIVA or DIVB divider, the
formula becomes, respectively:
or
the waveform duty-cycle. This channel parameter is defined in the CDTY field of the
PWM_CDTYx register.
If the waveform is left aligned then:
If the waveform is center aligned, then:
XCPRD×()
MCK
----------------------------------
CRPD DIVA×()
MCK
---------------------------------------------
CRPD DIVB×()
MCK
---------------------------------------------
2XCPRD××()
MCK
--------------------------------------------
2CPRD DIVA××()
MCK
-------------------------------------------------------
2CPRD×DIVB×()
MCK
-------------------------------------------------------
duty cycle period 1 fchannel_x_clock CDTY×()
period
--------------------------------------------------------------------------------------------------------------=
duty cycle period 2()1 fchannel_x_clock CDTY×())
period 2()
---------------------------------------------------------------------------------------------------------------------------------=
808 6500D–ATARM–29-Feb-12
SAM3S
the wa veform polarity. At the beginning of the period, the signal can be at high or low level.
This property is defined in the CPOL field of the PWM_CMRx register. By default the signal
starts by a low level.
the wavef or m alignment. The output wa vef orm can be left or center aligned. Center alig ned
waveforms can be used to generate non overlapped waveforms. This property is defined in
the CALG field of the PWM_CMRx register. The default mode is left aligned.
Figure 37-4. Non Overlapped Center Aligned Waveforms
Note: 1. See Figure 37-5 on page 809 for a detailed description of center aligned waveforms.
When center alig ned, the channel cou nter incr ease s up to CPRD a nd de crea ses down to 0. This
ends the period.
When left aligned, t he channel counter increa ses up to CPRD an d is reset. This ends the period.
Thus, for the same CPRD value, the period for a center aligned channel is twice the period for a
left aligned channel.
Waveforms are fixe d at 0 when:
CDTY = CPRD and CPOL = 0
CDTY = 0 and CPOL = 1
Waveforms are fixe d at 1 (once the channe l is enable d ) whe n :
CDTY = 0 and CPOL = 0
CDTY = CPRD and CPOL = 1
The waveform polarity must be set before enabling the channel. This immediately affects the
channel output level. Changes on channel polarity are not taken into account while the channel
is enabled.
Besides generating output signals OCx, the comparator generates interrupts in function of the
counter value. When the output waveform is left aligned, the interrupt occurs at the end of the
counter period. When the output waveform is center aligned, the bit CES of the PWM_CMRx
register defines when the channel counter interrupt occurs. If CES is set to 0, the interrupt
occurs at the end of the counter period. If CES is set to 1, the interrupt occurs at the end of the
counter period and at half of the counter period.
Figure 37-5 “Waveform Properties” illustrates the counter interrupts in function of the
configuration.
OC0
OC1
Period
No overlap
809
6500D–ATARM–29-Feb-12
SAM3S
Figure 37-5. Waveform Properties
Channel x
slected clock
CHIDx(PWM_SR)
Center Aligned
CPRD(PWM_CPRDx)
CDTY(PWM_CDTYx)
PWM_CCNTx
Output W av ef orm OCx
CPOL(PWM_CMRx) = 0
Output W av ef orm OCx
CPOL(PWM_CMRx) = 1
Counter Event
CHIDx(PWM_ISR)
CES(PWM_CMRx) = 0
Left Aligned
CPRD(PWM_CPRDx)
CDTY(PWM_CDTYx)
PWM_CCNTx
Output W av ef orm OCx
CPOL(PWM_CMRx) = 0
Output Waveform OCx
CPOL(PWM_CMRx) = 1
CALG(PWM_CMRx) = 0
CALG(PWM_CMRx) = 1
Period
Period
CHIDx(PWM_ENA)
CHIDx(PWM_DIS)
Counter Event
CHIDx(PWM_ISR)
CES(PWM_CMRx) = 1
Counter Event
CHIDx(PWM_ISR)
810 6500D–ATARM–29-Feb-12
SAM3S
37.6.2.3 2-bit Gray Up/Down Counter for Stepper Motor
It is possible to configure a couple of channels to provide a 2-bit gray count waveform on 2 out-
puts. Dead-Time Generator and other downstream logic can be configured on these channels.
Up or down count mode can be configured on-the-fly by means of PWM_SMMR configuration
registers.
When GCEN0 is set to 1, channels 0 and 1 outputs are driven with gray counter.
Figure 37-6. 2-bit Gray Up/Down Counter
37.6.2.4 Dead-Time Generator
The dead-time generator uses the comparator output OCx to provide the two complementary
outputs DTOHx and DTOLx, which allo ws the PWM macrocell to drive external power control
switches safely. When the dead-time generator is enabled by setting the bit DTE to 1 or 0 in the
“PWM Channel Mode Register” (PWM_CMRx), dead-times (also called dead-bands or non-
overlapping times) are inserted between the edges of the two complementary outputs DTOHx
and DTOLx. Note that enabling or disabling the dead-time generator is allowed only if the chan-
nel is disabled.
The dead-time is ad justable by th e “PWM Ch annel Dead Time Register” (PWM_DTx) . Both out-
puts of the dead-time generator can be adjusted se parately by DTH and DTL. The de ad-time
values can be updated synchronously to the PWM period by using the “PWM Channel Dead
Time Update Regi ster” (PWM_DTUPDx).
The dead-time is base d on a specif ic counter which uses the same selected clock that feeds the
channel counter of the comparator. Depending on the edge and the configuration of the dead-
time, DTOHx and DTOLx are d elayed unt il the co unte r has reach ed th e value def ined by DTH or
DTL. An inverted configuration bit (DTHI and DTLI bit in the PWM_CMRx register) is provided
for each output to invert the dead-time outputs. The following figure shows the waveform of the
dead-time generator.
PWMH0
DOWNx
GCEN0 = 1
PWMH1
PWML0
PWML1
811
6500D–ATARM–29-Feb-12
SAM3S
Figure 37-7. Complementary Output Waveforms
DTHx DTLx
output waveform OCx
CPOLx = 0
output waveform DTOHx
DTHIx = 0
output waveform DTOLx
DTLIx = 0
output waveform DTOHx
DTHIx = 1
output waveform DTOLx
DTLIx = 1
DTHx DTLx
output waveform OCx
CPOLx = 1
output waveform DTOHx
DTHIx = 0
output waveform DTOLx
DTLIx = 0
output waveform DTOHx
DTHIx = 1
output waveform DTOLx
DTLIx = 1
812 6500D–ATARM–29-Feb-12
SAM3S
37.6.2.5 Output Override
The two complementary outputs DT OHx and DTOLx of the dead-time generator can be force d
to a value defined by the software.
Figure 37-8. Override Ou tput Selection
The fields OSHx and OSLx in the “PWM Output Selection Register” (PWM_OS) allow the out-
puts of the dead-time generator DTOHx and DTOLx to be overridden by the value defined in the
fields OOVHx and OOVLx in the“PWM Output Override Value Register” (PWM_OOV).
The set registers “PWM Output Selection Set Register” and “PWM Output Selection Set Upda te
Register” (PWM_OSS and PWM_OSSUPD) enable the override of the outputs of a ch annel
regardless of other channels. In the same way, the clear registers “PWM Output Selection Clear
Register” and “PWM Output Selection Clear Update Register” (PWM_OSC and
PWM_OSCUPD) disable the override of the outputs of a channel regardless of other channels.
By using buffer registers PWM_OSSUPD and PWM_OSCUPD, the output selection of PWM
outputs is done synchronously to the channel counter, at the beginning of the next PWM period.
By using registers PWM_OSS and PWM_OSC, the output selection of PWM outputs is done
asynchronously to the channel coun ter, as soon as the register is written.
The value of the current output selection can be read in PWM_OS.
While overrid ing PWM outpu ts, the chan nel co u nt er s c on tin ue t o ru n, on ly th e PWM outp u ts ar e
forced to user defined values.
DTOHx
OOVHx
OOOHx
OSHx
0
1
DTOLx
OOVLx
OOOLx
OSLx
0
1
813
6500D–ATARM–29-Feb-12
SAM3S
37.6.2.6 Fault Protection
6 inputs provide fault protection which can force any of the PWM output pair to a programmable
value. This mechanism has priority over output overriding.
Figure 37-9. Fault Protection
The polarity level of the fault inputs are configured by the FPOL field in the “PWM Fault Mode
Register” (PWM_FMR).
The fault inputs can be glitch filter ed or not in function of the FFIL field in the PWM_FMR regis-
ter. When the filter is activated, glitches on fault inputs with a width inferior to the PWM master
clock (MCK) p eriod are rejected.
A fault becomes active as soon as its corresponding fault input has a transition to the pro-
grammed polarity level. If the c orrespondin g bit FMOD is set to 0 in th e PWM_ FMR re gister, the
fault remains active as long as the fault input is at this polarity level. If the corresponding FMOD
bit is set to 1, the fault remains active until the fault input is not at this polarity level anymore and
until it is cleared by writing the corresponding bit FCLR in the “PWM Fau lt Clear Register”
(PWM_FSCR). By reading the “PWM Fault Status Register” (PWM_FSR), the user can read the
current level of the fault inputs by means of the field FIV, and can know which fault is currently
active thanks to the FS field.
Each fault can be taken into account or not by the fault protection mechanism in each channel.
To be taken into account in the channel x, the fault y must be enabled by the bit FPEx[y] in the
“PWM Fault Protection Enable Registers” (PWM_FPE1). However the synchronous channels
(see Section 37.6.2.7 “Synchronous Channels”) do not use th eir own fau lt e na ble bits , bu t th ose
of the channel 0 (bits FPE0[y]).
The fault pro tect io n on a cha nn el is trig ger ed wh en this ch ann el is e nabled and when any o ne of
the faults that are enable d for this ch annel is acti ve. It can be tr iggered ev en if the PW M master
clock (MCK) is not running but only by a fault input that is not glitch filtered.
When the fault protection is triggered on a channel, the fault protection mechanism forces the
channel outputs to t he values defined b y the fields FPVHx and FPVL x in the “PWM Fault Protec-
tion Value Register” (PWM_FPV) and leads to a reset of the counter of this channel. The output
forcing is made asynchronously to the channel counter.
FIV0
fault input 0
Fault protection
on PWM
channel x
Glitch
Filter
FFIL0
from fault 0
from fault y
1
0=
FPOL0 FMOD0
1
0Fault 0 Status
FS0
FIV1
Glitch
Filter
FFIL1
1
0=
FPOL1
SET
CLR
FMOD1
1
0
OUT
Fault 1 Status
FS1
fault input 1 from fault 1 1
0
0
1
From Output
Override
OOHx
OOLx
From Output
Override
FPVHx
FPVLx
PWMHx
PWMLx
fault input y
FMOD1
SET
CLR
Write FCLR0 at 1
OUT
FMOD0
Write FCLR1 at 1
SYNCx
1
0
FPEx[0]
FPE0[0]
SYNCx
1
0
FPEx[1]
FPE0[1]
814 6500D–ATARM–29-Feb-12
SAM3S
CAUTION:
To prevent an unexpected activation of the status flag FSy in the PWM_FSR register, the
FMODy bit can be set to “1 ” only if the FPOLy bit has bee n previously configured to its final
value.
To prevent an unexpected activation of the Fault Protection on the channel x, the bit FPEx[y]
can be set to “1” only if the FPOLy bit has be en previously configured to its final value.
If a comparison unit is enabled (see Section 37.6.3 “PWM Comparison Units”) and if a fault is
triggered in the channel 0, in this case the comparison cannot match.
As soon as the fau lt protection is triggered on a channel, an interrupt (different from the inter rupt
generated at the end of the PWM period) can be generated but only if it is enabled and not
masked. The interrupt is reset by reading the interrupt status register, even if the fault which has
caused the trigger of the fault protection is kept active.
815
6500D–ATARM–29-Feb-12
SAM3S
37.6.2.7 Synchronous Channels
Some channels can be linked together as synchronous channels. They have the same source
clock, the same period, the same alignment and are started together. In this way, their counters
are synchronized together.
The synchronous channels are defined by the SYNCx bits in the “PWM Sync Channels Mode
Register” (PWM_SCM). Only one group of synchronous channels is allowed.
When a channel is defined as a synchronous channel, the channel 0 is automatically defined as
a synchronous channel too, because the channel 0 counter configuration is used by all the syn-
chronous channels.
If a channel x is defined as a synchro nous channel, it uses the followin g configuration fields of
the channel 0 instead of its own:
CPRE0 field in PWM_CMR0 register instead of CPREx field in PWM_CMRx register (same
source clock)
CPRD0 field in PWM_CMR 0 re gis ter instea d of CPRDx field in PWM_CMRx register (same
period)
CALG0 field in PWM_CMR0 register instead of CALGx field in PWM_CMRx register (same
alignment)
Thus writing these fields of a synchronous channel has no effect on the output waveform of this
channel (except channel 0 of course).
Because counters of synchr onous channels must start at the same time, they are all enabled
together by enabling the channel 0 (by the CHID 0 bit in PWM_ENA register). In the same way,
they are all disabled together by disabling channel 0 (by the CHID0 bit in PWM_DIS register).
However, a synchronous channel x different from channel 0 can be enab led or disabled inde-
pendently from othe rs (by the CHIDx bit in PWM_ENA and PWM_DIS registers).
Defining a channel as a synchro nous channel while it is an asynchro nous channel (by writing the
bit SYNCx to 1 while it was at 0) is allowed only if the channel is disabled at this time (CHIDx = 0
in PWM_SR register). In the same way, defi ning a channel as an asynchronous channel while it is
a synchronous channel (b y writing the SYNCx bit to 0 while it was 1) is allowed only if the chan-
nel is disabled at this time.
The field UPDM (Update Mode ) in the PWM_SCM regist er allow to select one of the th ree meth-
ods to update the registers of the synchronous channels:
Method 1 (UPDM = 0): the period value, the duty-cycle value s and the dead-time values must
be written by the CPU in their respective update regist ers (respectively PWM_CPRDUPDx,
PWM_CDTYUPDx and PWM_DTUPDx).The update is triggered at the next PWM period as
soon as the bit UPDULOCK in the “PWM Sync Channels Update Control Register”
(PWM_SCUC) is set to 1 (see “Method 1: Manual write of duty-cycle values and manual
trigger of the update” on page 817).
Method 2 (UPDM = 1): the p eriod va lue, th e dut y-cycle valu es, the dea d- time valu es a nd the
update period valu e must be written by the CPU in their respective update registers
(respectively PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPD). The update of the
period v alue an d o f th e de ad-t ime values is triggered at the next PWM period as soon as the
bit UPDULOCK in the “PWM Sync Channels Update Control Regist er” (PWM_SCUC) is set
to 1. The update of th e du ty- cy c le values and the update period value is triggered
automatically after an update period defined by the field UPR in the PWM Sync Channels
816 6500D–ATARM–29-Feb-12
SAM3S
Update Period Register” (PWM_SCUP) (see “Method 2: Manual write of duty-cycle values
and automati c trigger of the update” on page 818).
Method 3 (UPDM = 2): same as Method 2 apart from the fact that the duty-cycle values of
ALL synchronous chan nels are written by the Peripheral DMA Contr oller (PDC) (see “Method
3: Automatic write of duty-cycle values and automatic trigger of the update” on page 820).
The user can choose to synchronize the PDC tr ansfer reques t with a comparison match (see
Section 37.6.3 “PWM Comparison Units” ), by the fields PTRM and PTRCS in the PWM_ SCM
register.
Table 37-6. Summary of the Update of Registers of Synchronous Channels
UPDM=0 UPDM=1 UPDM=2
Period Value
(PWM_CPRDUPDx)
Write by the CPU
Update is triggered at the
next PWM period as soon as
the bit UPDULOCK is set to 1
Dead-Time Values
(PWM_DTUPDx)
Write by the CPU
Update is triggered at the
next PWM period as soon as
the bit UPDULOCK is set to 1
Duty-Cycle Values
(PWM_CDTYUPDx)
Write by the CPU Write by the CPU Write by the PDC
Update is triggered at the next
PWM period as soon as the bit
UPDULOCK is set to 1
Update is triggered at the next
PWM period as soon as the update period
counter has reached the value UPR
Update Period Value
(PWM_SCUPUPD)
Not applicable Write by the CPU
Not applicable Update is triggered at the next
PWM period as soon as the update period
counter has reached the value UPR
817
6500D–ATARM–29-Feb-12
SAM3S
Method 1: Manu al writ e of du ty -cycle values and m an ual trigge r of the update
In this mode, the update of the period value, the duty-cycle values and the dead-time values
must be done by writing in their respective update registers with the CPU (respectively
PWM_CPRDUPDx, PWM_CDTYUPDx and PWM_DTUPDx).
To trigger the update, the user must use the bit UPDULOCK of the “PWM Sync Channels
Update Control Register” (PWM_SCUC) which allows to update synchronously (at the same
PWM period) the synchronous channels:
If the bit UPDULOCK is set to 1, the update is done at the next PWM period of the
synchronou s ch an ne ls.
If the UPDULOCK bit is not set to 1, the update is locked and cannot be performed.
After writing the UPDULOCK bit to 1, it is held at this value unt il the update occurs, t hen it is read
0.
Sequence for Method 1:
1. Select the manual write of duty-cycle values and the manu al update by setting the
UPDM field to 0 in the PWM _SC M re gister
2. Define the synchronous channels by the SYNCx bits in the PWM_SCM register.
3. Enable the synchronous channels by writing CHID0 in the PWM_ENA register.
4. If an update of the period value and/or the duty-cycle values and/or the dead-time val-
ues is required, write registers that need to be updated (PWM_CPRDUPDx,
PWM_CDTYUPDx and PWM_DTUPDx).
5. Set UPDULOCK to 1 in PWM_SCUC.
6. The update of the registers will occur at the beginning of the next PWM period. At this
moment the UPDULOCK bit is reset, go to Step 4.) for new values.
Figure 37-10. Method 1 (UPDM = 0)
CCNT0
CDTYUPD 0x20 0x40 0x60
UPDULOCK
CDTY 0x20 0x40 0x60
818 6500D–ATARM–29-Feb-12
SAM3S
Method 2: Manu al writ e of du ty -cycle values and au to m at ic trig ge r of the up d ate
In this mode, the up date of the pe riod va lue, the d uty-c ycle value s, the dead-t ime values and the
update period value must be done by writing in their respective update registers with the CPU
(respectively PWM_CPRDUPDx, PWM_CDTYUPDx, PWM_DTUPDx and PWM_SCUPUPD).
To trigger the update of the period value and the dead-time values, the user must use the bit
UPDULOCK of the “PWM Sync Channels Update Control Register” (PWM_SCUC) which
allows to update synchronously (at the same PWM period) the synchronous channels:
If the bit UPDULOCK is set to 1, the update is done at the next PWM period of the
synchronou s ch an ne ls.
If the UPDULOCK bit is not set to 1, the update is locked and cannot be performed.
After writing the UPDULOCK bit to 1, it is held at this value unt il the update occurs, t hen it is read
0.
The update of the duty-cycle values and the update period is triggered automatically after an
update period.
To configure the automatic update, the user must define a value for the Update Period by the
UPR field in the “PWM Sync Channels Update Period Register (PWM_SCUP). The PWM con-
troller waits UPR+1 period of synchronous channels before updating automatically the duty
values and the update period valu e .
The status of the duty-cycle value write is reported in the “PWM I nterrupt Status Register 2”
(PWM_ISR2) by the following flags:
WRDY: this flag is set to 1 when the PWM Controller is ready to receive new duty-cycle
values and a new update period value. It is reset to 0 when the PWM_ISR2 register is read.
Depending on the interrupt mask in the PWM_IMR2 register, an interrupt can be gener ated by
these flags.
Sequence for Method 2:
1. Select th e manual write of duty-cycle values and the automatic update by setting the
field UPDM to 1 in the PWM_SCM register
2. Define the synchronous channels by the bits SYNCx in the PWM_SCM register.
3. Define the update period by the field UPR in the PWM_SCUP register.
4. Enable the synchronous channels by writing CHID0 in the PWM_ENA register.
5. If an update of the period value and/or of the dead-time values is required, write regis-
ters that need to be updated (PWM_CPRDUPDx, PWM_DTUPDx), else go to Step 8.
6. Set UPDULOCK to 1 in PWM_SCUC.
7. The update of these registers will occur at the beginning of the next PWM period. At
this moment the bit UPDULOCK is reset, go to Step 5. for new values.
8. If an update of the duty-cycle values and/or the update period is required, check first
that write of new update values is possible by polling the flag WRDY (or by waiting for
the corresponding interrupt) in the PWM_ISR2 register.
9. Write registers that need to be updated (PWM_CDTYUPDx, PWM_SCUPUPD).
10. The update of these registers will occur at the next PWM period of the synchronous
channels when the Update Period is elapsed. Go to Step 8. for new values.
819
6500D–ATARM–29-Feb-12
SAM3S
Figure 37-11. Method 2 (UPDM=1)
CCNT0
CDTYUPD 0x20 0x40 0x60
UPRCNT 0x0 0x1 0x0 0x1 0x0 0x1
CDTY 0x20 0x40
UPRUPD 0x1 0x3
WRDY
0x60
0x0 0x1 0x2 0x3 0x0 0x1 0x2
UPR 0x1 0x3
820 6500D–ATARM–29-Feb-12
SAM3S
Method 3: Automatic write of duty-cycle values and automatic trigger of the update
In this mode, the update of the duty cycle values is made automatically by the Peripheral DMA
Controller (PDC). The update of the period value, the dead-time values and the update period
value must be done by writing in their respective update registers with the CPU (respectively
PWM_CPRDUPDx, PWM_DTUPDx and PWM_SCUPUPD).
To trigger the update of the period value and the dead-time values, the user must use the bit
UPDULOCK which allows to update synchronously (at the same PWM period) the synchronous
channels:
If the bit UPDULOCK is set to 1, the update is done at the next PWM period of the
synchronou s ch an ne ls.
If the UPDULOCK bit is not set to 1, the update is locked and cannot be performed.
After writing the UPDULOCK bit to 1, it is held at this value unt il the update occurs, t hen it is read
0.
The update of the duty-cycle values and the update period value is tr iggered automatically after
an update period.
To configure the automatic update, the user must define a value for the Update Period by the
field UPR in the “PWM Sync Channels Update Period Register” (PWM_SCUP). The PWM con-
troller waits UPR+1 periods of synchronous chann els before updating automatically the duty
values and the update period valu e .
Using the PDC removes processor overhead by reducing its intervention during the transfer.
This significantly reduces the number of clock cycles required for a data transfer, which
improves microcontroller performance.
The PDC must write the duty-cycle values in the synchronous channels index order. For exam-
ple if the channels 0, 1 and 3 are synchronous channels, the PDC must write the duty-cycle of
the channel 0 first, then the duty-cycle of the channel 1, and finally the duty-cycle of the channel
3.
The status of the PDC transfer is reported in the “PWM Interrupt Status Register 2”
(PWM_ISR2) by the following flags:
WRDY: this flag is set to 1 when the PWM Controller is ready to receive new duty-cycle
values and a new update period value. It is reset to 0 when the PWM_ISR2 register is read.
The user can choose to synchronize th e WRDY flag and the PDC transfer request with a
comparison match (see Se ctio n 37 .6 .3 “PWM Comparison Units”), by the fields PTRM and
PTRCS in the PWM_SCM register.
ENDTX: this flag is set to 1 when a PDC transfer is completed
TXBUFE: this flag is set to 1 when the PDC buffer is empty (no pending PDC transfers)
UNRE: this flag is set to 1 when the update period defined by the UPR field has elapsed
while the whole data has not be en written by the PDC . It is reset to 0 when the PWM_ISR2
register is read.
Depending on the interrupt mask in the PWM_IMR2 register, an interrupt can be gener ated by
these flags.
821
6500D–ATARM–29-Feb-12
SAM3S
Sequence for Method 3:
1. Select the au tomatic write of duty-cycle v alues and automatic upd ate by setting t he field
UPDM to 2 in th e PWM_SCM register.
2. Define the synchronous channels by the bits SYNCx in the PWM_SCM register.
3. Define the update period by the field UPR in the PWM_SCUP register.
4. Define when th e WRDY flag and the corr esponding PDC transf er request m ust be set in
the update period by the PTRM bit and the PTRCS field in the PWM_SCM register (at
the end of the update period or when a comparison matches).
5. Define the PDC transfer settings for the duty-cycle values and enable it in the PDC
registers
6. Enable the synchronous channels by writing CHID0 in the PWM_ENA register.
7. If an update of the period value and/or of the dead-time values is required, write regis-
ters that need to be updated (PWM_CPRDUPDx, PWM_DTUPDx), else go to Step 10.
8. Set UPDULOCK to 1 in PWM_SCUC.
9. The update of these registers will occur at the beginning of the next PWM period. At
this moment the bit UPDULOCK is reset, go to Step 7. for new values.
10. If an updat e of the update p eriod value is re quired, chec k first that write of a new upd ate
value is possible by polling the flag WRDY (or by waiting f or the corresponding inter-
rupt) in the PWM_ISR2 register, else go to Step 13.
11. Write the register that needs to be updated (PWM_SCUPUPD).
12. The update of this register will occur at the next PWM period of the synchronous chan-
nels when the Update Period is elapsed. Go to Step 10. for new values.
13. Chec k the end of the PDC transfer by th e flag ENDTX. I f the tra nsf er ha s ended, define
a new PDC transfer in the PDC registers for new duty-cycle values. Go to Step 5.
822 6500D–ATARM–29-Feb-12
SAM3S
Figure 37-12. Method 3 (UPDM=2 and PTRM=0)
Figure 37-13. Method 3 (UPDM=2 and PTRM=1 and PTRCS=0)
CCNT0
CDTYUPD 0x20 0x40 0x60
UPRCNT 0x0 0x1 0x0 0x1 0x0 0x1
CDTY
UPRUPD 0x1 0x3
PDC transfer request
WRDY
0x0 0x1 0x2 0x3 0x0 0x1 0x2
UPR 0x1 0x3
0x80 0xA0 0xB0
0x20 0x40 0x60 0x80 0xA0
CCNT0
CDTYUPD 0x20 0x40 0x60
UPRCNT 0x0 0x1 0x0 0x1 0x0 0x1
CDTY
UPRUPD 0x1 0x3
CMP0 match
PDC transfer request
WRDY
0x0 0x1 0x2 0x3 0x0 0x1 0x2
UPR 0x1 0x3
0x80 0xA0 0xB0
0x20 0x40 0x60 0x80 0xA0
823
6500D–ATARM–29-Feb-12
SAM3S
37.6.3 PWM Comparison Units
The PWM provides 8 independent comparison units able to compare a programmed value with
the current value of the cha nnel 0 counter (which is the channel counter of all synchronous
channels, Section 37.6.2.7 “Synchronous Channels”). These comparisons are intended to gen-
erate pulses on the event lines (used to synchronize ADC, see Section 37.6.4 “PWM Event
Lines”), to generate sof tware interr upts and to trig ger PDC transfe r requests for th e synchronous
channels (see “Method 3: Automatic write of duty-cycle values and automatic trigger of the
update” on page 820).
Figure 37-14. Comparison Unit Block Diagram
The comparison x matches when it is enabled by the bit CEN in the “PWM Comparison x Mode
Register” (PWM_CMPxM for the comparison x) and when the counter of the channel 0 reac hes
the comparison value defined by the field CV in “PWM Comparison x Value Register”
(PWM_CMPxV for the comparison x). If the counter of the channel 0 is center aligned (CALG =
1 in “PWM Channel Mode Register” ), the bit CVM (in PWM_CMPxV) defines if the comparison
is made when the counter is coun tin g up or count ing down (in le ft alig nme nt mod e CAL G=0, this
bit is useless).
If a fault is active on the channel 0, the comparison is disabled and cannot match (see Section
37.6.2.6 “Fault Protection”).
The user can define the periodicity of the comparison x by the fields CTR and CPR (in
PWM_CMPxV). The comparison is performed periodically once every CPR+1 periods of the
counter of the channel 0, when the value of the comparison period counter CPRCNT (in
PWM_CMPxM) reaches the value defined by CTR. CPR is the maximum value of the compari-
son period counter CPRCNT. If CPR=CT R=0, the com parison is pe rformed at each period of the
counter of the channel 0.
The comparison x configuration can be modified while the channel 0 is enabled by using the
“PWM Comparison x Mode Update Register” (PWM_CMPxMUPD registers for the comparison
x). In the same way, the comparison x value can be modified while the channel 0 is enabled by
using the “PWM Comparison x Value Update Register” (PWM_CMPxVUPD registers for the
comparison x).
=
fault on channel 0
CNT [PWM_CCNT0]
CNT [PWM_CCNT0] is decrementing
CALG [PWM_CMR0]
CV [PWM_CMPxV]
=1
0
1
Comparison x
CVM [PWM_CMPxV]
=
CPRCNT [PWM_CMPxM]
CTR [PWM_CMPxM]
CEN [PWM_CMPxM]
824 6500D–ATARM–29-Feb-12
SAM3S
The update of th e comparison x configur ation and the compar ison x value is tr iggered per iodi-
cally after the comparison x update period. It is defined by the field CUPR in the PWM_CMPxM.
The comparison unit has an update period counter independent from the period counter to trig-
ger this update. When the value of the comparison update period counter CUPRCNT (in
PWM_CMPxM) reaches the value defined by CUPR, the update is triggered. The comparison x
update period CUPR itself can be updated while the channel 0 is enabled by using the
PWM_CMPxMUPD register.
CAUTION: to be taken into account, the write of the register PWM_CMPxVUPD must be fol-
lowed by a write of the regis te r PWM_ CM PxM UPD.
The comparison match and the comparison update can be source of an interrupt, but only if it is
enabled and n ot ma sked . Th ese in terr up ts can b e e nabled by the “PWM Interrupt Enable Regis-
ter 2” and disabled by the “PWM Interrupt Disable Register 2” . The comparison match int errupt
and the compa rison up date in terr upt are rese t by readin g the“PWM Interrupt Status Register 2.
Figure 37-15. Comparison Waveform
CCNT0
CVUPD
0x6 0x2
CVMVUPD
CV
0x6 0x2
0x6
0x6
CVM
Comparison Update
CMPU
CTRUPD
0x1 0x2
CPR
0x1 0x3
0x0 0x1 0x0 0x1 0x0 0x1 0x2 0x3 0x0 0x1 0x2 0x3
CPRCNT
0x0 0x1 0x2 0x3 0x0 0x1 0x2
0x0
0x1 0x2 0x0 0x1
CUPRCNT
CPRUPD
0x1 0x3
CUPRUPD
0x3 0x2
CTR
0x1 0x2
CUPR
0x3 0x2
Comparison Match
CMPM
825
6500D–ATARM–29-Feb-12
SAM3S
37.6.4 PWM Event Lines
The PWM provides 2 independent event lines intended to trigger actions in other peripherals (in
particular for ADC (Analog-to-Digital Converter)).
A pulse (one cycle of the master clock (MCK)) is generated on an event line, when at least one
of the select ed com pariso ns is m atching. T he co mparison s can be sele cted or unsele cted inde -
pendently by the CSEL bits in the “PWM Event Line x Register” (PWM_ELxMR for the Event
Line x).
Figure 37-16. Event Line Block Diagram
PULSE
GENERATOR Event Line x
CSEL0 (PWM_ELxMR)
CMPS0 (PWM_ISR2)
CSEL1 (PWM_ELxMR)
CMPS1 (PWM_ISR2)
CSEL2 (PWM_ELxMR)
CMPS2 (PWM_ISR2)
CSEL7 (PWM_ELxMR)
CMPS7 (PWM_ISR2)
826 6500D–ATARM–29-Feb-12
SAM3S
37.6.5 PWM Controller Operations
37.6.5.1 Initialization Before enabling the channels, they must have been configured by the software a pplication:
Unlock User Interface by writing the WPCMD field in the PWM_WPCR Register.
Configura tion of the clock generator (DIVA, PREA, DIVB, PREB in t he PWM_CLK register if
required).
Selection of the clock for each channel (CPRE field in the PWM_CMRx register)
Configuration of the waveform alignment for each channel (CALG fi eld in the PWM_CMRx
register)
Selection of the counter event selection (if CALG = 1) fo r each channel (CES field in the
PWM_CMRx register)
Configura tion of the output waveform polarity for each channel (CPOL in the PWM_CMRx
register)
Configuratio n of the period for each channel (CPRD in the PWM_CPRDx re gister). Writing in
PWM_CPRDx register is possible while the channel is disabl ed. After validation of the
channel, the user must use PWM_CPRDUPDx register to update PWM_CPRDx as
explained below.
Configura tion of the duty-cycle for each channel (CDTY in the PWM_CDTYx register).
Writing in PWM_CDTYx register is possible while the channel is di sabled. After validation of
the channel, the user must use PWM_CDTYUPDx register to update PWM_CDTYx as
explained below.
Configuration of the dead-time generator for each channel (DTH and DTL in PWM_DTx) if
enabled (DTE bit in the PWM_CMRx reg ist er ). Writing in the PWM_DTx register is possible
while the channel is disabled. After validation of the channel, the user must use
PWM_DTUPDx register to update PWM_DTx
Selection of the synchronous channels (SYNCx in the PWM_SCM register)
Selection of the moment when the WRDY flag and the corresponding PDC transfer request
are set (PTRM and PTRCS in the PWM_SCM register)
Configuration of the update mode (UPDM in the PWM_SCM register)
Configuration of the update period (UPR in the PWM_SCUP register) if needed.
Configuration of the comparisons (PWM_CMPxV and PWM_CMPxM).
Configuration of the event lines (PWM_ELxMR).
Configura tion of the fault inputs polarity (FPOL in PWM_FMR)
Configuration of the fault protection (F MO D an d FF I L in PW M _FM R, PWM _F PV an d
PWM_FPE1)
Enable of the Interrupts (writing CHIDx and FCHIDx in PWM_IER1 register, and writing
WRDYE, ENDTXE, TXBUFE, UNRE, CMPMx and CMPUx in PWM_IER2 register)
Enable of the PWM channels (writing CHIDx in the PWM_ENA register)
827
6500D–ATARM–29-Feb-12
SAM3S
37.6.5.2 Source Clock Selection Criteria
The large number of source clocks can make selection difficult. The relatio nship between the
value in the “PWM Channel Period Register” (PWM_CPRDx) and the “PWM Channel Duty
Cycle Register” (PWM_CDTYx) can help the user in choosing. The event number written in the
Period Register gives the PWM accuracy. The Duty-Cycle quantum cannot be lower than
1/CPRDx value. The higher the value of PWM_CPRDx, the greater the PWM accuracy.
For example, if the user sets 15 (in decimal) in PWM_CPRDx, the user is able to set a value
from between 1 up to 14 in PWM_CDTYx Register. The resulting duty-cycle quantum cannot be
lower than 1/15 of the PWM period.
37.6.5.3 Changing the Duty-Cycle, the Period and the Dead-Times
It is possible to modulate the output waveform duty-cycle, period and dead-times.
To prevent unexpected output waveform, the user must use the “PWM Channel Duty Cycle
Update Register , the “PWM Channel Period Update Register” and the “PWM Channel Dead
Time Update Register” (PWM_CDTYUPDx, PWM_CPRDUPDx and PWM_DTUPDx) to change
waveform parameters while the channel is still enabled.
If the channel is an asynchronous channel (SYNCx = 0 in “PWM Sync Channels Mode
Register” (PWM_SCM)), these registers hold the new period, duty-cycle and dead-times
values until the end of the current PWM period and update the values for the next period.
If the channel is a synchronous channel and update method 0 is selected (SYNCx = 1 and
UPDM = 0 in PWM_SCM register ), the se regist ers hold the new period, duty-cycle and dead-
times va lues until the bit UPDU LOCK is written at “1” (in “PWM Sync Channels Update
Control Register” (PWM_SCUC)) and the end of the current PWM period, then update the
values for the next period.
If the channel is a synchronous channel a nd update method 1 or 2 is selected (SYNCx=1 a nd
UPDM=1 or 2 in PWM_SCM register):
registers PWM_CPRDUPDx and PWM_DTUPDx hold the new period and dead-
times values until the bit UPDULOCK is written at “1” (in PWM_SCUC register) and
the end of the current PWM period, then update the values for the next period.
register PWM_CDTYUPDx holds the new duty-cycle value until the end of the
update period of synchronous channels (when UPRCNT is equal to UPR in “PWM
Sync Channels Update Period Register” (PWM_SCUP)) and the end of the current
PWM period, then updates the value for the next period
Note: If the update registers PWM_CDTYUPDx, PWM_CPRDUPDx and PWM_DTUPDx are wr itten
several times between two updates, only the last written value is taken into account.
828 6500D–ATARM–29-Feb-12
SAM3S
Figure 37-17. Synchronized Period, Duty-Cycle and Dead- Times Update
PWM_CPRDUPDx Value
PWM_CPRDx PWM_CDTYx
- If Asynchronous Channel
-> End of PWM period
- If Synchronous Channel
-> End of PWM period and UPDULOCK = 1
User's Writing
PWM_DTUPDx Value
User's Writing
PWM_DTx
- If Asynchronous Channel
-> End of PWM period
- If Synchronous Channel
- If UPDM = 0
-> End of PWM period and UPDULOCK = 1
- If UPDM = 1 or 2
-> End of PWM period and end of Update Period
PWM_CDTYUPDx Value
User's Writing
829
6500D–ATARM–29-Feb-12
SAM3S
37.6.5.4 Changing the Synchronous Channels Update Period
It is possible to change the update p eriod of synchr onous chan nels while they are enable d. (See
“Method 2: Manual write of duty-cycle values and automatic trigger of the update” on page 818
and “Method 3: Automatic write of duty-cycle values and automatic trigger of the update” on
page 820.)
To prevent an unexpected update of the synchronous channels register s, the user must use the
“PWM Sync Channels Update Period Update Register” (PWM_SCUPUPD) to change the
update period of synchronous channels while they are still enabled . This register holds the new
value until the end of the update period of synchronous channels (when UPRCNT is equal to
UPR in “PWM Sync Channels Update Period Register” (PWM_SCUP)) and the end of the cur-
rent PWM period, then updates the value for the next period.
Note: If the update register PWM_SCUPUPD is written several times betw een two updates, only the last
written value is taken into account.
Note: Changing the update period does make sense only if there is one or more synchronous channels
and if the update method 1 or 2 is selected (UPDM = 1 or 2 in “PWM Sync Channels Mode Regis-
ter” ).
Figure 37-18. Synchronized Update of Update Period Value of Synchronous Channels
End of PWM period and
end of Update Period
of Synchronous Channels
PWM_SCUPUPD Value
User's Writing
PWM_SCUP
830 6500D–ATARM–29-Feb-12
SAM3S
37.6.5.5 Changing the Comparison Value and the Comparison Configuration
It is possible to change the comparison values and the comparison configurations while the
channel 0 is enabled (see Section 37.6.3 “PWM Comparison Units”).
To prevent unexpected comparison match, the user must use the “PWM Comparison x Value
Update Register” and the “PWM Comparison x Mode Update Register” (PWM_CMPxVUPD
and PWM_CMPxMUPD) to change respectively the comparison values and the comparison
configurations while the channel 0 is still enabled. These registers hold the new values until the
end of the comparison update period (when CUPRCNT is equal to CUPR in “PWM Comparison
x Mode Register” (PWM_CMPxM)) and the en d of the curr ent PWM period, then up date the val-
ues for the next period.
CAUTION: to be taken into account, the write of the register PWM_CMPxVUPD must be fol-
lowed by a write of the regis te r PWM_ CM PxM UPD.
Note: If the update registers PWM_CMPxVUPD and PWM_CMPxMUPD are written several times
between two updates, only the last written value are taken into account.
Figure 37-19. Synchronized Update of Comparison Values and Configurations
PWM_CMPxVUPD Value
Comparison Value
for comparison x
User's Writing
PWM_CMPxV
End of channel0 PWM period and
end of Comparison Update Period
PWM_CMPxMUPD Value
Comparison configuration
for comparison x
PWM_CMPxM
User's Writing
End of channel0 PWM period and
end of Comparison Update Period and
and PWM_CMPxM written
831
6500D–ATARM–29-Feb-12
SAM3S
37.6.5.6 Interrupts Depending on the interrupt mask in the PWM_IMR1 and PWM_IMR2 registers, an interrupt can
be generated at the end of the corresponding channel period (CHIDx in the PWM_ISR1 regis-
ter), after a fault even t (FCHIDx in the PWM_ISR1 re gister), after a co mparison match (CMP Mx
in the PWM_ISR2 register), after a comparison update (CMPUx in the PWM_ISR2 register) or
according to the transfer m ode of the synchronous channels (WRDY, ENDTX, TXBUFE an d
UNRE in the PWM_ISR2 register).
If the interrupt is generated by the flags CHIDx or FCHIDx, the interrupt remains active until a
read operation in the PWM_ISR1 register occurs.
If the interrupt is generated by the flags WRDY or UNRE or CMPMx or CMPUx, the interrupt
remains active until a read operation in the PWM_ISR2 register occurs.
A channel interrupt is enabled by setting the corresponding bit in the PWM_IER1 and
PWM_IER2 registers. A channel interrupt is disabled by setting the corresponding bit in the
PWM_IDR1 and PWM_IDR2 registers.
832 6500D–ATARM–29-Feb-12
SAM3S
37.6.5.7 Write Protect Registers
To prevent any single software error that may corrupt PWM behavior, the registers listed below
can be write-protected by writing the field WPCMD in the “PWM Write Protect Control Register”
on page 865 (PWM_WPCR). They are divided into 6 groups:
Register group 0:
“PWM Clock Register” on page 836
Register group 1:
“PWM Disable Register” on page 838
Register group 2:
“PWM Sync Channels Mode Register” on page 844
“PWM Channel Mode Register” on page 872
“PWM Stepper Motor Mode Register” on page 864
Register group 3:
“PWM Channel Period Register” on page 876
“PWM Channel Period Update Register” on page 877
Register group 4:
“PWM Channel Dead Time Register” on page 879
“PWM Channel Dead Time Update Register” on page 880
Register group 5:
“PWM Fault Mode Register” on page 858
“PWM Fault Protection Value Regist er” on page 861
There are two types of Write Protect:
Write Protect SW, which can be enabled or disabled.
Write Protect HW, which can just be enabled, only a hardware reset of the PWM controller
can disable it.
Both types of Writ e Prote ct can b e applied indep endently t o a pa rticular regist er group by means
of the WPCMD and WPRG fields in PWM_WPCR register. If at least one Write Protect is active,
the register group is write-protected. The field WPCMD allows to perform the following actions
depending on its value:
0 = Disabling th e Write Protect SW of the register groups of which the bit WPRG is at 1.
1 = Enabling the Write Protect SW of the re gis te r groups of whic h th e bit WPRG is at 1.
2 = Enabling the Write Protect HW of the register groups of which the bit WPRG is at 1.
At any time, the user can determine which Write Protect is active in which register group by the
fields WPSWS and WPHWS in the “PWM Write Protect Status Register” on page 867
(PWM_WPSR).
If a write access in a write-protected register is detected, then the WPVS flag in the
PWM_WPSR register is set and the field WPVSRC indicates in which register the write access
has been attempted, through its address offset without the two LSBs.
The WPVS and PWM_WPSR fields are automatically reset after reading the PWM_WPSR
register.
833
6500D–ATARM–29-Feb-12
SAM3S
37.7 Pulse Width Modulation (PWM) Controller User Interface
Table 37-7. Register Mapping
Offset Register Name Access Reset
0x00 PWM Clock Register PWM_CLK Read-write 0x0
0x04 PWM Enable Register PWM_ENA Write-only
0x08 PWM Disable Register PWM_DIS Write-only
0x0C PWM Status Register PWM_SR Read-only 0x0
0x10 PWM Interrupt Enable Register 1 PWM_IER1 Write-only
0x14 PWM Interrupt Disable Register 1 PWM_IDR1 Write-only
0x18 PWM Interrupt Mask Register 1 PWM_IMR1 Read-only 0x0
0x1C PWM Interrupt Status Register 1 PWM_ISR1 Read-only 0x0
0x20 PWM Sync Channels Mode Register PWM_SCM Read-write 0x0
0x24 Reserved
0x28 PWM Sync Channels Update Control Register PWM_SCUC Read-write 0x0
0x2C PWM Sync Channels Update Period Register PWM_SCUP Rea d-write 0x0
0x30 PWM Sync Channels Update Period Update Register PWM_SCUPUPD Write-only 0x0
0x34 PWM Interrupt Enable Register 2 PWM_IER2 Write-only
0x38 PWM Interrupt Disable Register 2 PWM_IDR2 Write-only
0x3C PWM Interrupt Mask Register 2 PWM_IMR2 Read-only 0x0
0x40 PWM Interrupt Sta tus Register 2 PWM_ISR2 Read-only 0x0
0x44 PWM Output Override Value Register PWM_OOV Read-write 0x0
0x48 PWM Output Selection Register PWM_OS Read-write 0x0
0x4C PWM Output Selection Set Register PWM_OSS Write-only
0x50 PWM Output Selection Clear Register PWM_OSC Write-only
0x54 PWM Output Selection Set Update Register PWM_OSSUPD Write-only
0x58 PWM Output Selection Clear Update Register PWM_OSCUPD Write-only
0x5C PWM Fault Mode Register PWM_FMR Read-write 0x0
0x60 PWM Fault Status Register PWM_FSR Read-only 0x0
0x64 PWM Fault Clear Registe r PWM_FCR Write-only
0x68 PWM Fault Protection Value Register PWM_FPV Read-write 0x0
0x6C PWM Fa ult Protection Enab le Register PWM_FPE Read-write 0x0
0x70-0x78 Reserved
0x7C PWM Event Line 0 Mode Register PWM_EL0MR Read-write 0x0
0x80 PWM Event Line 1 Mode Register PWM_EL1MR Read-write 0x0
0x84-AC Reserved
0xB0 PW M Stepper Motor Mode Register PWM_SMMR Read-write 0x0
0xB4-E0 Reserved
0xE4 PWM Write Protect Control Register PWM_WPCR Write-only
834 6500D–ATARM–29-Feb-12
SAM3S
0xE8 PW M Write Protect Status Register PWM_WPSR Read-only 0x0
0x100 - 0x128 Reserved for PDC registers
0x12C Reserved
0x130 PWM Comparison 0 Value Register PWM_CMP0V Read-write 0x0
0x134 PWM Comparison 0 Value Update Register PWM_CMP0VUPD Write-only
0x138 PWM Comparison 0 Mode Register PWM_CMP0M Read-write 0x0
0x13C PWM Comparison 0 Mode Update Register PWM_CMP0MUPD Write-only
0x140 PWM Comparison 1 Value Register PWM_CMP1V Read-write 0x0
0x144 PWM Comparison 1 Value Update Register PWM_CMP1VUPD Write-only
0x148 PWM Comparison 1 Mode Register PWM_CMP1M Read-write 0x0
0x14C PWM Comparison 1 Mode Update Register PWM_CMP1MUPD Write-only
0x150 PWM Comparison 2 Value Register PWM_CMP2V Read-write 0x0
0x154 PWM Comparison 2 Value Update Register PWM_CMP2VUPD Write-only
0x158 PWM Comparison 2 Mode Register PWM_CMP2M Read-write 0x0
0x15C PWM Comparison 2 Mode Update Register PWM_CMP2MUPD Write-only
0x160 PWM Comparison 3 Value Register PWM_CMP3V Read-write 0x0
0x164 PWM Comparison 3 Value Update Register PWM_CMP3VUPD Write-only
0x168 PWM Comparison 3 Mode Register PWM_CMP3M Read-write 0x0
0x16C PWM Comparison 3 Mode Update Register PWM_CMP3MUPD Write-only
0x170 PWM Comparison 4 Value Register PWM_CMP4V Read-write 0x0
0x174 PWM Comparison 4 Value Update Register PWM_CMP4VUPD Write-only
0x178 PWM Comparison 4 Mode Register PWM_CMP4M Read-write 0x0
0x17C PWM Comparison 4 Mode Update Register PWM_CMP4MUPD Write-only
0x180 PWM Comparison 5 Value Register PWM_CMP5V Read-write 0x0
0x184 PWM Comparison 5 Value Update Register PWM_CMP5VUPD Write-only
0x188 PWM Comparison 5 Mode Register PWM_CMP5M Read-write 0x0
0x18C PWM Comparison 5 Mode Update Register PWM_CMP5MUPD Write-only
0x190 PWM Comparison 6 Value Register PWM_CMP6V Read-write 0x0
0x194 PWM Comparison 6 Value Update Register PWM_CMP6VUPD Write-only
0x198 PWM Comparison 6 Mode Register PWM_CMP6M Read-write 0x0
0x19C PWM Comparison 6 Mode Update Register PWM_CMP6MUPD Write-only
0x1A0 PWM Comparison 7 Value Register PWM_CMP7V Read-write 0x0
0x1A4 PWM Comparison 7 Value Update Register PWM_CMP7VUPD Write-only
0x1A8 PWM Comparison 7 Mode Register PWM_CMP7M Read-write 0x0
0x1AC PWM Comparison 7 Mode Update Register PWM_CMP7MUPD Write-only
0x1B0 - 0x1FC Reserved
Table 37-7. Register Mapping (Continued)
Offset Register Name Access Reset
835
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. Some registers are indexed with “ch_num” index ranging from 0 to 3.
0x200 + ch_num *
0x20 + 0x00 PWM Ch annel Mode Register(1) PWM_CMR Read-write 0x0
0x200 + ch_num *
0x20 + 0x04 PWM Channel Duty Cycle Register(1) PWM_CDTY Read-write 0x0
0x200 + ch_num *
0x20 + 0x08 PWM Channel Duty Cycle Update Register(1) PWM_CDTYUPD Write-only
0x200 + ch_num *
0x20 + 0x0C PWM Channel Period Reg ister(1) PWM_CPRD Read-write 0x0
0x200 + ch_num *
0x20 + 0x10 PWM Channel Period Update Register(1) PWM_CPRDUPD Write-only
0x200 + ch_num *
0x20 + 0x14 PWM Channel Counter Register(1) PWM_CCNT Read-only 0x0
0x200 + ch_num *
0x20 + 0x18 PWM Channel Dead Time Register(1) PWM_DT Read-write 0x0
0x200 + ch_num *
0x20 + 0x1C PWM Channel Dead Time Update Register(1) PWM_DTUPD Write-only
Table 37-7. Register Mapping (Continued)
Offset Register Name Access Reset
836 6500D–ATARM–29-Feb-12
SAM3S
37.7.1 PWM Clock Register
Name: PWM_CLK
Address: 0x40020000
Access: Read-write
This register can only be written if the bits WPSWS0 and WPHWS0 are cleared in “PWM Write Protect Status Register” on
page 867.
DIVA, DIVB: CLKA, CLKB Divide Factor
PREA, PREB: CLKA, CLKB Source Clock Selection
31 30 29 28 27 26 25 24
–––– PREB
23 22 21 20 19 18 17 16
DIVB
15 14 13 12 11 10 9 8
–––– PREA
76543210
DIVA
DIVA, DIVB CLKA, CLKB
0 CLKA, CLKB clock is turned off
1 CLKA, CLKB clock is clock selected by PREA, PREB
2-255 CLKA, CLKB clock is clock selected by PREA, PREB divided by DIVA, DIVB factor.
PREA, PREB Divider Input Clock
0000MCK
0001MCK/2
0010MCK/4
0011MCK/8
0100MCK/16
0101MCK/32
0110MCK/64
0111MCK/128
1000MCK/256
1001MCK/512
1010MCK/1024
Other Reserved
837
6500D–ATARM–29-Feb-12
SAM3S
37.7.2 PWM Enable Register
Name: PWM_ENA
Address: 0x40020004
Access: Write-only
CHIDx: Channel ID
0 = No effect.
1 = Enable PWM output for channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
838 6500D–ATARM–29-Feb-12
SAM3S
37.7.3 PWM Disable Register
Name: PWM_DIS
Address: 0x40020008
Access: Write-only
This register can only be written if the bits WPSWS1 and WPHWS1 are cleared in “PWM Write Protect Status Register” on
page 867.
CHIDx: Channel ID
0 = No effect.
1 = Disable PWM output for channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
839
6500D–ATARM–29-Feb-12
SAM3S
37.7.4 PWM Status Register
Name: PWM_SR
Address: 0x4002000C
Access: Read-only
CHIDx: Channel ID
0 = PWM output for channel x is disabled.
1 = PWM output for channel x is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
840 6500D–ATARM–29-Feb-12
SAM3S
37.7.5 PWM Interrupt Enable Re gister 1
Name: PWM_IER1
Address: 0x40020010
Access: Write-only
CHIDx: Counter Even t on Channel x Interrupt Enable
FCHIDx: Fault Protection Trigger on Channel x Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––FCHID3FCHID2FCHID1FCHID0
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
841
6500D–ATARM–29-Feb-12
SAM3S
37.7.6 PWM Inte rru p t Disable Re gi st er 1
Name: PWM_IDR1
Address: 0x40020014
Access: Write-only
CHIDx: Counter Even t on Channel x Interrupt Disable
FCHIDx: Fault Protection Trigger on Channel x Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––FCHID3FCHID2FCHID1FCHID0
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
842 6500D–ATARM–29-Feb-12
SAM3S
37.7.7 PWM Interrupt Mask Registe r 1
Name: PWM_IMR1
Address: 0x40020018
Access: Read-only
CHIDx: Counter Even t on Channel x Interrupt Mask
FCHIDx: Fault Protection Trigger on Channel x Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––FCHID3FCHID2FCHID1FCHID0
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
843
6500D–ATARM–29-Feb-12
SAM3S
37.7.8 PWM Interrupt Status Register 1
Name: PWM_ISR1
Address: 0x4002001C
Access: Read-only
CHIDx: Counter Even t on Channel x
0 = No new counter event has occurred since the last read of the PWM_ISR1 register.
1 = At least one co unter event has occurred since the last read of the PWM_ISR1 register.
FCHIDx: Fault Protection Trigger on Channel x
0 = No new trigger of the fault protection since the last read of the PWM_ISR1 register.
1 = At least one tr igger of the fault protection since t he last read of the PWM_ISR1 register.
Note: Reading PWM_ISR1 automatically clears CHIDx and FCHIDx flags.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––FCHID3FCHID2FCHID1FCHID0
15 14 13 12 11 10 9 8
––––––––
76543210
––––CHID3CHID2CHID1CHID0
844 6500D–ATARM–29-Feb-12
SAM3S
37.7.9 PWM Sync Channels Mode Register
Name: PWM_SCM
Address: 0x40020020
Access: Read-write
This register can only be written if the bits WPSWS2 and WPHWS2 are cleared in “PWM Write Protect Status Register” on
page 867.
SYNCx: Synchronous Channel x
0 = Channel x is not a synchronous channel.
1 = Channel x is a synchronous channel.
UPDM: Synch ronous Channels Update Mode
0 = Manual write of double buffer registers and manual update of synchronous channels. The update occurs at the begin-
ning of the next PWM period, when the bit UPDULOCK in “PWM Sync Channels Update Control Register” on page 845 is
set.
1 = Manual write of double buffer registers and automatic update of synchronous channels. The update occurs when the
Update Period is elapsed.
2 = Automatic write of duty-cycle up date re gist ers by the PDC and automa ti c upd ate of synchrono us channels. The update
occurs when the Update Period is elapsed.
3 = Reserved.
PTRM: PDC Transfer Request Mode
PTRCS: PDC Transfer Request Comparison Selection
Selection of the comparison used to set the flag WRDY and the corresponding PDC transfer request.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
PTRCS PTRM UPDM
15 14 13 12 11 10 9 8
––––––––
76543210
––––SYNC3SYNC2SYNC1SYNC0
UPDM PTRM WRDY Flag and PDC Transfer Request
0x
The WRDY flag in “PWM Interrupt Status Register 2” on page 851 and the PDC transfer request
are never set to 1.
1x
The WRDY flag in “PWM Interrupt Status Register 2” on page 851 is set to 1 as soon as the
update period is elapsed, the PDC transfer request is never set to 1.
20The WRDY flag in “PWM Interrupt Status Register 2” on page 851 and the PDC transfer request
are set to 1 as soon as the update period is elapsed.
1The WRDY flag in “PWM Interrupt Status Register 2” on page 851 and the PDC transfer request
are set to 1 as soon as the selected comparison matches.
845
6500D–ATARM–29-Feb-12
SAM3S
37.7.10 PWM Sync Channels Update Control Register
Name: PWM_SCUC
Address: 0x40020028
Access: Read-write
UPDULOCK: Synchronous Channels Update Unlock
0 = No effect
1 = If the UPDM field is set to “0” in “PWM Sync Channels Mode Register” on page 844, writing the UPDULOCK bit to “1”
triggers the update of the pe riod value, the duty-cycle and the dead-time values of synchronous channels at the beginning
of the next PWM period. If the field UPDM is set to “1” or “2”, writing the UPDULOCK bit to “1” triggers only the update of
the period value and of the dead-time values of synchronous channels.
This bit is automatically reset when the update is done.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––UPDULOCK
846 6500D–ATARM–29-Feb-12
SAM3S
37.7.11 PWM Sync Channels Update Period Register
Name: PWM_SCUP
Address: 0x4002002C
Access: Read-write
UPR: Update Period
Defines the time between each update of the synchronous channels if automatic trigger of the update is activated
(UPDM = 1 or UPDM = 2 in “PWM Sync Channels Mode Register” on page 844). This time is equal to UPR+1 periods of
the synchronous channels.
UPRCNT: Update Period Counter
Reports the value of the Update Period Counter.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
UPRCNT UPR
847
6500D–ATARM–29-Feb-12
SAM3S
37.7.12 PWM Sync Channels Update Period Update Register
Name: PWM_SCUPUPD
Address: 0x40020030
Access: Write-only
This register act s as a double buffer f or the UPR value. This pr events an unexpect ed automatic t rigger of the upd ate of syn-
chronous channels.
UPRUPD: Update Period Update
Defines the wanted time between each update of the synchronous channels if automatic trigger of the update is activated
(UPDM = 1 or UPDM = 2 in “PWM Sync Channels Mode Register” on page 844). This time is equal to UPR+1 periods of
the synchronous channels.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––– UPRUPD
848 6500D–ATARM–29-Feb-12
SAM3S
37.7.13 PWM Interrupt Enable Register 2
Name: PWM_IER2
Address: 0x40020034
Access: Write-only
WRDY: Write Ready for Synchronous Channels Update Interrupt Enable
ENDTX: PDC End of TX Buffer Interrupt Enable
TXBUFE: PTX Buffer Empty Interrupt Enable
UNRE: Synchronous Channels Update Underrun Error Interrupt Enable
CMPMx: Comparison x Match Interrupt Enable
CMPUx: Comparison x Update Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CMPU7 CMPU6 CMPU5 CMPU4 CMPU3 CMPU2 CMPU1 CMPU0
15 14 13 12 11 10 9 8
CMPM7 CMPM6 CMPM5 CMPM4 CMPM3 CMPM2 CMPM1 CMPM0
76543210
––––UNRETXBUFEENDTXWRDY
849
6500D–ATARM–29-Feb-12
SAM3S
37.7.14 PWM Inte rru pt Dis able Re gi st er 2
Name: PWM_IDR2
Address: 0x40020038
Access: Write-only
WRDY: Write Ready for Synchronous Channels Update Interrupt Disable
ENDTX: PDC End of TX Buffer Interrupt Disable
TXBUFE: PDC TX Buffer Empty Interrupt Disable
UNRE: Synchronous Channels Update Underrun Error Interrupt Disabl e
CMPMx: Comparison x Match Interrupt Disable
CMPUx: Comparison x Update Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CMPU7 CMPU6 CMPU5 CMPU4 CMPU3 CMPU2 CMPU1 CMPU0
15 14 13 12 11 10 9 8
CMPM7 CMPM6 CMPM5 CMPM4 CMPM3 CMPM2 CMPM1 CMPM0
76543210
––––UNRETXBUFEENDTXWRDY
850 6500D–ATARM–29-Feb-12
SAM3S
37.7.15 PWM Interrupt Mask Register 2
Name: PWM_IMR2
Address: 0x4002003C
Access: Read-only
WRDY: Write Ready for Synchronous Channels Update Interrupt Mask
ENDTX: PDC End of TX Buffer Interrupt Mask
TXBUFE: PDC TX Buffer Empty Interrupt Mask
UNRE: Synchronous Channels Update Underrun Error Interrupt Mask
CMPMx: Comparison x Match Interrupt Mask
CMPUx: Comparison x Update Interrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CMPU7 CMPU6 CMPU5 CMPU4 CMPU3 CMPU2 CMPU1 CMPU0
15 14 13 12 11 10 9 8
CMPM7 CMPM6 CMPM5 CMPM4 CMPM3 CMPM2 CMPM1 CMPM0
76543210
––––UNRETXBUFEENDTXWRDY
851
6500D–ATARM–29-Feb-12
SAM3S
37.7.16 PWM Interrupt Status Register 2
Name: PWM_ISR2
Address: 0x40020040
Access: Read-only
WRDY: Write Ready for Synchronous Channels Update
0 = New duty-cycle and dead-time values for the synchron ous channels cannot be written.
1 = New duty-cycle and dead-time values for the synchronous channels can be written.
ENDTX: PDC End of TX Buff er
0 = The Transmit Counter register has not reached 0 since the last write of the PDC.
1 = The Transmit Counter register has reached 0 since the last write of the PDC.
TXBUFE: PDC TX Buffer Empty
0 = PWM_TCR or PWM_TCNR has a value other than 0.
1 = Both PWM_TCR and PWM_TCNR have a value other than 0.
UNRE: Synchronous Channels Update Underrun Error
0 = No Synchronous Channels Update Underrun has occurred since the last read of the PWM_ISR2 register.
1 = At least one Synchronous Channels Update Underrun has occurred since the last read of the PWM_ISR2 register.
CMPMx: Comparison x Mat ch
0 = The comparison x has not matched since the last read of the PWM_ISR2 register.
1 = The comparison x has matched at least on e time since the last read of the PWM_ISR2 register.
CMPUx: Comparison x Update
0 = The comparison x has not been updated since the last read of the PWM_ISR2 register.
1 = The comparison x has been updated at least one time since the last read of the PWM_ISR2 register.
Note: Reading PWM_ISR2 automatically clears flags WRDY, UNRE and CMPSx.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CMPU7 CMPU6 CMPU5 CMPU4 CMPU3 CMPU2 CMPU1 CMPU0
15 14 13 12 11 10 9 8
CMPM7 CMPM6 CMPM5 CMPM4 CMPM3 CMPM2 CMPM1 CMPM0
76543210
––––UNRETXBUFEENDTXWRDY
852 6500D–ATARM–29-Feb-12
SAM3S
37.7.17 PWM Output Override Value Register
Name: PWM_OOV
Address: 0x40020044
Access: Read-write
OOVHx: Output Override Value for PWMH outpu t of the channel x
0 = Override value is 0 for PWMH output of channel x.
1 = Override value is 1 for PWMH output of channel x.
OOVLx: Output Override Value for PWML output of the channel x
0 = Override value is 0 for PWML output of channel x.
1 = Override value is 1 for PWML output of channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OOVL3OOVL2OOVL1OOVL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OOVH3OOVH2OOVH1OOVH0
853
6500D–ATARM–29-Feb-12
SAM3S
37.7.18 PWM Output Selection Register
Name: PWM_OS
Address: 0x40020048
Access: Read-write
OSHx: Output Sele ction for PWMH output of the channel x
0 = Dead-time generator output DTOHx selected as PWMH output of channel x.
1 = Output override value OOVHx selected as PWMH output of channel x.
OSLx: Output Selection for PWML output of the channel x
0 = Dead-time gene rator output DTOLx selected as PWML output of channel x.
1 = Output override value OOVLx selected as PWML output of channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OSL3OSL2OSL1OSL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OSH3OSH2OSH1OSH0
854 6500D–ATARM–29-Feb-12
SAM3S
37.7.19 PWM Output Selection Set Register
Name: PWM_OSS
Address: 0x4002004C
Access: Write-only
OSSHx: Output Selection Set for PWMH output of the channel x
0 = No effect.
1 = Output override value OOVHx selected as PWMH output of channel x.
OSSLx: Output Selection Set for PWML output of the channel x
0 = No effect.
1 = Output override value OOVLx selected as PWML output of channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OSSL3OSSL2OSSL1OSSL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OSSH3OSSH2OSSH1OSSH0
855
6500D–ATARM–29-Feb-12
SAM3S
37.7.20 PWM Output Selection Clear Register
Name: PWM_OSC
Address: 0x40020050
Access: Write-only
OSCHx: Output Selection Clear fo r PWMH output of the channel x
0 = No effect.
1 = Dead-time generator output DTOHx selected as PWMH output of channel x.
OSCLx: Output Selection Clear for PWML output of the channel x
0 = No effect.
1 = Dead-time gene rator output DTOLx selected as PWML output of channel x.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OSCL3OSCL2OSCL1OSCL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OSCH3OSCH2OSCH1OSCH0
856 6500D–ATARM–29-Feb-12
SAM3S
37.7.21 PWM Output Selection Set Update Register
Name: PWM_OSSUPD
Address: 0x40020054
Access: Write-only
OSSUPHx: Output Selection Set for PWMH output of the channel x
0 = No effect.
1 = Output override value OOVHx selected as PWMH output of channel x at the beginning of the next channel x PWM
period.
OSSUPLx: Output Sele ction Set for PWML output of th e channel x
0 = No effect.
1 = Output override value OOVLx selected as PWML output of channel x at the be ginning of the next channel x PWM
period.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OSSUPL3OSSUPL2OSSUPL1OSSUPL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OSSUPH3OSSUPH2OSSUPH1OSSUPH0
857
6500D–ATARM–29-Feb-12
SAM3S
37.7.22 PWM Output Selection Clea r Update Register
Name: PWM_OSCUPD
Address: 0x40020058
Access: Write-only
OSCUPHx: Output Selection Cle ar for PWMH output of the channel x
0 = No effect.
1 = Dead-time generator output DTOHx selected as PWMH output of channel x at the beginning of the next channel x
PWM period.
OSCUPLx: Output Selection Clear for PWML output of the channel x
0 = No effect.
1 = Dead-time gene rator o utput DTO Lx selected as PWM L output o f channel x at the beg inning o f the ne xt chann el x PWM
period.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––OSCUPL3OSCUPL2OSCUPL1OSCUPL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––OSCUPH3OSCUPH2OSCUPH1OSCUPH0
858 6500D–ATARM–29-Feb-12
SAM3S
37.7.23 PWM Fault Mode Register
Name: PWM_FMR
Address: 0x4002005C
Access: Read-write
This register can only be written if the bits WPSWS5 and WPHWS5 are cleared in “PWM Write Protect Status Register” on
page 867.
FPOL: Fault Polarity (fault input bit varies from 0 to 5)
For each field bit y (fault input number ):
0 = The fault y becomes active when the fa ult input y is at 0.
1 = The fault y becomes active when the fa ult input y is at 1.
FMOD: Fault Activation Mode (fault input bit varies from 0 t o 5)
For each field bit y (fault input number ):
0 = The fault y is active as long as bit y of FPOL field is set.
1 = The fault y becomes ac tive as soon as bit y of FPOL field is set. The fault y stays active until bit y of FPOL field is
unset AND until it is cleared in “PWM Fault Clear Register” on page 860.
FFIL: Fault Filtering (fault input bit varies from 0 to 5)
For each field bit y (fault input number ):
0 = The fault input y is not filtered.
1 = The fault input y is filtered.
CAUTION: To prevent a n unexpected activa ti on of t he sta t us fl ag FSy in t he “PWM Fault Status Register” on page 859, the
bit FMODy can be set to “1” only if the FPOLy bit has been previously configured to its final value.
31 30 29 28 27 26 25 24
23 22 21 20 19 18 17 16
FFIL
15 14 13 12 11 10 9 8
FMOD
76543210
FPOL
859
6500D–ATARM–29-Feb-12
SAM3S
37.7.24 PWM Fault Status Register
Name: PWM_FSR
Address: 0x40020060
Access: Read-only
FIV: Fault Input Value (fault input bit varies from 0 to 5)
For each field bit y (fault input number ):
0 = The current sampled va lue of the fault input y is 0 (after filtering if enabled).
1 = The current sampled va lue of the fault input y is 1 (after filtering if enabled).
FS: Fault Status (fault input bit varies from 0 to 5)
For each field bit y (fault input number ):
0 = The fault y is not currently active.
1 = The fault y is currently acti ve.
31 30 29 28 27 26 25 24
23 22 21 20 19 18 17 16
15 14 13 12 11 10 9 8
FS
76543210
FIV
860 6500D–ATARM–29-Feb-12
SAM3S
37.7.25 PWM Fault Clear Register
Name: PWM_FCR
Address: 0x40020064
Access: Write-only
FCLR: Fault Clear (fa ult input bit va ries from 0 to 5)
For each field bit y (fault input number ):
0 = No effect.
1 = If bit y of FMOD field is set to 1 and if the fau lt input y is not at the level defi ned by the bi t y of FPOL field, the fault y
is cleared and becomes inactive (FMOD and FPOL fields belong to “PWM Fault Mode Register” on page 858), else
writing this bit to 1 has no effect.
31 30 29 28 27 26 25 24
23 22 21 20 19 18 17 16
15 14 13 12 11 10 9 8
76543210
FCLR
861
6500D–ATARM–29-Feb-12
SAM3S
37.7.26 PWM Fault Protection Value Register
Name: PWM_FPV
Address: 0x40020068
Access: Read-write
This register can only be written if the bits WPSWS5 and WPHWS5 are cleared in “PWM Write Protect Status Register” on
page 867.
FPVHx: Fault Protection Value for PWMH output on channel x
0 = PWMH output of channel x is forc ed to 0 when fault occurs.
1 = PWMH output of channel x is forc ed to 1 when fault occurs.
FPVLx: Fault Protection Value for PWML output on channel x
0 = PWML output of channel x is forced to 0 when fault occurs.
1 = PWML output of channel x is forced to 1 when fault occurs.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––FPVL3FPVL2FPVL1FPVL0
15 14 13 12 11 10 9 8
––––––––
76543210
––––FPVH3FPVH2FPVH1FPVH0
862 6500D–ATARM–29-Feb-12
SAM3S
37.7.27 PWM Fault Protection Enable Register
Name: PWM_FPE
Address: 0x4002006C
Access: Read-write
This register can only be written if the bits WPSWS5 and WPHWS5 are cleared in “PWM Write Protect Status Register” on
page 867.
Only the first 6 bits (number of fault input pins) of fields FPE0, FPE1, FPE2 and FPE3 are significant .
FPEx: F ault Protection Enable for channel x (fault input bit varies from 0 to 5)
For each field bit y (fault input number ):
0 = Fault y is not used for the Fault Protection of channel x.
1 = Fault y is used for the Fault Protection of channel x.
CAUTION: To prevent an unexpected activation of the Fault Protection, the bit y of FPEx field can be set to “1” only if the
corresponding FPOL bit has been previously configured to its final value in “PWM Fault Mode Register” on page 858.
31 30 29 28 27 26 25 24
FPE3
23 22 21 20 19 18 17 16
FPE2
15 14 13 12 11 10 9 8
FPE1
76543210
FPE0
863
6500D–ATARM–29-Feb-12
SAM3S
37.7.28 PWM Event Line x Register
Name: PWM_ELxMR
Address: 0x4002007C
Access: Read-write
CSELy: Comparison y Selection
0 = A pulse is not generate d on the event line x when the comparison y matches.
1 = A pulse is generated on the event line x when the comparison y match.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
CSEL7 CSEL6 CSEL5 CSEL4 CSEL3 CSEL2 CSEL1 CSEL0
864 6500D–ATARM–29-Feb-12
SAM3S
37.7.29 PWM Stepper Motor Mode Register
Name: PWM_SMMR
Address: 0x400200B0
Access: Read-write
GCENx: Gray Count ENable
0 = Disable gray count generation on PWML[2*x], PWMH[2*x], PWML[2*x +1], PWMH[2*x +1]
1 = enable gray count generation on PWML[2*x], PWMH[2*x], PWML[2 *x +1], PWMH[ 2*x +1.
DOWNx: DOWN Count
0 = Up counter.
1 = Down counter.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––– DOWN1DOWN0
15 14 13 12 11 10 9 8
––––––––
76543210
–––– GCEN1GCEN0
865
6500D–ATARM–29-Feb-12
SAM3S
37.7.30 PWM Write Protect Control Register
Name: PWM_WPCR
Address: 0x400200E4
Access: Write-only
WPCMD: Write Protect Command
This command is performed only if the WPKEY value is correct.
0 = Disable the Write Protect SW of the register groups of which the bit WPRGx is at 1.
1 = Enable the Write Protect SW of the register groups of which the bit WPRGx is at 1.
2 = Enable the Write Protect HW of the register groups of which the bit WPRGx is at 1.
3 = No effect.
Note: Only a hardware reset of the PWM controller can disable the Write Protect HW.
WPRGx: Write Protect Register Group x
0 = The WPCMD command has no effect on the register group x.
1 = The WPCMD command is applied to the register group x.
WPKEY: Write Protect Key
Should be writte n at value 0x 50574D (“PWM” in ASCII) . Writing any o ther value in thi s field abor ts the write o peration of t he
WPCMD field. Always reads as 0.
List of register groups:
Register group 0:
“PWM Clock Register” on page 836
Register group 1:
“PWM Disable Register” on page 838
Register group 2:
“PWM Sync Channels Mode Register” on page 844
“PWM Channel Mode Register” on page 872
“PWM Stepper Motor Mode Register” on page 864
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
WPRG5 WPRG4 WPRG3 WPRG2 WPRG1 WPRG0 WPCMD
866 6500D–ATARM–29-Feb-12
SAM3S
Register group 3:
“PWM Channel Perio d Register” on page 876
“PWM Channel Perio d Update Register” on page 877
Register group 4:
“PWM Channel Dead Time Register” on page 879
“PWM Channel Dead Tim e Update Register” on page 880
Register group 5:
“PWM Fault Mode Register” on page 858
“PWM Fault Protection Value Register” on page 861
867
6500D–ATARM–29-Feb-12
SAM3S
37.7.31 PWM Write Pr otect Status Register
Name: PWM_WPSR
Address: 0x400200E8
Access: Read-only
WPSWSx: Write Protect SW Status
0 = The Write Protect SW x of the register group x is disabled.
1 = The Write Protect SW x of the register group x is enabled.
WPHWSx: Write Protect HW Status
0 = The Write Protect HW x of the register group x is disabled.
1 = The Write Protect HW x of the register group x is enabled.
WPVS: Write Protect Violation Status
0 = No Write Protect violation has occurred since the last read of the PWM_WPSR register.
1 = At least one Write Protect violation has occurred since the last read of the PWM_WPSR register. If this violation is an
unauthorized attempt to write a protected register, the associated violation is reported into field WPVSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset) in which a write access has
been attempted.
Note: The two LSBs of the address offset of the write-protected register are not reported
Note: Reading PWM_WPSR automatically clears WPVS and WPVSRC fields.
31 30 29 28 27 26 25 24
WPVSRC
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPHWS5 WPHWS4 WPHWS3 WPHWS2 WPHWS1 WPHWS0
76543210
WPVS WPSWS5 WPSWS4 WPSWS3 WPSWS2 WPSWS1 WPSWS0
868 6500D–ATARM–29-Feb-12
SAM3S
37.7.32 PWM Comparison x Value Register
Name: PWM_CMPxV
Addresses: 0x400201 30 [0], 0 x40020140 [1 ], 0x4002015 0 [2], 0x4002 0160 [3], 0x40020170 [4] , 0x4002018 0 [5],
0x40020190 [6], 0x400201A0 [7]
Access: Read-write
Only the first 16 bits (cha nnel counter size) of field CV are significan t.
CV: Comparison x Value
Define the comparison x value to be compared with the counter of the channel 0.
CVM: Comparison x Value Mode
0 = The comparison x between the coun ter of the channel 0 and the comparison x value is performed when this counter is
incrementing.
1 = The comparison x between the coun ter of the channel 0 and the comparison x value is performed when this counter is
decrementing.
Note: This bit is useless if the counter of the chann el 0 is left aligned (CALG = 0 in “PWM Channel Mode Register” on page 872)
31 30 29 28 27 26 25 24
–––––––CVM
23 22 21 20 19 18 17 16
CV
15 14 13 12 11 10 9 8
CV
76543210
CV
869
6500D–ATARM–29-Feb-12
SAM3S
37.7.33 PWM Comparison x Value Update Register
Name: PWM_CMPxVUPD
Addresses: 0x400201 34 [0], 0 x40020144 [1 ], 0x4002015 4 [2], 0x4002 0164 [3], 0x40020174 [4] , 0x4002018 4 [5],
0x40020194 [6], 0x400201A4 [7]
Access: Write-only
This register acts as a double buffer for the CV and CVM values. This prevents an unexpected comparison x match.
Only the first 16 bits (channel counter size) of field CVUPD are significant.
CVUPD: Comparison x Value Up date
Define the comparison x value to be compared with the counter of the channel 0.
CVMUPD: Comparison x Value Mode Update
0 = The comparison x between the coun ter of the channel 0 and the comparison x value is performed when this counter is
incrementing.
1 = The comparison x between the coun ter of the channel 0 and the comparison x value is performed when this counter is
decrementing.
Note: This bit is useless if the counter of the chann el 0 is left aligned (CALG = 0 in “PWM Channel Mode Register” on page 872)
CAUTION: to be taken into account, the write of the register PWM_CMPxVUPD must be followed by a write of the register
PWM_CMPxMUPD.
31 30 29 28 27 26 25 24
–––––––CVMUPD
23 22 21 20 19 18 17 16
CVUPD
15 14 13 12 11 10 9 8
CVUPD
76543210
CVUPD
870 6500D–ATARM–29-Feb-12
SAM3S
37.7.34 PWM Comparison x Mode Register
Name: PWM_CMPxM
Addresses: 0x400201 38 [0], 0 x40020148 [1 ], 0x4002015 8 [2], 0x4002 0168 [3], 0x40020178 [4] , 0x4002018 8 [5],
0x40020198 [6], 0x400201A8 [7]
Access: Read-write
CEN: Comparison x Enable
0 = The comparison x is disabled and can not match .
1 = The comparison x is enabled and can mat ch.
CTR: Comparison x Trigger
The comparison x is performed when the value of the comparison x period counter (CPRCNT) reaches the value defined
by CTR.
CPR: Comparison x Period
CPR defines the maximum value of the comparison x period counter (CPRCNT). The comparison x value is performed
periodically once every CPR+1 periods of the channel 0 counter.
CPRCNT: Comparison x Period Counter
Reports the value of the comparison x period counter.
Note: The field CPRCNT is read-only
CUPR: Comparison x Update Period
Defines the time between each update of the comparison x mode and the comparison x value. This time is equal to
CUPR+1 periods of the channel 0 counter.
CUPRCNT: Comparison x Update Period Counter
Reports the value of the comparison x update period counter.
Note: The field CUPRCNT is read-on ly
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CUPRCNT CUPR
15 14 13 12 11 10 9 8
CPRCNT CPR
76543210
CTR CEN
871
6500D–ATARM–29-Feb-12
SAM3S
37.7.35 PWM Comparison x Mode Update Register
Name: PWM_CMPxMUPD
Addresses: 0x4002013C [0], 0x4002014C [1], 0x4002015C [2], 0x4002016C [3], 0x4002017C [4],
0x4002018C[5], 0x4002019C [6], 0x400201AC [7]
Access: Write-only
This register acts as a double buffer for the CEN, CTR, CPR and CUPR values. This prevents an unexpected comparison
x match.
CENUPD: Comparison x Enable Update
0 = The comparison x is disabled and can not match .
1 = The comparison x is enabled and can mat ch.
CTRUPD: Comparison x Trigger Update
The comparison x is performed when the value of the comparison x period counter (CPRCNT) reaches the value defined
by CTR.
CPRUPD: Comparison x Period Update
CPR defines the maximum value of the comparison x period counter (CPRCNT). The comparison x value is performed
periodically once every CPR+1 periods of the channel 0 counter.
CUPRU PD: Comparison x Update Per iod Update
Defines the time between each update of the comparison x mode and the comparison x value. This time is equal to
CUPR+1 periods of the channel 0 counter.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––– CUPRUPD
15 14 13 12 11 10 9 8
–––– CPRUPD
76543210
CTRUPD CENUPD
872 6500D–ATARM–29-Feb-12
SAM3S
37.7.36 PWM Channel Mode Register
Name: PWM_CMRx [x=0..3]
Addresses: 0x40020200 [0], 0x40020220 [1], 0x40020240 [2], 0x40020260 [3]
Access: Read-write
This register can only be written if the bits WPSWS2 and WPHWS2 are cleared in “PWM Write Protect Status Register” on
page 867.
CPRE: Channel Pre-scaler
CALG: Channel Alignment
0 = The period is left aligned.
1 = The period is center aligned.
CPOL: Channel Polarity
0 = The OCx output waveform (output from the comparator) starts at a low level.
1 = The OCx output waveform (output from the comparator) starts at a high level.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
–––––DTLIDTHIDTE
15 14 13 12 11 10 9 8
–––––CESCPOLCALG
76543210
–––– CPRE
CPRE Channel Pre-scaler
0000MCK
0001MCK/2
0010MCK/4
0011MCK/8
0100MCK/16
0101MCK/32
0110MCK/64
0111MCK/128
1000MCK/256
1001MCK/512
1 0 1 0 MCK/1024
1011CLKA
1100CLKB
Other Reserved
873
6500D–ATARM–29-Feb-12
SAM3S
CES: Counter Event Selection
The bit CES defines when the channel counter event occurs when the period is center aligned (flag CHIDx in the “PWM
Interrupt Status Register 1” on page 843).
CALG = 0 (Left Alignment):
0/1 = The channel counter event occurs at the end of the PWM period.
CALG = 1 (Center Alignment):
0 = The channel counter event occurs at the end of the PWM per iod.
1 = The channel counter event occurs at the end of the PWM per iod and at half the PWM period.
DTE: Dead-Time Generator Enable
0 = The dead-time generator is disabled.
1 = The dead-time generator is enabled.
DTHI: Dead-T ime PWMHx Output Inverted
0 = The dead-time PWM Hx ou tp ut is not inve r ted .
1 = The dead-time PWM Hx ou tp ut is inver te d.
DTLI: Dead-Ti m e PWM Lx Ou tp u t Inverted
0 = The dead-time PWMLx output is not inverted.
1 = The dead-time PWMLx output is inverted.
874 6500D–ATARM–29-Feb-12
SAM3S
37.7.37 PWM Channel Duty Cycle Register
Name: PWM_CDTYx [x=0..3]
Addresses: 0x40020204 [0], 0x40020224 [1], 0x40020244 [2], 0x40020264 [3]
Access: Read-write
Only the first 16 bits (channel counter size) are significant.
CDTY: Channel Duty-Cycle
Defines the waveform du ty-cycle. This value must be defined between 0 and CPRD (PWM_CPRx).
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CDTY
15 14 13 12 11 10 9 8
CDTY
76543210
CDTY
875
6500D–ATARM–29-Feb-12
SAM3S
37.7.38 PWM Channel Duty Cycle Update Register
Name: PWM_CDTYUPDx [x=0..3]
Addresses: 0x40020208 [0], 0x40020228 [1], 0x40020248 [2], 0x40020268 [3]
Access: Write-only.
This registe r acts as a double buff er for the CDTY va lue. This pr events an unexpected wa veform when modif ying the wave-
form duty-cycle.
Only the first 16 bits (channel counter size) are significant.
CDTYUPD: Channel Duty-Cycle Update
Defines the waveform du ty-cycle. This value must be defined between 0 and CPRD (PWM_CPRx).
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CDTYUPD
15 14 13 12 11 10 9 8
CDTYUPD
76543210
CDTYUPD
876 6500D–ATARM–29-Feb-12
SAM3S
37.7.39 PWM Channel Period Register
Name: PWM_CPRDx [x=0..3]
Addresses: 0x4002020C [0], 0x4002022C [1], 0x4002024C [2], 0x4002026C [3]
Access: Read-write
This register can only be written if the bits WPSWS3 and WPHWS3 are cleared in “PWM Write Protect Status Register” on
page 867.
Only the first 16 bits (channel counter size) are significant.
CPRD: Channel Period
If the waveform is left-aligned, then the output waveform period depends on the channel counter source clock and can be
calculated:
By using the PWM master clock (MCK) divided by an X given prescaler value (with X being 1, 2, 4, 8, 16, 32,
64, 128, 256, 512, or 1024). The resulting period formula will be:
By using the PWM ma ste r clock (MCK) divide d by one of both DIVA or DIVB div ide r, the for mula be co m es,
respectively:
or
If the waveform is center-aligned, then the output waveform period depends on the channel counter source clock and can
be calculated:
By using the PWM master clock (MCK) divided by an X given prescaler value (with X being 1, 2, 4, 8, 16, 32,
64, 128, 256, 512, or 1024). The resulting period formula will be:
By using the PWM ma ste r clock (MCK) divide d by one of both DIVA or DIVB div ide r, the for mula be co m es,
respectively:
or
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CPRD
15 14 13 12 11 10 9 8
CPRD
76543210
CPRD
XCPRD×()
MCK
----------------------------------
CRPD DIVA×()
MCK
---------------------------------------------
CRPD DIVB×()
MCK
---------------------------------------------
2XCPRD××()
MCK
--------------------------------------------
2CPRD DIVA××()
MCK
-------------------------------------------------------
2CPRD×DIVB×()
MCK
-------------------------------------------------------
877
6500D–ATARM–29-Feb-12
SAM3S
37.7.40 PWM Channel Period Update Register
Name: PWM_CPRDUPDx [x=0..3]
Addresses: 0x40020210 [0], 0x40020230 [1], 0x40020250 [2], 0x40020270 [3]
Access: Write-only
This register can only be written if the bits WPSWS3 and WPHWS3 are cleared in “PWM Write Protect Status Register” on
page 867.
This register acts as a double buffer for the CPRD value. This prevents an unexpected waveform when modifying the
waveform period.
Only the first 16 bits (channel counter size) are significant.
CPRDUPD: Channel Period Update
If the waveform is left-aligned, then the output waveform period depends on the channel counter source clock and can be
calculated:
By using the PWM master clock (MCK) divided by an X given prescaler value (with X being 1, 2, 4, 8, 16, 32,
64, 128, 256, 512, or 1024). The resulting period formula will be:
By using the PWM ma ste r clock (MCK) divide d by one of both DIVA or DIVB div ide r, the for mula be co m es,
respectively:
or
If the waveform is center-aligned, then the output waveform period depends on the channel counter source clock and can
be calculated:
By using the PWM master clock (MCK) divided by an X given prescaler value (with X being 1, 2, 4, 8, 16, 32,
64, 128, 256, 512, or 1024). The resulting period formula will be:
By using the PWM ma ste r clock (MCK) divide d by one of both DIVA or DIVB div ide r, the for mula be co m es,
respectively:
or
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CPRDUPD
15 14 13 12 11 10 9 8
CPRDUPD
76543210
CPRDUPD
X CPRDUPD×()
MCK
-----------------------------------------------
CRPDUPD DIVA×()
MCK
-----------------------------------------------------------
CRPDUPD DIVB×()
MCK
-----------------------------------------------------------
2X CPRDUPD××()
MCK
----------------------------------------------------------
2CPRDUPD DIVA××()
MCK
---------------------------------------------------------------------
2CPRDUPD×DIVB×()
MCK
---------------------------------------------------------------------
878 6500D–ATARM–29-Feb-12
SAM3S
37.7.41 PWM Channel Counter Register
Name: PWM_CCNTx [x=0..3]
Addresses: 0x40020214 [0], 0x40020234 [1], 0x40020254 [2], 0x40020274 [3]
Access: Read-only
Only the first 16 bits (channel counter size) are significant.
CNT: Channel Counter Register
Channel counter value. This register is reset when:
the channel is enabled (writing CHIDx in the PWM_ENA register).
the channel counter reaches CPRD value defined in the PWM_CPRDx register if the waveform is left aligned.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
CNT
15 14 13 12 11 10 9 8
CNT
76543210
CNT
879
6500D–ATARM–29-Feb-12
SAM3S
37.7.42 PWM Channel Dead Time Register
Name: PWM_DTx [x=0..3]
Addresses: 0x40020218 [0], 0x40020238 [1], 0x40020258 [2], 0x40020278 [3]
Access: Read-write
This register can only be written if the bits WPSWS4 and WPHWS4 are cleared in “PWM Write Protect Status Register” on
page 867.
Only the first 12 bits (dea d- tim e co un te r size ) of fie l ds DTH and DT L ar e sig nific an t.
DTH: Dead-Time Value for PWMHx Output
Defines the dead-time value for PWMHx output. This value must be de fined between 0 and CPRD-CDTY (PWM_CPRx
and PWM_CDTYx).
DTL: Dead-Time Value for PWMLx Output
Defines the dead -time value for PWMLx output. This value must be defined between 0 and CDTY (PWM_CDTYx).
31 30 29 28 27 26 25 24
DTL
23 22 21 20 19 18 17 16
DTL
15 14 13 12 11 10 9 8
DTH
76543210
DTH
880 6500D–ATARM–29-Feb-12
SAM3S
37.7.43 PWM Channel Dead Time Update Register
Name: PWM_DTUPDx [x=0..3]
Addresses: 0x4002021C [0], 0x4002023C [1], 0x4002025C [2], 0x4002027C [3]
Access: Write-only
This register can only be written if the bits WPSWS4 and WPHWS4 are cleared in “PWM Write Protect Status Register” on
page 867.
This register acts as a double buffer for the DTH and DTL values. This preve nts an unex pect ed wa vefor m whe n modif ying
the dead-time va lue s.
Only the first 12 bits (dead-time counter size) of fields DTHUPD and DTLUPD are significant .
DTHUPD: Dead-Time Value Update for PWMHx Output
Defines the dead-time value for PWMHx output. This value must be de fined between 0 and CPRD-CDTY (PWM_CPRx
and PWM_CDTYx). This value is applied only at the beginning of the next channel x PWM period.
DTLUPD: Dead-Time Value Update for PWMLx Output
Defines the dead-time value for PWMLx output. This value must be defined between 0 and CDTY (PWM_CDTYx). This
value is applied only at the beginning of the next channel x PWM period.
31 30 29 28 27 26 25 24
DTLUPD
23 22 21 20 19 18 17 16
DTLUPD
15 14 13 12 11 10 9 8
DTHUPD
76543210
DTHUPD
881
6500D–ATARM–29-Feb-12
SAM3S
38. USB Device Port (UDP)
38.1 Description The USB Device Port (UDP) is compliant with the Universal Serial Bus (USB) V2.0 full-speed
device specification.
Each endpoint can be config ure d in one of several USB tra nsfer t ypes. It can be associa ted with
one or two banks of a dual-port RAM used to store the current data payload. If two banks are
used, one DPR bank is read or written by the processor, while the other is read or written by the
USB device peripheral. This feature is mandatory for isochronous endpoints. Thus the device
maintains the maximum bandwidth (1M bytes/s) by working with endpoints with two banks of
DPR.
Note: 1. The Dual-Bank function provides two banks for an endpoint. This feature is used for ping-pong mode.
Suspend and resume are automatically detected by the USB device, which notifies the proces-
sor by raising an interrupt. Depending on the product, an external signal can be used to send a
wake up to the USB host cont ro ller .
38.2 Embedded Characteristics
USB V2.0 full-speed compliant,12 Mbits per second.
Embedded USB V2.0 full-speed transceiver
Embedded 2688 -byte dual-port RAM for endpoints
Eight endpoints
Endpoint 0: 64 bytes
Endpoint 1 and 2: 64 bytes ping-pong
Endpoint 3: 64 bytes
Endpoint 4 and 5: 512 bytes ping-pong
Endpoint 6 and 7: 64 bytes ping-pong
Ping-pong Mode (two memory banks) for Is ochronous and bulk endpoints
Suspend/resume logic
Integrated Pull-up on DDP
Pull-down resistor on DDM and DDP when disabled
Table 38-1. USB Endpoint Description
Endpoint Number Mnemonic Dual-Bank(1) Max. Endpoint Size Endpoint Type
0 EP0 No 64 Control/Bulk/Interrupt
1 EP1 Yes 64 Bulk/Iso/Interrupt
2 EP2 Yes 64 Bulk/Iso/Interrupt
3 EP3 No 64 Control/Bulk/Interrupt
4 EP4 Yes 512 Bulk/Iso/Interrupt
5 EP5 Yes 512 Bulk/Iso/Interrupt
6 EP6 Yes 64 Bulk/Iso/Interrupt
7 EP7 Yes 64 Bulk/Iso/Interrupt
882 6500D–ATARM–29-Feb-12
SAM3S
38.3 Block Diagram
Figure 38-1. Block Diagram
Access to the UDP is via the APB bus interface. Read and write to the data FIFO are done by
reading and writing 8-bit values to APB registers.
The UDP peripheral requires two clocks: one peripheral clock used by the Master Clock domain
(MCK) and a 48 MHz clock (UDPCK) used by the 12 MHz domain.
A USB 2.0 full-speed pad is embedded and controlled by the Serial Interface Engine (SIE).
The signal external_resume is optional. It allows the UDP peripheral to wake up once in system
mode. The host is then notified that the device asks for a resume. This optional feature must
also be negotiated with the host during the enumeration.
38.3.1 Signal Description
Atmel Bridge
12 MHz
Suspend/Resume Logic
W
r
a
p
p
e
r
W
r
a
p
p
e
r
U
s
e
r
I
n
t
e
r
f
a
c
e
Serial
Interface
Engine
SIE
MCK
Master Clock
Domain
Dual
Port
RAM
FIFO
UDPCK
Recovered 12 MHz
Domain
udp_int
USB Device
Embedded
USB
Transceiver
DP
DM
external_resume
APB
to
MCU
Bus
txoen
eopn
txd
rxdm
rxd
rxdp
Table 38-2. Signal Names
Signal Name Description Type
UDPCK 48 MHz clock input
MCK Mas ter clock input
udp_int Interrupt line connected to the Advanced Interrupt
Controller (AIC) input
DDP USB D+ line I/O
DDM USB D- line I/O
883
6500D–ATARM–29-Feb-12
SAM3S
38.4 Product Dependencies
For further d etails on the U SB Device hardware implementatio n, see the specif ic Product Prop -
erties document.
The USB physical transceiver is integrated into the product. The bidirectional differential signals
DDP and DDM are available from the product boundary.
One I/O line may be used by the application to check that VB US is still available from the host.
Self-powered devices may use this entry to be notified that the host h as been powered off. In
this case, the pull-up on DP must be disab led in order to prevent feedin g current to the host. The
application should di sconnect the transceiver, then remove the pull-up.
38.4.1 I/O Lines The USB pins are shared with PI O lines. By defa ult, th e USB function is act ivated, and pins DDP
and DDM are used for USB. To configur e DDP or DDM a s PIOs, the u ser need s to conf igure the
system I/O configuration register (CCFG_SYSIO) in the MATRIX.
38.4.2 Power Management
The USB device peripheral requires a 48 MHz clock. This clock must be generated by a PLL
with an accuracy of ± 0.25%.
Thus, the USB device receives two clocks from the Power Management Controller (PMC): the
master clock, MCK, used to drive the peripheral user interface, a nd the UDPCK, used to inter-
face with the bus USB signals (reco vered 12 MHz domain).
WARNING: The UDP peripheral clock in the Power Management Controller (PMC) must be
enabled before any read/write operations to the UDP registers including the UDP_TXVC
register.
38.4.3 Interrupt The USB device inte rface has an interrupt line connected to th e Interrupt Controller.
Handling the USB device interrupt requires programming the Interrupt Controller before
configuring the UDP.
Table 38-3. Peripheral IDs
Instance ID
UDP 34
884 6500D–ATARM–29-Feb-12
SAM3S
38.5 Typical Connection
Figure 38-2. Board Schematic to Interface Device Peripheral
38.5.1 USB Device Transceiver
The USB device transceiver is embedded in the product. A few discrete components are
required as follows:
the application detects all device states as defined in chapter 9 of the USB specification;
–VBUS monitoring
to reduce power consumption the host is disconne cted
for line termination.
38.5.2 VBUS Monitoring
VBUS monitoring is required to detect host connection. VBUS monitoring is done using a stan-
dard PIO with inte rnal pull-up disab led. When the h ost is switched off, it sh ould be co nsidered as
a disconnect, the p ull-up must be disable d in order to p revent powering the h ost through the p ull-
up resistor.
When the host is disc onnected and the tr ansceiver is en abled, then DDP and DDM ar e floating .
This may lead to over consumption. A solution is to enable the integrated pull-down by disabling
the transceiver (TXVDIS = 1) and then remove the pull-up (PUON = 0).
A termination serial resistor must be connected to DDP and DDM. The resistor value is defined
in the electrical specification of the product (REXT).
R
EXT
R
EXT
DDM
DDP
PIO 27 K
47 K
Type B
Connector
12
34
5V Bus Monitoring
885
6500D–ATARM–29-Feb-12
SAM3S
38.6 Functional Description
38.6.1 USB V2.0 Full-speed Introduction
The USB V2.0 full-speed provides commun ication services between host and attached USB
devices. Each device is offered with a collection of communication flows (pipes) associated with
each endpoint. Software on the host communicat es with a USB device through a set of commu-
nication flows.
Figure 38-3. Example of USB V2.0 Full-speed Communication Control
The Control Transfer endpoint EP0 is always used when a USB device is first configured (USB v. 2.0 specifications).
38.6.1.1 USB V2.0 Full-speed Transfer Types
A communication flow is carried over one of four transfer types defined by the USB device.
38.6.1.2 USB Bus Transactions
Each transfer results in one or more transactions over the USB bus. There are three kinds of
transactions flowing across the bus in packets:
EP0
USB Host V2.0
Software Client 1 Software Client 2
Data Flow: Bulk Out Transfer
Data Flow: Bulk In Transfer
Data Flow: Control Transfer
Data Flow: Control Transfer
EP1
EP2
USB Device 2.0
Block 1
USB Device 2.0
Block 2
EP5
EP4
EP0
Data Flow: Isochronous In Transfer
Data Flow: Isochronous Out Transfer
USB Device endpoint configuration requires that
in the first instance Control Transfer must be EP0.
Table 38-4. USB Communication Flow
Transfer Direction Bandwidth Supported Endpoint Size Error Detection Retrying
Control Bidirectional Not guaranteed 8, 16, 32, 64 Yes Automatic
Isochronous Unidirectional Guaranteed 512 Yes No
Interrupt Unidirectional Not guaranteed 64 Yes Yes
Bulk Unidirectional Not guaranteed 8, 16, 32, 64 Yes Yes
886 6500D–ATARM–29-Feb-12
SAM3S
1. Setup Transaction
2. Data IN Transaction
3. Data OUT Transaction
38.6.1.3 USB Transfer Event Definitions
As indicated below, transfers are sequential events carried ou t on the USB bus.
Notes: 1. Control transfer must use endpoints with no ping-pong attributes.
2. Isochronous transfers must use endpoints with ping-pong attributes .
3. Control transfers can be aborted using a stall handshake.
A status transaction is a special type of host-to- device transaction used only in a contr ol transfer.
The control transfer must be performed using endpoints with no ping-pong attributes. According
to the control sequence (read or write), the USB device sends or receives a status transaction.
Table 38-5. USB Transfer Events
Control Transf ers(1) (3)
Setup transaction > Data IN transactions > Status
OUT transaction
Setup transaction > Dat a OUT tr ansact ions > Status
IN transaction
Setup transaction > Status IN transaction
Interrupt IN Transfer
(device toward host) Data IN transaction > Data IN transaction
Interrupt OUT Transfer
(host toward device ) Data OUT transaction > Data OUT transaction
Isochronous IN Transfer(2)
(device toward host) Data IN transaction > Data IN transaction
Isochronous OUT Transfer(2)
(host toward device ) Data OUT transaction > Data OUT transaction
Bulk IN Transfer
(device toward host) Data IN transaction > Data IN transaction
Bulk OUT Transfer
(host toward device ) Data OUT transaction > Data OUT transaction
887
6500D–ATARM–29-Feb-12
SAM3S
Figure 38-4. Control Read and Write Sequences
Notes: 1. During the Status IN stage, the host waits for a zero length packe t (Data IN transaction with no data) from the device using
D ATA1 PID. Refer to Chapter 8 of the Univ ersal Serial Bus Specification, Rev. 2.0, f or more in f ormation on th e protoco l la y er.
2. During the Status OUT stage, the host emits a zero length packet to the device (Data OUT transaction with no data).
38.6.2 Handling Transactions with USB V2.0 Device Peripheral
38.6.2.1 Setup Transaction
Setup is a special type of host- to- device t ransactio n used during control t ra nsfers. Cont ro l trans-
fers must be performed using endpoints with no ping-pong attributes. A setup transaction nee ds
to be handled as soon as possible by th e firmware. It is used to transmit requests from the host
to the device. These requests are then handled by the USB device and may require more argu-
ments. The arg ume nts a re sen t to t he d evice by a Da ta OUT tr ansa ction wh ich f ollows t he setup
transaction. These requests may also return data. The data is carried out to the host by the next
Data IN transaction which follows the setup transaction. A status transa ction ends the control
transfer.
When a setup transfer is received by the USB endpoint:
The USB device automatically acknowledges the setup packet
RXSETUP is set in the UDP_CSRx register
An endpoint interrupt is generated while the RXSETUP is not cleared. This interrupt is
carried out to the microcontroller if in terrupts are enabled for this endpoint.
Thus, firmware must detect the RXSETUP polling the UDP_CSRx or catching an interrupt, read
the setup packet in the FIFO, then clear the RXSETUP. RXSETUP cannot be cleared before the
setup packet has been read in t he FIFO. Othe rwise, the USB d evice woul d accep t th e n ext Da ta
OUT transfer and overwrite the setup packet in the FIFO.
Control Read Setup TX Data OUT TX Data OUT TX
Data Stage
Control Write
Setup Stage
Setup Stage
Setup TX
Setup TX
No Data
Control
Data IN TX Data IN TX
Status Stage
Status Stage
Status IN TX
Status OUT TX
Status IN TX
Data Stage
Setup Stage Status Stage
888 6500D–ATARM–29-Feb-12
SAM3S
Figure 38-5. Setup Transaction Followed b y a Data OUT Transaction
38.6.2.2 Data IN Transaction
Data IN transactions are used in control, iso chronous, bulk and interrupt transfers and conduct
the transfer of data from the de vice to the host. Data IN transactions in isochronous tra nsfer
must be done using endpoints with ping-pong attributes.
Using Endpoints Without Ping-pong Attributes
To perform a Data IN transaction using a non ping-pong endpoint:
1. The application checks if it is possible to write in the FIFO by polling TXPKTRDY in the
endpoint’s UDP_CSRx register (TXPKTRDY must be cleared).
2. The application writes the first pa cket of data to be sent in the endpoint’s FIFO, writing
zero or more byte values in the endpoint’s UDP_FDRx register,
3. The applicatio n notifies the USB peripheral it has finished by setting the TXPKTRDY in
the endpoint’s UDP_CSRx register.
4. The application is not ified that the endpoint’s FIFO has been released by the USB
device when TXCOMP in the endpoint’s UDP_CSRx register has been set. Then an
interrupt for the corresponding endpoint is pending while TXCOMP is set.
5. The microcontroller writes the second packet of data to be sent in the endpoint’s FIFO,
writing zero or more byte values in the endpoint’s UDP_FDRx register,
6. The microcontroller notifies the USB peripheral it has finished by setting the TXPK-
TRDY in th e en dp o i nt s UDP_ CSR x reg ist er.
7. The application clears the TXCOMP in the endpoints UDP_CSRx.
After the last packet has been sent, the application must clear TXCOMP once this has been set.
TXCOMP is set by the USB device when it has received an ACK PID signal for the Data IN
packet. An interrupt is pending while TXCOMP is set.
Warning: TX_COMP must be cleared after TX_PKTRDY has been set.
Note: Re fer to Chapter 8 of the Universal Serial Bus Specification, Rev 2.0, for more information on the
Data IN protocol layer.
RX_Data_BKO
(UDP_CSRx)
ACK
PID
Data OUT
Data OUT
PID
NAK
PID
ACK
PID
Data Setup
Setup
PID
USB
Bus Packets
RXSETUP Flag
Set by USB Device Cleared by Firmware Set by USB
Device Peripheral
FIFO (DPR)
Content Data Setup Data
XX XX OUT
Interrupt Pending
Setup Received Setup Handled by Firmware Data Out Received
Data OUT
Data OUT
PID
889
6500D–ATARM–29-Feb-12
SAM3S
Figure 38-6. Data IN Transfer for Non Ping-pong Endpoint
Using Endpoints With Ping-pong Attribute
The use of an endpoint with ping-pong attributes is necessary during isochronous transfer. This
also allows handlin g th e m aximum ba nd width d efined in the USB spe cification du rin g bulk tr an s-
fer. To be able to guarantee a constant or the maximum bandwidth, the microcontroller must
prepare the ne xt data payload to be sent while the current one is being sent by the USB device.
Thus two banks of memory are used. While one is available for the microcontroller, the other
one is locked by the USB device.
Figure 38-7. Bank Swapping Data IN Transfe r for Ping-pong Endpoints
USB Bus Packets Data IN 2
Data IN NAK
ACK
Data IN 1
FIFO (DPR)
Content Data IN 2Load In ProgressData IN 1
Cleared by Firmware
DPR access by the firmware
Payload in FIFO
TXCOMP Flag
(UDP_CSRx)
TXPKTRDY Flag
(UDP_CSRx)
PID
Data IN Data IN
PIDPID PIDPID ACK
PID
Prevous Data IN TX Microcontroller Load Data in FIFO Data is Sent on USB Bus
Interrupt
Pending
Interrupt Pending
Set by the firmware Set by the firmware
Cleared by
Firmware
Cleared by Hw
Cleared by Hw
DPR access by the hardware
USB Device USB Bus
Read
Write
Read and Write at the Same Time
1st Data Payload
2nd Data Payload
3rd Data Payload
3rd Data Payload
2nd Data Payload
1st Data Payload
Data IN Packet
Data IN Packet
Data IN Packet
Microcontroller
Endpoint 1
Bank 0
Endpoint 1
Bank 1
Endpoint 1
Bank 0
Endpoint 1
Bank 0
Endpoint 1
Bank 0
Endpoint 1
Bank 1
890 6500D–ATARM–29-Feb-12
SAM3S
When using a ping-pong endpoint, the following procedures are requ ired to perform Data IN
transactions:
1. The microcontroller chec ks if it is possib le to write in the FIFO by polling TXPKTRDY to
be cleared in the endpoints UDP_CSRx register.
2. The microcontroller writes the first dat a pa yload to be sent in the FI FO (Bank 0), writing
zero or more byte values in the endpoint’s UDP_FDRx register.
3. The microcontro ller notifies the USB peripheral it has finished writing in Bank 0 of the
FIFO by setting the TXPKTRDY in the endpoint’s UDP_CSRx register.
4. Without waiting for TXPKTRDY to be cleared, the microcontroller writes the second
data payload to be sent in the FIFO (Bank 1) , writing zero or more byte values in the
endpoint’s UDP_FDRx register.
5. The microcontroller is notified that the fir st Bank has been released by the USB device
when TXCOMP in the endpoints UDP_CSRx register is set. An interrupt is pending
while TXCOMP is being set.
6. Once the microcontroller has received TXCOMP for the first Bank, it notifies the USB
device that it has prepared the second Bank to be sent, raising TXPKTRDY in the end-
point’s UDP_CSRx register.
7. At this step, Bank 0 is available and the microcontroller can prepare a third data pay-
load to be sent.
Figure 38-8. Data IN Transfer for Ping-pong Endpoint
Warning: There is software critical path due to the fact that once the second bank is filled, the
driver has t o wait for TX _COMP to set TX_PKTRDY. If the delay between rece iving TX_COM P
is set and TX_PKTRDY is set too long, some Data IN packets may be NACKed, reducing the
bandwidth.
Warning: TX_COMP must be cleared after TX_PKTRDY has been set.
Data INData IN
Read by USB Device
Read by USB Device
Bank 1
Bank 0
FIFO (DPR)
TXCOMP Flag
(UDP_CSRx) Interrupt Cleared by Firmware
Set by USB
Device
TXPKTRDY Flag
(UDP_MCSRx)
ACK
PID Data IN
PID ACK
PID
Set by Firmware,
Data Payload Written in FIFO Bank 1
Cleared by USB Device,
Data Payload Fully Transmitted
Data IN
PID
USB Bus
Packets
Set by USB Device
Set by Firmware,
Data Payload Written in FIFO Bank 0
Written by
FIFO (DPR) Microcontroller
Written by
Microcontroller
Written by
Microcontroller
Microcontroller
Load Data IN Bank 0 Microcontroller Load Data IN Bank 1
USB Device Send Bank 0 Microcontroller Load Data IN Bank 0
USB Device Send Bank 1
Interrupt Pending
891
6500D–ATARM–29-Feb-12
SAM3S
38.6.2.3 Data OUT Transaction
Data OUT transactions are used in control, isochronous, bulk and interrupt transfers and con-
duct the transfer of data from the host to the device. Data OUT transactions in isochronous
transfers must be done using endpoints with ping-pong attributes.
Data OUT Transaction Without Ping-pong Attributes
To perform a Data OUT transaction, using a non ping-pong endpoint:
1. The host gen erates a Data OUT packet.
2. This packet is received by the USB device endpoint. While the FIFO associated to this
endpoint is being used by the microcontroller, a NAK PID is returned to the host. Once
the FIFO is a vailable, data are written to the FIFO by the USB device and an ACK is
automatically ca rried out to the host.
3. The microcontroller is notified that the USB device has received a data payload polling
RX_DATA_BK0 in the endpoint’s UDP_CSRx register. An interrupt is pending for this
endpoint while RX_DATA_BK0 is set.
4. The number of bytes available in the FIFO is made available by reading RXBYTECNT
in the endpoint’s UDP_CSRx register.
5. The microcontroller carries out data received from the endpoint’s memory to its mem-
ory. Data received is available by reading the endpoint’s UDP_FDRx register.
6. The microcontroller notifies the USB device that it has finished the tr ansfer by clearing
RX_DATA_BK0 in the endpoint’s UDP_CSRx register.
7. A new Data OUT packet can be accepted by the USB device.
Figure 38-9. Data OUT Transfer for Non Ping-pong Endpoints
An interrupt is pending while the flag RX_DATA_B K0 is set. Memory tran sfer between th e USB
device, the FIFO and microcontroller memory can not be done after RX_DATA_BK0 has been
cleared. Otherwise, the USB device would accept the next Data OUT transfer and overwrite the
current Data OU T pa ck et in the FI FO.
Using Endpoints With Ping-pong Attributes
During isochronous transfer, using an endpoint with ping-pong attributes is obligatory. To be
able to guarantee a constant bandwidth, the microcontroller must read the previous data pay-
ACK
PID
Data OUTNAK PIDPIDPIDPIDPID Data OUT2ACKData OUT Data OUT 1
USB Bus
Packets
RX_DATA_BK0
Set by USB Device Cleared by Firmware,
Data Payload Written in FIFO
FIFO (DPR)
Content Written by USB Device Microcontroller Read
Data OUT 1 Data OUT 1 Data OUT 2
Host Resends the Next Data Payload
Microcontroller Transfers Data
Host Sends Data Payload
Data OUT2 Data OUT2
Host Sends the Next Data Payload
Written by USB Device
(UDP_CSRx) Interrupt Pending
892 6500D–ATARM–29-Feb-12
SAM3S
load sent by the host, while the current data payload is received by the USB device. Thus two
banks of memory are used. While one is availabl e for the microcont roller, the o ther one is locked
by the USB device.
Figure 38-10. Bank Swapping in Data OUT Transfers for Ping-pong Endp oints
When using a ping-pong endpoint, the following p rocedures are required to perform Data OUT
transactions:
1. The host gen erates a Data OUT packet.
2. This packet is received by the USB device endpoint. It is written in the endpoint’s FIFO
Bank 0.
3. The USB device sends an AC K PID packet to the host. The host can im mediately send
a second Data OUT packet. It is accepted by the device and copied to FIFO Bank 1.
4. The microcontroller is notified that the USB device has received a data pa yload, polling
RX_DATA_BK0 in the endpoint’s UDP_CSRx register. An interrupt is pending for this
endpoint while RX_DATA_BK0 is set.
5. The number of bytes available in the FIFO is made available by reading RXBYTECNT
in the endpoint’s UDP_CSRx register.
6. The microcontroller transfers out data received from the endpoint’s memory to the
microcontroller’s memory. Data received is made available by reading the endpoint’s
UDP_FDRx register.
7. The microcontroller notifies the USB peripheral device that it has finished the transfer
by clearing RX_DATA_BK0 in the endpoint’s UDP_CSRx register.
8. A third Data OUT packet can be accept ed by the USB peripheral device and copied in
the FIFO Bank 0.
9. If a second Data OUT packet has been received, the microcontroller is notified by the
flag RX_DATA_BK1 set in the endpoint’s UDP_CSRx register. An interrupt is pending
for this endpoint while RX_DATA_BK1 is set.
10. The microcontroller transfers out data received from the endpoint’s memory to the
microcontroller’s memory. Data received is available by reading the endpoint’s
UDP_FDRx register.
USB Device USB Bus
Read
Write
Write and Read at the Same Time
1st Data Payload
2nd Data Payload
3rd Data Payload
3rd Data Payload
2nd Data Payload
1st Data Payload
Data IN Packet
Data IN Packet
Data IN Packet
Microcontroller
Endpoint 1
Bank 0
Endpoint 1
Bank 1
Endpoint 1
Bank 0
Endpoint 1
Bank 0
Endpoint 1
Bank 0
Endpoint 1
Bank 1
893
6500D–ATARM–29-Feb-12
SAM3S
11. The microcontroller notifies the USB device it has finished the tr ansfer by clearing
RX_DATA_BK1 in the endpoint’s UDP_CSRx register.
12. A fourth Data OUT packet can be accepted by the USB device and copi ed in the FIFO
Bank 0.
Figure 38-11. Data OUT Transfer for Ping-pong Endpo int
Note: An interrupt is pending while the RX_DATA_BK0 or RX_DATA_BK1 flag is set.
Warning: When RX_DATA_BK0 and RX_DATA_BK1 are both set, there is no way to determine
which one to clear first. Thus the sof tware must keep an internal co unter to be sure to clear alter-
natively RX_DATA_BK0 then RX_DATA_BK1. This situation may occur when the software
application is busy el sewhere and t he two banks are filled b y the USB host. On ce the ap plication
comes back to the USB driver, the two flags are set.
38.6.2.4 Stall Handshake
A stall handshake can be used in one of two distinct occasions. (For more informatio n on the
stall handshake, refer to Chapter 8 of the Universal Serial Bus Specification, Rev 2.0.)
A functional stall is used when the halt feature associated with the endpoint is set. ( Re fer to
Chapter 9 of the Universal Serial Bus Specification, Re v 2. 0, for more information on the halt
feature.)
To abort the current request, a protocol stall is used, but uniquely with control transfer.
The following procedure generates a stall packet:
1. The microcontroller sets the FORCESTALL flag in the UDP_CSRx endpoint’s register.
2. The host receives the stall packet.
A
P
Data OUT PID
ACK Data OUT 3
Data OUT
Data OUT 2
Data OUT
Data OUT 1
PID
Data OUT 3Data OUT 1Data OUT1
Data OUT 2 Data OUT 2
PID PID PID
ACK
Cleared by Firmware
USB Bus
Packets
RX_DATA_BK0 Flag
RX_DATA_BK1 Flag
Set by USB Device,
Data Payload Written
in FIFO Endpoint Bank 1
FIFO (DPR)
Bank 0
Bank 1
Write by USB Device Write In Progress
Read By Microcontroller
Read By Microcontroller
Set by USB Device,
Data Payload Written
in FIFO Endpoint Bank 0
Host Sends First Data Payload Microcontroller Reads Data 1 in Bank 0,
Host Sends Second Data Payload Microcontroller Reads Data2 in Bank 1,
Host Sends Third Data Payload
Cleared by Firmware
Write by USB Device
FIFO (DPR)
(UDP_CSRx)
(UDP_CSRx)
Interrupt Pending
Interrupt Pending
894 6500D–ATARM–29-Feb-12
SAM3S
3. The microcontroller is notified that the device has sent the stall by polling the
STALLSENT to be set. An endpoint interrupt is pending while STALLSENT is set. The
microcontroller must clear STALLSENT to clear the interrupt.
When a setup transaction is received after a stall handshake, STALLSENT must be cleared in
order to prevent int errupts due to STALLSENT being set.
Figure 38-12. Stall Handshake (Data IN Transfer)
Figure 38-13. Stall Handshake (Data OUT Transfer)
Data IN Stall PIDPID
USB Bus
Packets
Cleared by Firmware
Set by Firmware
FORCESTALL
STALLSENT Set by
USB Device
Cleared by Firmware
Interrupt Pending
Data OUT PID Stall PID
Data OUT
USB Bus
Packets
Cleared by Firmware
Set by Firmware
FORCESTALL
STALLSENT
Set by USB Device
Interrupt Pending
895
6500D–ATARM–29-Feb-12
SAM3S
38.6.2.5 Transmit Data Cancellation
Some endpoints have dual-banks whereas some endpoints have only one bank. The procedure
to cancel transmission data held in these banks is described below.
To see the organization of dual-bank availability refer to Table 38-1 ”USB Endpoint Description”.
Endpoints Without Dual-Banks
There are two possibilities: In one case, TXPKTRDY field in UDP_CSR has already been set. In
the other instance, TXPKTRDY is not set.
TXPKTRDY is not set:
Reset the endpoint to clear the FIFO (pointers). (See, Section 38.7.9 ”UDP Reset
Endpoint Register”.)
TXPKTRDY has already been set:
Clear TXPKTRDY so that no packet is ready to be sent
Reset the endpoint to clear the FIFO (pointers). (See, Section 38.7.9 ”UDP Reset
Endpoint Register”.)
Endpoints With Dual-Banks
There are two possibilities: In one case, TXPKTRDY field in UDP_CSR has already been set. In
the other instance, TXPKTRDY is not set.
TXPKTRDY is not set:
Reset the endpoint to clear the FIFO (pointers). (See, Section 38.7.9 ”UDP Reset
Endpoint Register”.)
TXPKTRDY has already been set:
Clear TXPKTRDY and read it back until actually read at 0.
Set TXPKTRDY and read it back until actually read at 1.
Clear TXPKTRDY so that no packet is ready to be sent.
Reset the endpoint to clear the FIFO (pointers). (See, Section 38.7.9 ”UDP Reset
Endpoint Register”.)
896 6500D–ATARM–29-Feb-12
SAM3S
38.6.3 Controlling Device States
A USB device has several possible states. Refer to Chapter 9 of the Universal Serial Bus Speci-
fication, Rev 2.0.
Figure 38-14. USB Device State Diagram
Movement from one state to another depends on the USB bus state or on standard requests
sent through control transactions via the default endpoint (endpoint 0).
After a period of bus inactivity, the USB device enters Suspend Mode. Accepting Sus-
pend/Resume requests from the USB host is mandatory. Constraints in Suspend Mode are very
strict for bus-powered applications; devices may not consume more than 500 µA on the USB
bus.
While in Suspend Mode, the host may wake up a device by sending a resume signal (bus activ-
ity) or a USB device may send a wake up request to the host, e.g., waking up a PC by moving a
USB mouse.
The wake up feature is not mandatory for all devices and must be negotiated with the host.
Attached
Suspended
Suspended
Suspended
Suspended
Hub Reset
or
Deconfigured
Hub
Configured
Bus Inactive
Bus Activity
Bus Inactive
Bus Activity
Bus Inactive
Bus Activity
Bus Inactive
Bus Activity
Reset
Reset
Address
Assigned
Device
Deconfigured Device
Configured
Powered
Default
Address
Configured
Power
Interruption
897
6500D–ATARM–29-Feb-12
SAM3S
38.6.3.1 Not Powered State
Self powered devices can detect 5V VBUS using a PIO as described in the typical connection
section. When the device is not con nected t o a ho st , device powe r consum ption can be re duced
by disabling MCK for the UDP, disabling UDPCK and disabling the transceiver. DDP and DDM
lines are pulled down by 330 K Ω resistors.
38.6.3.2 Entering Attached State
To enable integrated pull-up, the PUON bit in the UDP_TXVC register must be set.
Warning: To write to the UDP_TXVC register, MCK clock must be enabled on the UDP. This is
done in the Power Management Controller.
After pull-up connection, the device enters the powered state. In this state, the UDPCK and
MCK must be enabled in the Power Management Controller. The transceiver can remain
disabled.
38.6.3.3 From Powered State to Default State
After its connection to a USB host, the USB device waits for an end-of-bus reset. The unmask-
able flag ENDBUSRES is set in the register UDP_ISR and an interrupt is triggered.
Once the ENDBUSRES interrupt ha s been triggered, the device ente rs Default State. In this
state, the UDP software must:
Enable the default endpoint, setting the EPEDS flag in the UDP_CSR[0] register and,
optionally, enabling the interrupt for endpoint 0 by writing 1 to the UDP_IER register. The
enumeration then begins by a control transfer.
Configure the interrupt mask register which has been reset by the USB reset detection
Enable the transceiver clearing the TXVDIS flag in the UDP_TXVC register.
In this state UDPCK and MCK must be enabled.
Warning: Each time an ENDBUSRES interrupt is triggered, the Interrupt Mask Register and
UDP_CSR registers have been reset.
38.6.3.4 From Default State to Address State
After a set address standard device request, the USB host peripheral enters the address state.
Warning: Before the device enters in address state, it must achieve the Status IN transaction of
the control transfer , i.e., the UDP device sets its new address once the T XCOMP flag in the
UDP_CSR[0] registe r has been received and cleared.
To move to ad dress state, the driv er software sets th e FADDEN flag in the UD P_GLB_STAT
register, sets its new address, and sets the FEN bit in the UDP_FADDR register.
38.6.3.5 From Address State to Configured State
Once a valid Set Configuration standard request has been received and acknowledged, the
device enables endpoints corresponding to the current configuration. This is done by setting the
EPEDS and EPTYPE fields in the UDP_CSRx registers and, optionally, en abling corr esponding
interrupts in the UDP_IER register.
898 6500D–ATARM–29-Feb-12
SAM3S
38.6.3.6 Entering in Suspend State
When a Suspend (no bus activity on the USB bus) is detected, the RXSUSP signal in the
UDP_ISR register is set. This triggers an interrupt if the corresponding bit is set in th e UDP_IMR
register.This flag is clear ed by wr itin g to t he UDP_I C R re gist er. Then t he de vice en ters Su sp end
Mode.
In this state bus powered devices must drain less than 500uA from the 5V VBUS. As an exam-
ple, the microcontroller switches to slow clock, disables the PLL and main oscillator, and goes
into Idle Mode. I t may also switch off other devices on the board.
The USB device peripheral clocks can be switched off. Resume event is asynchronously
detected. MCK and UDPCK can be switched off in the Power Management controller a nd the
USB transceiver can be disabled by setting the TXVDIS field in the UDP_TXVC register.
Warning: Read, wr ite oper ations to the UDP reg ister s are allowed only if MCK is enable d for t he
UDP peripheral. Switching off MCK for the UDP peripheral must be one of the last operations
after writing to th e UDP_TXVC and acknowledging the RXSUSP.
38.6.3.7 Receiving a Host Resume
In suspend mode, a resume event on the USB bu s line is detected asynchronously, transceiver
and clocks are disabled (however the pull-up shall not be removed).
Once the resume is detected on the bus, the W AKEUP signal in the UDP_ISR is set. It may gen-
erate an interrupt if the corresponding bit in the UDP_IMR register is set. This interrupt may be
used to wake up the core, enable PLL and main oscillators and configure clocks.
Warning: Read, wr ite oper ations to the UDP reg ister s are allowed only if MCK is enable d for t he
UDP peripheral. MCK for the UDP must be enabled before clearing the WAKEUP bit in the
UDP_ICR register and clea rin g TXVDIS in th e UDP_ T XVC regist er .
38.6.3.8 Sending a Device Remote Wakeup
In Suspend state it is possible to wake up the host sending an external resume.
The de vice m ust wait at least 5 ms after b eing entered in suspend bef ore sending an e xte rnal
resume.
The device has 10 ms from the moment it starts to drain current and it forces a K state to
resume the host.
The device must force a K state from 1 to 15 ms to resume the host
Before sending a K state to the host, MCK, UDPCK and the transceiver must be enabled. Then
to enable the r emote wakeup fe ature, t he RMWUPE bit in the UDP_GLB_STAT register must be
enabled. To force t he K stat e on the lin e, a tra nsition of t he ESR bi t from 0 to 1 has to be do ne in
the UDP_GLB_STAT register. This transition must be accomplished by first writing a 0 in the
ESR bit and then writing a 1.
The K state is automatically ge nerated and released according to the USB 2.0 specification.
899
6500D–ATARM–29-Feb-12
SAM3S
38.7 USB Device Port (UDP) User Interface
WARNING: The UDP peripheral clock in the Power Management Controller (PMC) must be enabled before any read/write
operations to the UDP registers, including the UDP_TXVC register.
Notes: 1. Reset values are not defined for UDP_ISR.
2. See Warning above the ”Register Mapping” on this page.
Table 38-6. Register Mapping
Offset Register Name Access Reset
0x000 F rame Number Register UDP_FRM_NUM Read-only 0x0000_0000
0x004 Global State Register UDP_GLB_STAT Read-write 0x0000_0010
0x008 Function Address Register UDP_FADDR Read-write 0x0000_0100
0x00C Reserved
0x010 Interr upt Enable Register UDP_IER Write-only
0x014 Interrupt Disable Register UDP_IDR Wr ite-only
0x018 Interrupt Mask Register UDP_IMR Read-only 0x0000_1200
0x01C Interrupt Sta tus Register UDP_ISR Read-only (1)
0x020 Interrupt Clear Register UDP_IC R Write-only
0x024 Reserved
0x028 Reset Endpoint Register UDP_RST_EP Read-write 0x0000_0000
0x02C Reserved
0x030 Endpoint Contro l and Status Register 0 UDP_CSR0 Read-write 0x0000_0000
... ... ... ... ...
0x030 + 0x4 * (7 - 1) Endpoint Control and Status Register 7 UDP_CSR7 Read-write 0x0000_0000
0x050 Endpoint FIFO Data Reg ister 0 UDP_FDR0 Read-write 0x0000_0000
... ... ... ... ...
0x050 + 0x4 * (7 - 1) Endpoint FIFO Data Register 7 UDP_FDR7 Read-wri te 0x0000_0000
0x070 Reserved
0x074 Transceiver Control Register UDP_TXVC(2) Read-write 0x0000_0100
0x078 - 0xFC Reserved
900 6500D–ATARM–29-Feb-12
SAM3S
38.7.1 UDP Frame Number Register
Name: UDP_FRM_NUM
Address: 0x40034000
Access: Read-only
FRM_NUM[10:0]: Frame Number as Defined in the Packet Field Formats
This 11-bit value is incremented by the host on a per frame basis. This value is updated at each start of frame.
Value Updated at the SOF_EOP (Start of Frame End of Packet).
FRM_ERR: Frame Error
This bit is set at SOF_EOP when t he SOF packet is received containing an error.
This bit is reset upon receipt of SOF_PID.
FRM_OK: Frame OK
This bit is set at SOF_EOP when t he SOF packet is received without any error.
This bit is reset upon receipt of SOF_PID (Packet Identification).
In the Interrupt Stat us Register, the SOF interrupt is updated upon receiving SOF_PID. This bit is set without waiting for
EOP.
Note: In th e 8-bit Register Interface, FRM_OK is bit 4 of FRM_NUM_H and FRM_ERR is bit 3 of FRM_NUM_L.
31 30 29 28 27 26 25 24
--- --- --- --- --- --- --- ---
23 22 21 20 19 18 17 16
––––––FRM_OKFRM_ERR
15 14 13 12 11 10 9 8
––––– FRM_NUM
76543210
FRM_NUM
901
6500D–ATARM–29-Feb-12
SAM3S
38.7.2 UDP Global State Register
Name: UDP_GLB_STAT
Address: 0x40034004
Access: Read-write
This register is used to get and set the device state as specified in Chapter 9 of the USB Serial Bus Specification, Rev.2.0.
FADDEN: Function Address Enable
Read:
0 = Device is not in address state.
1 = Device is in address state.
Write:
0 = No effect, only a reset can bring back a device to the default state.
1 = Sets device in address state. This occurs after a successful Set Address request. Beforehand, the UDP_FADDR regis-
ter must have been initialized with Set Address parameters. Set Address must complete the Status Stage before setting
FADDEN. Refer to chapter 9 of the Universal Serial Bus Specification, Rev. 2.0 for more details.
CONFG: Configured
Read:
0 = Device is not in configured state.
1 = Device is in configured state.
Write:
0 = Sets device in a non configured state
1 = Sets device in configured state.
The device is set in configured state when it is in address stat e and receives a successful Set Configuration request. Refer
to Chapter 9 of the Universal Serial Bus Specification, Rev. 2.0 for more details.
ESR: Enable Send Resume
0 = Mandatory value prior to starting any Remote Wake Up procedure.
1 = Starts the Remote Wake Up procedure if this bit value was 0 and if RMWUPE is enabled.
RMWUPE: Remote Wake Up Enable
0 = The Remote Wake Up feature of the device is disabled.
1 = The Remote Wake Up feature of the device is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
RMWUPE RSMINPR ESR CONFG FADDEN
902 6500D–ATARM–29-Feb-12
SAM3S
38.7.3 UDP Function Address Register
Name: UDP_FADDR
Address: 0x40034008
Access: Read-write
FADD[6:0]: Function Address Value
The Function Addr ess Value must be prog rammed by firmware once th e device receives a set address req uest from the
host, and has achieved the status stage of the no-data control sequence. Refer to the Universal Serial Bus Specification,
Rev. 2.0 for more information. After power up or reset, the function address value is set to 0.
FEN: Function Enable
Read:
0 = Function endpoint disabled.
1 = Function endpoint en abled.
Write:
0 = Disables function endpo int.
1 = Default value.
The Function Enable bit (FEN) allows the microcontroller to enable or disable the function endpoints. The microcontroller
sets this bit after receipt of a reset from the host. Once this bit is set, the USB device is able to accept and transfer data
packets from and to the host.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––––FEN
76543210
–FADD
903
6500D–ATARM–29-Feb-12
SAM3S
38.7.4 UDP Interrupt Enable Register
Name: UDP_IER
Address: 0x40034010
Access: Write-only
EP0INT: Enable Endpoint 0 Interrupt
EP1INT: Enable Endpoint 1 Interrupt
EP2INT: Enable Endpoint 2Interrupt
EP3INT: Enable Endpoint 3 Interrupt
EP4INT: Enable Endpoint 4 Interrupt
EP5INT: Enable Endpoint 5 Interrupt
EP6INT: Enable Endpoint 6 Interrupt
EP7INT: Enable Endpoint 7 Interrupt
0 = No effect.
1 = Enables corresponding Endpoint Interrupt.
RXSUSP: Enable UDP Suspend Interrupt
0 = No effect.
1 = Enables UDP Suspend Interrupt.
RXRSM: Enable UDP Resume Interrupt
0 = No effect.
1 = Enables UDP Resume Interrupt.
SOFINT: Enable Start Of Frame Interrupt
0 = No effect.
1 = Enables Start Of Frame Interrupt.
WAKEUP: Enable UDP bus Wakeup Interrupt
0 = No effect.
1 = Enables USB bus Interrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WAKEUP SOFINT EXTRSM RXRSM RXSUSP
76543210
EP7INT EP6INT EP5INT EP4INT EP3INT EP2INT EP1INT EP0INT
904 6500D–ATARM–29-Feb-12
SAM3S
38.7.5 UDP Interrupt Disable Register
Name: UDP_IDR
Address: 0x40034014
Access: Write-only
EP0INT: Disable Endpoint 0 Int errupt
EP1INT: Disable Endpoint 1 Int errupt
EP2INT: Disable Endpoint 2 Int errupt
EP3INT: Disable Endpoint 3 Int errupt
EP4INT: Disable Endpoint 4 Int errupt
EP5INT: Disable Endpoint 5 Int errupt
EP6INT: Disable Endpoint 6 Int errupt
EP7INT: Disable Endpoint 7 Int errupt
0 = No effect.
1 = Disables corresponding Endpoint Interrupt.
RXSUSP: Disable UDP Suspend Interrupt
0 = No effect.
1 = Disables UDP Suspend Interrupt.
RXRSM: Disable UDP Resume Interrupt
0 = No effect.
1 = Disables UDP Resume Interrupt.
SOFINT: Disable Start Of Frame Interrupt
0 = No effect.
1 = Disables Start Of Frame Interrupt
WAKEUP: Disable USB Bus Interrupt
0 = No effect.
1 = Disables USB Bus Wakeup Interru pt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WAKEUP SOFINT EXTRSM RXRSM RXSUSP
76543210
EP7INT EP6INT EP5INT EP4INT EP3INT EP2INT EP1INT EP0INT
905
6500D–ATARM–29-Feb-12
SAM3S
38.7.6 UDP Interrupt Mask Register
Name: UDP_IMR
Address: 0x40034018
Access: Read-only
EP0INT: Mask Endpoint 0 Interrupt
EP1INT: Mask Endpoint 1 Interrupt
EP2INT: Mask Endpoint 2 Interrupt
EP3INT: Mask Endpoint 3 Interrupt
EP4INT: Mask Endpoint 4 Interrupt
EP5INT: Mask Endpoint 5 Interrupt
EP6INT: Mask Endpoint 6 Interrupt
EP7INT: Mask Endpoint 7 Interrupt
0 = Corresponding Endpoint Interrupt is disabled.
1 = Corresponding Endpoint Interrupt is enabled.
RXSUSP: Mask UDP Suspend Interrupt
0 = UDP Suspend Interrupt is disabled.
1 = UDP Suspend Interrupt is enabled.
RXRSM: Mask UDP Resume Interrupt.
0 = UDP Resume Interrupt is disabled.
1 = UDP Resume Interrupt is enabled.
SOFINT: Mask Start Of Frame Interrupt
0 = Start of Frame Interrupt is disabled.
1 = Start of Frame Interrupt is enabled.
BIT12: UDP_IMR Bit 12
Bit 12 of UDP_IMR cannot be masked and is always read at 1.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WAKEUP BIT12 SOFINT EXTRSM RXRSM RXSUSP
76543210
EP7INT EP6INT EP5INT EP4INT EP3INT EP2INT EP1INT EP0INT
906 6500D–ATARM–29-Feb-12
SAM3S
WAKEUP: USB Bus WAKEUP Interrupt
0 = USB Bus Wakeup Interrupt is disabled .
1 = USB Bus Wakeup Interrupt is enable d.
Note: When the USB bloc k is in suspend mode, the application may power down the USB logic. In this case, any USB HOST resume
request that is made must be taken into account and, thus, the reset value of the RXRSM bit of the register UDP_IMR is
enabled.
907
6500D–ATARM–29-Feb-12
SAM3S
38.7.7 UDP Interrupt Status Register
Name: UDP_ISR
Address: 0x4003401C
Access: Read-only
EP0INT: Endpoint 0 Interrupt Status
EP1INT: Endpoint 1 Interrupt Status
EP2INT: Endpoint 2 Interrupt Status
EP3INT: Endpoint 3 Interrupt Status
EP4INT: Endpoint 4 Interrupt Status
EP5INT: Endpoint 5 Interrupt Status
EP6INT: Endpoint 6 Interrupt Status
EP7INT: Endpoint 7Interrupt Status
0 = No Endpoint0 Interrupt pending.
1 = Endpoint0 Interrupt has been raised.
Several signals can generate this interrupt. The reason can be found by reading UDP_CSR0:
RXSETUP set to 1
RX_DATA_BK0 set to 1
RX_DATA_BK1 set to 1
TXCOMP set to 1
STALLSENT set to 1
EP0INT is a sticky bit. Interrupt remains valid until EP0INT is cleared by writing in the corresponding UDP_CSR0 bit.
RXSUSP: UDP Suspend Interrupt Status
0 = No UDP Suspend Interrupt pending.
1 = UDP Suspend Interrupt has been raised.
The USB device sets this bit when it detects no activity for 3ms. The USB device enters Suspend mode.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WAKEUP ENDBUSRES SOFINT EXTRSM RXRSM RXSUSP
76543210
EP7INT EP6INT EP5INT EP4INT EP3INT EP2INT EP1INT EP0INT
908 6500D–ATARM–29-Feb-12
SAM3S
RXRSM: UDP Resume Interrupt Status
0 = No UDP Resume Interrupt pending.
1 =UDP Resume Interrupt has been raised.
The USB device sets this bit when a UDP resume signal is detected at its port.
After reset, the state of this bit is undefined, the application must clear this bit by se tting the RXRSM flag in the UDP_ICR
register.
SOFINT: Start of Frame Interrupt Status
0 = No Start of Frame Interrupt pending.
1 = Start of Frame Interrupt has been raised.
This interrupt is raised each time a SOF token has been detected. It can be used as a synchronization signal by using
isochronous endpoints.
ENDBUSRES: End of BUS Reset Interrupt Status
0 = No End of Bus Reset Interrupt pending.
1 = End of Bus Reset Interrupt has been raised.
This interrupt is raised at the end of a UDP reset sequence. The USB device must prepare to receive requests on the end-
point 0. The host starts the enumeration, then performs the configuration.
WAKEUP: UDP Resume Interrupt Status
0 = No Wakeup Interrupt pending.
1 = A Wakeup Interrupt (USB Host Sen t a RESUME or RESET) occurred since the last clear.
After reset the state of this bit is undefined, the application must clear this bit by setting the WAKEUP flag in the UDP_ICR
register.
909
6500D–ATARM–29-Feb-12
SAM3S
38.7.8 UDP Interrupt Clear Register
Name: UDP_ICR
Address: 0x40034020
Access: Write-only
RXSUSP: Clear UDP Suspend Interrupt
0 = No effect.
1 = Clears UDP Suspend Interrupt.
RXRSM: Clear UDP Resume Interrupt
0 = No effect.
1 = Clears UDP Resume Interrupt.
SOFINT: Clear Start Of Frame Interrupt
0 = No effect.
1 = Clears Start Of Frame Interrupt.
ENDBUSRES: Clear End of Bus Reset Interrupt
0 = No effect.
1 = Clears End of Bus Reset Interrupt.
WAKEUP: Clear Wakeup Interrupt
0 = No effect.
1 = Clears Wakeup In terrupt.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WAKEUP ENDBUSRES SOFINT EXTRSM RXRSM RXSUSP
76543210
––––––––
910 6500D–ATARM–29-Feb-12
SAM3S
38.7.9 UDP Reset Endpoint Register
Name: UDP_RST_EP
Address: 0x40034028
Access: Read-write
EP0: Reset Endpoint 0
EP1: Reset Endpoint 1
EP2: Reset Endpoint 2
EP3: Reset Endpoint 3
EP4: Reset Endpoint 4
EP5: Reset Endpoint 5
EP6: Reset Endpoint 6
EP7: Reset Endpoint 7
This flag is used to reset the FIFO associated with the endpoint and the bit RXBYTECOUNT in the register UDP_CSRx.It
also resets the data toggle to DATA0. It is useful after removing a HALT condition on a BULK endpoint. Refer to Chapter
5.8.5 in the USB Serial Bus Specification, Rev.2.0.
Warning: This flag must be cleared at the end of the reset. It does not clear UDP_CSRx fl ags.
0 = No reset.
1 = Forces the corresponding endpoint FIF0 pointers to 0, therefore RXBYTECNT field is read at 0 in UDP_CSRx register.
Resetting the endpoint is a two-step operation:
1. Set the corresponding EPx field.
2. Clear the corresp onding EPx field.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
EP7 EP6 EP5 EP4 EP3 EP2 EP1 EP0
911
6500D–ATARM–29-Feb-12
SAM3S
38.7.10 UDP Endpoint Control and Status Register
Name: UDP_CSRx [x = 0..7]
Address: 0x40034030
Access: Read-write
WARNING: Due to synchronization between MCK and UDPCK, the software application must wait for the end of the write
operation before executing another write by polling the bits which must be set/cleared.
#if defined ( __ICCARM__ )
#define nop() (__no_operation())
#elif defined ( __GNUC__ )
#define nop() __asm__ __volatile__ ( "nop" )
#endif
/// Bitmap for all status bits in CSR that are not effected by a value 1.
#define REG_NO_EFFECT_1_ALL AT91C_UDP_RX_DATA_BK0\
| AT91C_UDP_RX_DATA_BK1\
| AT91C_UDP_STALLSENT\
| AT91C_UDP_RXSETUP\
| AT91C_UDP_TXCOMP
/// Sets the specified bit(s) in the UDP_CSR register.
/// \param endpoint The endpoint number of the CSR to process.
/// \param flags The bitmap to set to 1.
#define SET_CSR(endpoint, flags) \
{ \
volatile unsigned int reg; \
reg = AT91C_BASE_UDP->UDP_CSR[endpoint] ; \
reg |= REG_NO_EFFECT_1_ALL; \
reg |= (flags); \
AT91C_BASE_UDP->UDP_CSR[endpoint] = reg; \
for( nop_count=0; nop_count<15; nop_count++ ) {\
nop();\
}\
31 30 29 28 27 26 25 24
––––– RXBYTECNT
23 22 21 20 19 18 17 16
RXBYTECNT
15 14 13 12 11 10 9 8
EPEDS DTGLE EPTYPE
76543210
DIR RX_DATA_
BK1 FORCE
STALL TXPKTRDY STALLSENT
ISOERROR RXSETUP RX_DATA_
BK0 TXCOMP
912 6500D–ATARM–29-Feb-12
SAM3S
}
/// Clears the specified bit(s) in the UDP_CSR register.
/// \param endpoint The endpoint number of the CSR to process.
/// \param flags The bitmap to clear to 0.
#define CLEAR_CSR(endpoint, flags) \
{ \
volatile unsigned int reg; \
reg = AT91C_BASE_UDP->UDP_CSR[endpoint]; \
reg |= REG_NO_EFFECT_1_ALL; \
reg &= ~(flags); \
AT91C_BASE_UDP->UDP_CSR[endpoint] = reg; \
for( nop_count=0; nop_count<15; nop_count++ ) {\
nop();\
}\
}
In a preemptive environment, set or clear the flag and wait for a time of 1 UDPCK clock cycle and
1peripheral clock cycle. However, RX_DATA_BK0, TXPKTRDY, RX_DATA_BK1 require wait times of 3 UDPCK
clock cycles and 5 peripheral clock cycles before accessing DPR.
TXCOMP: Generates an IN Packet with Data Previously Written in the DPR
This flag generates an interrupt while it is set to one.
Write (Cleared b y the firmware):
0 = Clear the flag, clear the interrupt.
1 = No effect.
Read (Set by the USB peripheral):
0 = Data IN transaction has not been acknowledged by the Host.
1 = Data IN transaction is achieved, acknowledged by the Host.
After having issued a Data IN transaction setting TXPKTRDY, the device firmware waits for TXCOMP to be sure that the
host has acknowledged the transaction.
RX_DATA_BK0: Receive Data Bank 0
This flag generates an interrupt while it is set to one.
Write (Cleared b y the firmware):
0 = Notify USB peripheral device that data have been read in the FIFO's Bank 0.
1 = To leave the read value unchanged.
Read (Set by the USB peripheral):
0 = No data packet has been received in the FIFO's Bank 0.
1 = A data packet has been received, it has been stored in the FIFO's Bank 0.
When the device firmware has polled this bit or has been interrupted by this signal, it must transfer data from the FIFO to
the microcontroller memory. The number of bytes received is available in RXBYTCENT field. Bank 0 FIFO values are read
through the UDP_FDRx register. Once a transfer is done, the device firmware must release Bank 0 to the USB peripheral
device by clearing RX_DATA_BK0.
913
6500D–ATARM–29-Feb-12
SAM3S
After setting or clearing this bit, a wait time of 3 UDPCK clock cycles and 3 peripheral clock cycles is required before
accessing DPR.
RXSETUP: Received Setup
This flag generates an interrupt while it is set to one.
Read:
0 = No setup packet available.
1 = A setup data packet has been sent by the host and is available in the FIFO.
Write:
0 = Device firmware notifies the USB peripheral device that it has read the setup data in th e FIFO.
1 = No effect.
This flag is used to notify the USB device firmware that a valid Setup data packet has been sent by the host and success-
fully received by the USB device. The USB device firmware may transfer Setup data from the FIFO by reading the
UDP_FDRx register to the microcontroller memory. Once a transfer has been done, RXSETUP must be cleared by the
device firmware.
Ensuing Data OUT transaction is not accepted while RXSETUP is set.
STALLSENT: Stall Sent (Control, Bulk Interrupt Endpoints)/ISOERROR (Isochronous Endpoints)
This flag generates an interrupt while it is set to one.
STALLSENT: This ends a STALL handshake.
Read:
0 = The host has not acknowledged a STALL.
1 = Host has acknowledged the stall.
Write:
0 = Resets the STALLSENT flag, clears the interrupt.
1 = No effect.
This is mandatory for th e device firmware to clear this flag. Otherwise the int errupt remains.
Refer to chapters 8.4.5 and 9.4.5 of the Universal Serial Bus Specification, Rev. 2.0 for more information on the STALL
handshake.
ISOERROR: A CRC error has been detected in an isochronous transfer.
Read:
0 = No error in the previous isochronous tr ansfer.
1 = CRC error has been detected, data available in the FIFO are corrupted.
Write:
0 = Resets the ISOERROR flag, clears the interrupt.
1 = No effect.
TXPKTRDY: Transmit Packet Ready
This flag is cleared by the USB device.
This flag is set by the USB device firmware.
914 6500D–ATARM–29-Feb-12
SAM3S
Read:
0 = There is no data to send.
1 = The data is waiting to be sent upon reception of token IN.
Write:
0 = Can be used in the procedure to cancel transmission data. (See, Section 38.6.2.5 “Transmit Data Cancellation” on
page 895)
1 = A new data payload has been written in the FIFO by the firmware and is ready to be sent.
This flag is used to gene rate a Data IN tran sactio n (device to host ). Device f irmwa re checks that it can write a dat a payload
in the FIFO, checking that TXPKTRDY is cleared. Transfer to the FIFO is done by writing in the UDP_FDRx register. Once
the data payload has been transferred to the FIFO, the firmware notifies the USB device setting TXPKTRDY to one. USB
bus transactions can start. TXCOMP is set once the data payload has been received by the host.
After setting or clearing this bit, a wait time of 3 UDPCK clock cycles and 3 peripheral clock cycles is required before
accessing DPR.
FORCESTALL: Force Stall (used by Control, Bulk and Isochronous Endpoints)
Read:
0 = Normal state.
1 = Stall state.
Write:
0 = Return to normal state.
1 = Send STALL to the host.
Refer to chapters 8.4.5 and 9.4.5 of the Universal Serial Bus Specification, Rev. 2.0 for more information on the STALL
handshake.
Control endpoin ts: During the data stage and sta tus stage, this bit indicates tha t the microcontroller cannot co mplete the
request.
Bulk and interrupt endpoints: This bit notifies the host that the endpoint is halted.
The host acknowledges the STALL, device firmware is notified by the STALLSENT flag.
RX_DATA_BK1: Receive Data Bank 1 (only used by endpoints with ping-pong attributes)
This flag generates an interrupt while it is set to one.
Write (Cleared b y the firmware):
0 = Notifies USB device that data have been read in the FIFO’s Bank 1.
1 = To leave the read value unchanged.
Read (Set by the USB peripheral):
0 = No data packet has been received in the FIFO's Bank 1.
1 = A data packet has been received, it has been stored in FIFO's Bank 1.
When the device firmware has polled this bit or has been interrupted by this signal, it must transfer data from the FIFO to
microcontroller memory. The number of bytes received is available in RXBYTECNT field. Bank 1 FIFO values are read
through UDP_FDRx regist er. Once a transfer is do ne, th e device fir mware must r elease Bank 1 to the USB device by clear-
ing RX_DATA_BK1.
915
6500D–ATARM–29-Feb-12
SAM3S
After setting or clearing this bit, a wait time of 3 UDPCK clock cycles and 3 peripheral clock cycles is required before
accessing DPR.
DIR: Transfer Direction (only available for control endpoints)
Read-write
0 = Allows Data OUT transactions in the control data stage.
1 = Enables Data IN transactions in the control data stage.
Refer to Chapter 8.5.3 of the Universal Serial Bus Specification, Rev. 2.0 for more information on the contr ol data stage.
This bit must be set before UDP_CSRx/RXSETUP is cleared at the end of the setup stage. According to the request sent in
the setup data pa cket, the data stage is either a devic e to host (DIR = 1) or host to device (DIR = 0) dat a transfer. It is not
necessary to check this bit to reverse direction for the status stage.
EPTYPE[2:0]: Endpoint Type
Read-write
DTGLE: Data Toggle
Read-only
0 = Identifies DATA0 packet.
1 = Identifies DATA1 packet.
Refer to Chapter 8 of the Un iversal Serial Bus Specification, Rev. 2.0 for more inform ation on DATA0, DATA1 packet
definitions.
EPEDS: Endpoint Enable Disable
Read:
0 = Endpoint disabled.
1 = Endpoint enabled.
Write:
0 = Disables endpoint.
1 = Enables endpoint.
Control endpoints are always enabled. Reading or writing this field has no effect on control endpoints.
Note: After reset, all endpoints are configured as control endpoints (zero).
Value Name Description
000 CTRL Control
001 ISO_OUT Isochrono us OUT
101 ISO_IN Isochronous IN
010 BULK_OUT Bulk OUT
110 BULK_IN Bulk IN
011 INT_OUT Interrupt OUT
111 INT_IN Interrupt IN
916 6500D–ATARM–29-Feb-12
SAM3S
RXBYTECNT[10:0]: Number of Bytes Available in the FIFO
Read-only
When the host sends a d ata packet to the device, th e USB device stores the data in the FIFO and no tifies the microcon-
troller. The microcontroller can load the data from the FIFO by reading RXBYTECENT bytes in the UDP_FDRx register.
917
6500D–ATARM–29-Feb-12
SAM3S
38.7.11 UDP FIFO Data Register
Name: UDP_FDRx [x = 0..7]
Address: 0x40034050
Access: Read-write
FIFO_DATA[7:0]: FIFO Data Value
The microcontroller can push or pop values in the FIFO through this register.
RXBYTECNT in the correspo nding UDP_CSRx re giste r is the number of bytes to be rea d from the FIFO (sen t by the host).
The maximum number of bytes to write is fixed by the Max Packet Size in the Standard Endpoint Descriptor. It can not be
more than the physical memory size associated to the endpoint. Refer to the Universal Serial Bus Specification, Rev. 2.0
for more informa tio n.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
FIFO_DATA
918 6500D–ATARM–29-Feb-12
SAM3S
38.7.12 UDP Transceiver Control Register
Name: UDP_TXVC
Address: 0x40034074
Access: Read-write
WARNING: The UDP peripheral clock in the Power Management Controller (PMC) must be enabled before any read/write
operations to the UDP registers including the UDP_TXVC register.
TXVDIS: Transceiver Disable
When UDP is disabled, power consumption can be reduced significantly by disabling the embedded transceiver. This can
be done by setting TXVDIS field.
To enable the transceiver, TXVDIS must be cleared.
PUON: Pull-up On
0: The 1.5KΩ integrated pull-up on DDP is disconnected.
1: The 1.5 KΩ integrated pull-up on DDP is connected.
NOTE: If the USB pull-up is not connected on DDP, the user should not write in any UDP register other than the
UDP_TXVC register. This is because if DDP and DDM are floating at 0, or pulled down, then SE0 is received by the device
with the consequence of a USB Reset.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––
PUON TXVDIS
76543210
––––––––
919
6500D–ATARM–29-Feb-12
SAM3S
39. Analog Comparator Controller (ACC)
39.1 Description The Analog Comparator Controller conf igures the Analog Comparator and generates an inter-
rupt according to the user settings. The analog comparator embeds 8 to 1 multiplexers on both
inputs.
The Analog Comparator compares two voltages and the result of this comparison gives a com-
pare output. The user can select a high-speed or low-power option. Additionally, the hysteresis
level, edge detection and polarity are configurable.
The ACC can also generate a compare event which can be used by the PWM.
Refer to Figure 39-1 on page 920 for detailed schematics.
39.2 Embedded Characteristics
One analog comparator
High speed option vs. low power option
Selecta ble input hysteresis:
0, 20 mV, 50 mV
Minus input selection:
DAC outputs
Temperature Sensor
–ADVREF
AD0 to AD3 ADC channels
Plus input selection:
All analog inputs
output selection:
Internal signal
e xternal pin
selectable inverter
Interrupt on:
Rising edge, Falling edge, toggle
920 6500D–ATARM–29-Feb-12
SAM3S
39.3 Block Diagram
Figure 39-1. Analog Comparator Controller Block Diagram
39.4 Pin Name List
Mux
AD7
AD0
Mux
TS
AD3
ADVREF
DAC0
DAC1
AD0
+
-
inp
inn
Analog Comparator
isel
oncomp
hyst
bias
Regulator
AND
AND MCK
Synchro
+
Edge
Detect
ACC_MR, ACC_ACR
Change Detect
+Mask Timer
INVSELMINUSSELPLUSACEN EDGETYP SCO
CE
MASK
SELFS
SCO
PWM PMC
MCK
HYSTISEL
Interrupt Controller
FE
User Interface
Table 39-1. ACC Pin List
Pin Name Description Type
AD0..AD7 Analog Inputs Input
TS On-Chip Temperature Sensor Input
ADVR EF ADC Voltage Reference. Input
DAC0, DAC1 On-Chip DAC Outputs Input
FAULT Drives internal fault input of PWM Output
921
6500D–ATARM–29-Feb-12
SAM3S
39.5 Product Dependencies
39.5.1 I/O Lines The analog in put pins (AD0-AD7 and DAC0-1) are multiple xed with PIO lines. In this case, the
assignment of the ACC inputs is automatically done as soon as the corresponding input is
enabled by writing the ACC Mode register (SELMINUS and SELPLUS).
39.5.2 Power Management
The ACC is clocked through the Power Management Controller (PMC), thus the programmer
must first config ure the PMC to enable the Analog Comparator Controller clock.
Note that the volt age regulator needs to be activat ed to use the Analog Comparator.
39.5.3 Interrupt The ACC has an interrupt line connected to the Interrupt Controller (IC). Handling the ACC inter-
rupt requires pr ogramming the Interrupt Cont roller before configuring the ACC.
39.5.4 Fault Output The ACC has the FAULT output connected to the FAULT input of PWM. Please refer to chapter
Section 39.6.5 ”Fault Mode” and implementation of the PWM in the product.
Table 39-2. Peripheral IDs
Instance ID
ACC 33
922 6500D–ATARM–29-Feb-12
SAM3S
39.6 Functional Description
39.6.1 ACC Description
The Analog Comparat or Con troller mainly co ntro ls the analog comparat or settin gs. There is also
post processing of the analog comparator output.
The output of the analog comparator is masked for the time the output may be invalid. This situ-
ation is encountered as soon as the analog comparator settings are modified.
A comparison flag is triggered by an event on the ou tput of the analog comparator and an inter-
rupt can be generated accordingly. The event on the analog comparator output can be selected
among falling edge, rising edge or any edge.
The registers for programming are listed in Table 39-3 on page 923.
39.6.2 Analog Setti ngs
The user can select the input hysteresis and configure high-speed or low-speed options.
shortest propaga tio n de lay/highest curre nt cons um p tion
longest propagation delay/lowest current consumption
39.6.3 Write Protection System
In order to provide security to the Analog Comparator Controller, a write protection system has
been implemented.
The write protect ion mo de pr event s writing ACC M ode Register and ACC Analog Control Regis-
ter. When this mode is enabled and one of the protected registers is written, the register write
request is canceled.
Due to the nature of the write protection feature, enabling and disabling the write protection
mode requires a security code. Thus when enabling or disabling the write protection mode, the
WPKEY field of the ACC_WPMR register must be filled with the “ACC” ASCII code (correspond-
ing to 0x414343), otherwise the register write will be canceled.
39.6.4 Automatic Output Masking Period
As soon as the analog comparator settings change, the output is invalid for a duration depend-
ing on ISEL current.
A masking period is automati cally triggere d as soon as a wr ite access is perf ormed on ACC_MR
or ACC_ACR registers (what ever the register data content).
When ISEL = 0, the mask period is 8*tMCK, else 128*tMCK.
The masking period is reported by reading a negative value (bit 31 set) on ACC_ISR register
39.6.5 Fault Mode The FAULT output can be used to propagate a comparison match and act immediately via com-
binatorial logic by using the FAULT output which is directly connected to the FAULT input of the
PWM.
The source of the FAULT output can be configured to be either a combinational value derived
from the analog comparator output or the MCK re synchronized value (Refer to Figure 39-1
”Analog Comparator Controller Block Diagram”).
923
6500D–ATARM–29-Feb-12
SAM3S
39.7 Analog Comparator Controller (ACC) User Interface
Table 39-3. Register Mapping
Offset Register Name Access Reset
0x00 Control Register ACC_CR Write-only
0x04 Mode Register ACC_MR Read-write 0
0x08-0x20 Reserved
0x24 Interr upt Enable Register ACC_IER Write -only
0x28 Interrupt Disable Register ACC_IDR Write-only
0x2C Interrupt Mask Register ACC_IMR Read-only 0
0x30 Interrupt Status Register ACC_ISR Read-only 0
0x34-0x90 Reserved
0x94 Analog Control Register ACC_ACR Read-write 0
0x98-0xE0 Reserved
0xE4 Write Protect Mode Register A C C_WPMR Read-write 0
0xE8 Write Protect Status Register ACC_WPSR Read-only 0
0xEC-0xF8 Reserved
0xFC Reserved
924 6500D–ATARM–29-Feb-12
SAM3S
39.7.1 ACC Control Register
Name: ACC_CR
Address: 0x40040000
Access: Write-only
SWRST: SoftWare ReSeT
0 = no effect.
1 = resets the module.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––SWRST
925
6500D–ATARM–29-Feb-12
SAM3S
39.7.2 ACC Mode Register
Name: ACC_MR
Address: 0x40040004
Access: Read-write
This register can only be written if the WPEN bit is cleared in the ACC Write Protect Mode Register.
SELMINUS: SELection for MINUS comparator input
0..7 = selects the input to apply on an alog comparator SELMINUS comparison input.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
FE SELFS INV EDGETYP ACEN
76543210
SELPLUS SELMINUS
Value Name Description
0TS SelectTS
1 ADVREF Select ADVREF
2DAC0 Select DAC0
3DAC1 Select DAC1
4 AD0 Select AD0
5 AD1 Select AD1
6 AD2 Select AD2
7 AD3 Select AD3
926 6500D–ATARM–29-Feb-12
SAM3S
SELPLUS: SELection for PLUS comparator input
0..7 = selects the input to apply on an alog comparator SELPLUS comparison input.
ACEN: Analog Comparator ENable
0 (DIS) = Analog Comparator Disabled.
1 (EN) = Analog Comparator Enabled.
EDGETYP: EDGE TYP e
INV: INVer t comparator output
0 (DIS) = Analog Comparato r output is directly processed.
1 (EN) = Analog Comparator output is inverted prior to being processed.
SELFS: SELection of Fault Source
0 (CF) = the CF flag is used to drive the FAULT output.
1 (OUTPUT) = the output of the Analog Comparator flag is used to drive the FAULT output.
FE: Fault Enable
0 (DIS) = the FAULT output is tied to 0.
1 (EN) = the FAULT output is driven by the signal de fined by SELFS.
Value Name Description
0 AD0 Select AD0
1 AD1 Select AD1
2 AD2 Select AD2
3 AD3 Select AD3
4 AD4 Select AD4
5 AD5 Select AD5
6 AD6 Select AD6
7 AD7 Select AD7
Value Name Description
0 RISING only rising edge of comparator output
1 FALLING falling edge of comparator output
2 ANY any edge of comparator output
927
6500D–ATARM–29-Feb-12
SAM3S
39.7.3 ACC Interrupt Enable Register
Name: ACC_IER
Address: 0x40040024
Access: Write-only
CE: Comparison Edge
0 = no effect.
1 = enables the interruption when the selected edge (defined by EDGETYP) occurs.
39.7.4 ACC Interrupt Disable Register
Name: ACC_IDR
Address: 0x40040028
Access: Write-only
CE: Comparison Edge
0 = no effect.
1 = disables the interruption when the selected edge ( defined by EDGETYP) occurs.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––CE
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––CE
928 6500D–ATARM–29-Feb-12
SAM3S
39.7.5 ACC In terrupt Mask Register
Name: ACC_IMR
Address: 0x4004002C
Access: Read-only
CE: Comparison Edge
0 = the interruption is disabled.
1 = the interruption is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––CE
929
6500D–ATARM–29-Feb-12
SAM3S
39.7.6 ACC Interrupt Status Register
Name: ACC_ISR
Address: 0x40040030
Access: Read-only
CE: Comparison Edge
0 = no edge occurred (defined by EDGETYP) on analog comparator output since the last read of ACC_ISR register.
1 = a selected edge (defined by EDGETYP) on analog comparator output occurred since the last read of ACC_ISR
register.
SCO: Synchronized Comparator Output
Returns an image of Analog Comparator Output after being pre-processed (refer to Figure 39-1 on page 920).
If INV = 0
SCO = 0 if inn > inp
SCO = 1 if inp > inn
If INV = 1
SCO = 1 if inn > inp
SCO = 0 if inp > inn
MASK:
0 = The CE flag is valid.
1 = The CE flag and SCO value are invalid.
31 30 29 28 27 26 25 24
MASK–––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––––SCOCE
930 6500D–ATARM–29-Feb-12
SAM3S
39.7.7 ACC Analog Control Register
Name: ACC_ACR
Address: 0x40040094
Access: Read-write
This register can only be written if the WPEN bit is cleared in ACC Write Protect Mode Register.
ISEL: Current SELection
Refer to the produ c t Electrical Charact er istics.
0 (LOPW) = low power option.
1 (HISP) = high speed option.
HYST: HYSTeresis selection
0 to 3: Refer to the product Electrical Characteristics.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––– HYST ISEL
931
6500D–ATARM–29-Feb-12
SAM3S
39.7.8 ACC Write Protect Mode Re gister
Name: ACC_WPMR
Address: 0x400400E4
Access: Read-write
WPEN: Write Protect Enable
0 = disables the Write Protect if WPKEY corresponds to 0x414343 (“ACC” in ASCII).
1 = enables the Write Protect if WPKEY corresponds to 0x414343 (“ACC” in ASCII).
Protects the registers:
“ACC Mode Register” on page 925
“ACC Analog Control Register” on page 930
WPKEY: Write Protect KEY
This security code is needed to set/reset the WPROT bit value (see Section 39.6.3 ”Write Protection System” for de ta ils).
Must be filled with “ACC” ASCII code.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
932 6500D–ATARM–29-Feb-12
SAM3S
39.7.9 ACC Write Protect Statu s Register
Name: ACC_WPSR
Address: 0x400400E8
Access: Read-only
WPROTERR: Write PROTection ERRor
0 = no Write Protect Violation has occurred since the last read of the ACC_WPSR register.
1 = a Write Protect Violation (WPEN = 1) has occurred since the last read of the ACC_WPSR register.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––WPROTERR
933
6500D–ATARM–29-Feb-12
SAM3S
933
6500D–ATARM–29-Feb-12
SAM3S
40. Analog-to-Digital Converter (ADC)
40.1 Description The ADC is based on a 12-bit Analog-to-Digital Converter (ADC) managed by an ADC Control-
ler. Refer to the Block Diagram: Figure 40-1. It also integrates a 16-to-1 analog multiple xer,
making possible the ana log-to-digital conversions of 16 ana log lines. The conversions extend
from 0V to ADVREF. The ADC supports an 10-bit or 12-bit resolution mode, and conversion
results are reporte d in a common registe r for all channel s, as well as in a channe l-dedica ted reg-
ister. Software trigger, external trigger on rising edge of the ADTRG pin or internal triggers from
Timer Counter output(s) are configurable.
The comparison circuitry allows automatic detection of values below a threshold, higher than a
threshold, in a given rang e or outside the range, thresholds and ranges being fully configurable.
The ADC Controller internal fault output is directly connected to PWM Fault input. This input can
be asserted by means of comparison circuitry in order to immediately put the PWM outputs in a
safe state (pur e co mb in at ion al pa th ).
The ADC also integrates a Sleep Mode and a conversion sequencer and connects with a PDC
channel. These features reduce both power consumption and processor intervention.
This ADC has a selectab le single-ended or fully differential input and bene fits from a 2-bit pro-
grammable gain. A wh ole set of ref e renc e vo ltage s is genera te d inter nally f ro m a single exter nal
reference voltage node that may be equal to the analog supply voltage. An external decoupling
capacitance is required for noise filtering.
A digital error correction circuit based on the multi-bit redundant signed digit (RSD) algorithm is
employed in order to reduce INL and DNL errors.
Finally, the user can configure ADC timings, such as Startup Time and Tracking Time.
40.2 Embedded Characteristics
12-bit Resolution
1 M Hz Conversion Rate
Wide Range Power Supply Operation
Selectable Single Ended or Differential Input Voltage
Programmable Gain For Maximum Full Scale Input Range 0 - VDD
Integrated Multiplexer Offering Up to 16 Independent Analog Inputs
Individual Enable and Disable of Each Channel
Hardware or Software Trigger
Exter nal Trigger Pin
Timer Counter Outputs (Corresponding TIOA Trigger)
PWM Event Line
Drive of PWM Fault Input
PDC Support
Possibility of ADC Timings Configuration
Two Sleep Modes and Conversion Sequen cer
934 6500D–ATARM–29-Feb-12
SAM3S
934 6500D–ATARM–29-Feb-12
SAM3S
Automatic Wakeup on Trigger and Back to Sleep Mode after Con versions of all
Enabled Channels
Possibility of Customized Channel Sequence
Standby Mode for Fast Wakeup Time Response
Power Down Capability
Automatic Window Comparison of Converted Values
Write Protect Registers
40.3 Block Diagram
Figure 40-1. Analog-to-Digita l Converter Block Diagram
40.4 Signal Description
Note: 1 . AD15 is not an actual pi n but is connected to a temperature sensor.
40.5 Product Dependencies
40.5.1 Power Management
The ADC Controller is not continuously clocked. The prog rammer must first enable the ADC
Controller MCK in the Power Management Controller (PMC) before using the ADC Controller.
However, if the application does not require ADC operations, the ADC Controller clock can be
stopped when not needed and restarted when necessary. Configuring the ADC Controller does
not require the ADC Controller clock to be enabled.
Table 40-1. ADC Pin Description
Pin Name Description
ADVREF Referenc e voltage
AD0 - AD14(1) Analog input channels
ADTRG External trigger
ADTRG
ADVREF
GND
Trigger
Selection
Timer
Counter
Channels
AD0
AD1
ADn
Analog
Inputs
ADC Interrupt
ADC 12-Bit Controller
ADC cell
Control
Logic
User
Interface
Interrupt
Controller
Peripheral Bridge
APB
PDC
AHB
IN+
IN- S/H
OFFSET PGA
PIO
Cyclic Pipeline
12-bit Analog-to-Digital
Converter
CHx
935
6500D–ATARM–29-Feb-12
SAM3S
935
6500D–ATARM–29-Feb-12
SAM3S
40.5.2 Interrupt Sources
The ADC interrupt line is connected on one of the internal sources of the Interrupt Contro ller.
Using the ADC interrupt requires the interrupt controller to be programmed first.
40.5.3 Analog Inputs The analog input pins can be mu ltiplexed with PI O lines. In this case, the assignm ent of th e ADC
input is automatically done as soon as the corresponding channel is enabled by writing the reg-
ister ADC_CHER. By default, after reset, the PIO line is configured as input with its pull-up
enabled and the ADC input is connected to the GND.
40.5.4 Temperature Sensor
The temperature sensor is connected to Channel 15 of the ADC.
The temper ature se nsor pro vides an output v oltage V T that is proportional to absolute tempera-
ture (PTAT). To activate the temperature sensor, TSON bit (ADC_ACR) needs to be set.
40.5.5 I/O Lines The pin ADTRG ma y be shared with other peripheral functio ns through the PIO Controller. In
this case, the PIO Controller should be set accordingly to assign the pin ADTRG to the ADC
function.
Table 40-2. Peripheral IDs
Instance ID
ADC 29
Table 40-3. I/ O Lin es
Instance Signal I/O Line Peripheral
ADC ADTRG PA8 B
ADC AD0 PA17 X1
ADC AD1 PA18 X1
ADC AD2/WKUP9 PA19 X1
ADC AD3/WKUP10 PA20 X1
ADC AD4 PB0 X1
ADC AD5 PB1 X1
ADC AD6/WKUP12 PB2 X1
ADC AD7 PB3 X1
ADC AD8 PA21 X1
ADC AD9 PA22 X1
ADC AD10 PC13 X1
ADC AD11 PC15 X1
ADC AD12 PC12 X1
ADC AD13 PC29 X1
ADC AD14 PC30 X1
936 6500D–ATARM–29-Feb-12
SAM3S
936 6500D–ATARM–29-Feb-12
SAM3S
40.5.6 Timer TriggersTimer Counters may or may not be us ed as hardware triggers depending on user requirements.
Thus, some or all of the timer counters may be unconnected.
40.5.7 PWM Event Line
PWM Event Lines may or may not be used as hardware triggers depending on user
requirements.
40.5.8 Fault Output The ADC Controller has the FA ULT output connected t o the FA ULT input of PWM. Plea se ref er to
Section 40.6.12 ”Fault Output” and implementation of the PWM in the product.
40.5.9 Conversion Performances
For performance and electrical characteristics of the ADC, see the product Characteristics
section.
40.6 Functional Description
40.6.1 Analog-to-digital Conversion
The ADC uses the ADC Clock to perfor m conversi ons. Conver ting a si ngle analog value to a 12-
bit digital data requires Tracking Clock cycles as defined in the field TRACKTIM of the “ADC
Mode Registe r” on page 948 and Transfer Clock cycles as defin ed in the field TRANSFER o f the
same register. The ADC Clo ck fre quency is selected in th e PRESCAL field of the Mode Regist er
(ADC_MR). The tracking p hase starts during t he conversion o f the previous channel. I f the track-
ing time is longer th an the conversion time, the tracking phase is extended to the end of the
previous conver sio n.
The ADC clock range is between MCK/2, if PRESCAL is 0, and MCK/512, if PRESCAL is set to
255 (0xFF). PRESCAL must be programmed in order to provide an ADC clock frequency
according to the pa ra m et er s give n in th e product Electrical Characteristics section.
937
6500D–ATARM–29-Feb-12
SAM3S
937
6500D–ATARM–29-Feb-12
SAM3S
Figure 40-2. Sequence of ADC conversions when Tracki ng time > Conversion time
Figure 40-3. Sequence of ADC conversions when Tracki ng time < Conversion time
40.6.2 Conversion Reference
The conversion is performe d on a full rang e between 0V and the ref e rence voltage pin ADVREF.
Analog inputs betwe e n th ese volt ag es convert to values ba se d on a linear co nversion.
ADCClock
LCDR
ADC_ON
Trigger event (Hard or Soft)
ADC_SEL
DRDY
ADC_Start
CH0 CH1
CH0
CH2
CH1
Transfer Period Transfer PeriodStart Up
Time
(and tracking of CH0)
Conversion
of CH0 Conversion
of CH1
Tracking of CH1 Tracking of CH2
Commands
from controller
to analog cell
ADCClock
LCDR
ADC_ON
Trigger event (Hard or Soft)
ADC_SEL
DRDY
ADC_Start
CH0 CH1
CH0
CH2
CH1
CH3
CH2
Transfer Period Transfer Period Transfer PeriodStart Up
Time
&
Tracking
of CH0
Conversion
of CH0
&
Tracking
of CH1
Conversion
of CH1
&
Tracking
of CH2
Conversion
of CH2
&
Tracking
of CH3
Read the
ADC_LCDR
Commands
from controller
to analog cell
938 6500D–ATARM–29-Feb-12
SAM3S
938 6500D–ATARM–29-Feb-12
SAM3S
40.6.3 Conversion Resolution
The ADC supports 10-bit or 12-bit resolutions. The 10-bit selection is performed by setting the
LOWRES bit in the ADC Mode Register (ADC_MR). By default, after a reset, the resolution is
the highest and the DATA field in the data registers is fully used. By setting the LOWRES bit, the
ADC switches to the lowest resolution and the conversion results can be read in the lowest sig-
nificant bits of the data registers. The two highest bits of the DATA field in the corresponding
ADC_CDR register and of the LDATA field in the ADC_LCDR register read 0.
Moreover, when a PDC channel is connected to the ADC, 12-bit or 10-bit resolution sets the
transfer request size to 16 bits.
40.6.4 Conversion Results
When a conversion is completed, the resulting 12-bit digital value is stored in the Channel Data
Register (ADC_CD Rx) of the current channel a nd in the ADC Last Converted Da ta Register
(ADC_LCDR). By setting the TAG option in the ADC_EMR, the ADC_LCDR presents the chan-
nel number associated to the last converted data in the CHNB field.
The channel EOC bit in the Status Register (ADC_SR) is set and the DRDY is set. In the case of
a connected PDC channel, DRDY rising triggers a data transfer request. In any case, either
EOC and DRDY can trigger an interrupt.
Reading one of the ADC_ CDR registers clears the cor responding EOC bit. Reading ADC_LCDR
clears the DRDY bit and EOC bit corresponding to the last converted channel.
Figure 40-4. EOCx and DRDY Flag Behavior
If the ADC_CDR is not read before further incoming data is converted, the corresponding Over-
run Error (OVREx) flag is set in the Overrun Status Register (ADC_OVER).
Likewise, new data converted when DRDY is high sets the GOVRE bit (General Overrun Error)
in ADC_SR.
The OVREx flag is automatically cleared when ADC_OVER is read, and GOVRE flag is auto-
matically cleared when ADC_SR is read.
Read the ADC_CDRx
EOCx
DRDY
Read the ADC_LCDR
CHx
(ADC_CHSR)
(ADC_SR)
(ADC_SR)
Write the ADC_CR
with START = 1
Write the ADC_CR
with START = 1
939
6500D–ATARM–29-Feb-12
SAM3S
939
6500D–ATARM–29-Feb-12
SAM3S
Figure 40-5. GOVRE and OVREx Flag Behavior
Warning: If the corresponding channel is disabled during a conversion or if it is disabled and
then reenabled during a conversion, its associated data and its corresponding EOC and OVRE
flags in ADC_SR are unpredictable.
40.6.5 Conversion Triggers
Conversions of the active analog channels are started with a software or hardware trigger. The
software trigger is provided by writing the Control Register (ADC_CR) with the START bit at 1.
The hardware trigger can be one of the TIOA outputs of the Timer Counter channels, PWM
Event line, or the external trigger input of the A(ADTRG). The hardware trigger is selected with
the TRGSEL field in the Mode Register (ADC_MR). The selected hardware trigger is enabled
with the TRGEN bit in the Mode Register (ADC_MR).
The minimum time between 2 consecutive trigger events must be strictly greater than th e dura-
tion time of the longest co nversion sequence ac cording to configuration of r egisters ADC_MR,
ADC_CHSR, ADC_SEQR1, ADC_SEQR2.
EOC0
GOVRE
CH0
(ADC_CHSR)
(ADC_SR)
(ADC_SR)
Trigger event
EOC1
CH1
(ADC_CHSR)
(ADC_SR)
OVRE0
(ADC_OVER)
Undefined Data Data A Data B
ADC_LCDR
Undefined Data Data A
ADC_CDR0
Undefined Data Data B
ADC_CDR1
Data C
Data C
Conversion C
Conversion A
DRDY
(ADC_SR)
Read ADC_CDR1
Read ADC_CDR0
Conversion B
Read ADC_OVER
Read ADC_SR
OVRE1
(ADC_OVER)
940 6500D–ATARM–29-Feb-12
SAM3S
940 6500D–ATARM–29-Feb-12
SAM3S
If a hardware trigger is selected, the start of a conversion is triggered after a delay starting at
each rising edge of the selected signal. Due to asynchronous handling, the delay may vary in a
range of 2 MCK clock periods to 1 ADC clock period.
If one of the TIOA outputs is selected, the corresponding Timer Counter channel must be pro-
grammed in Waveform Mode.
Only one start comman d is necessar y to init iate a con version sequen ce on all the ch ann els. The
ADC hardware logic automatically performs the conversions on the active channels, then waits
for a new request. The Channel Enable (ADC_CHER) and Channel Disable (ADC_CHDR) Reg-
isters permit the analog channels to be enabled or disabled independently.
If the ADC is used with a PDC, only the transfers of converted data from enabled channels are
performed and the resulting data buffers should be interpreted accordingly.
40.6.6 Sleep Mode and Conversion Sequencer
The ASleep Mode maximizes power saving by automatically deactivatin g t he ADC when it is not
being used for conversions. Sleep Mode is selected by setting the SLEEP bit in the Mode Regis-
ter ADC_MR.
The Sleep mode is automatically mana ged by a conversion sequencer, which can autom atically
process the conversions of all channels at lowest power consumption.
This mode can be used when the minimum period of time between 2 successive trigger events
is greater than the startup period of Analog-Digital converter (S ee the product ADC Characteris-
tics section).
When a start conversion request occurs, the ADC is automatically activated. As the analog cell
requires a start-up t ime, t he logic waits during this time and sta rts the conver sion on the ena bled
channels. When all conv ersions are comp lete, th e ADC is deactivat ed until t he next tr igger. Tr ig-
gers occurring during the sequence are not taken into account.
A fast wake-up mode is available in the ADC Mode Register (ADC_MR) as a compromise
between power saving strate gy and responsiveness . Set ting the FWUP bit t o ‘1’ enables the fast
wake-up mode. In fast wake-up mode the ADC cell is not fully deactivat ed while no conversion is
requested, thereby providing less power saving but faster wakeup.
The conversion sequencer allows automatic processing with minimum processor intervention
and optimized power consumption. Conversion sequences can be performed periodically using
a Timer/Counter output or the PWM event line. The periodic acquisition of several samples can
be processed automatically without any intervention of the processor thanks to the PDC.
The sequence can be customized by programming the Sequence Channel Registers,
ADC_SEQR1 and ADC_SEQR2 and setting to 1 the USEQ bit of the Mode Regist er (ADC_MR).
The user can choose a specific order of chann els and can program up to 16 conversions by
sequence. The user is totally free to create a personal sequence, by writing channel numbers in
ADC_SEQR1 and ADC_SEQR2. Not only can channel numbers be written in any sequence,
channel numbers can be repeated several times. Only enabled sequence b itfields are con-
verted, consequently t o progr am a 15-conve rsion sequence, the user can simply p ut a disable in
ADC_CHSR[15], thus disabling the 16THCH field of ADC_SEQR2.
trigger
start delay
941
6500D–ATARM–29-Feb-12
SAM3S
941
6500D–ATARM–29-Feb-12
SAM3S
If all ADC channels (i.e. 16) are used on an application board, there is no restriction of usage of
the user sequence. But as soon as some ADC channels are not enabled for conversion but
rather used as pure digital inputs, the respective indexes of these channels cannot be used in
the user sequence fields (ADC_SEQR1, ADC_SEQR2 bitfields). For example, if channel 4 is
disabled (ADC_CSR[4] = 0), ADC_SEQR1, ADC_SEQR2 register bitfields USCH1 up to
USCH16 must not contain the value 4. Thus the length of the user sequence may be limited by
this behavior.
As an example, if only 4 channels over 16 (CH0 up to CH3) are selected for ADC conversions,
the user sequence length cannot exceed 4 channels. Each trigger event may lau nch up to 4 suc-
cessive conversions of any combination of channels 0 up to 3 but no more (i.e. in this case the
sequence CH0, CH0, CH1, CH1, CH1 is impossible).
A sequence that repeats several times the same chan nel requires more enabled channels than
channels actually used for conversion. For example, a sequence like CH0, CH0, CH1, CH1
requires 4 enabled channels (4 free channels on application boards) whereas only CH0 , CH1
are really converted.
Note: The reference voltage pins always remain connected in normal mode as in sleep mode.
40.6.7 Comparison Window
The ADC Controller features automatic comparison functions. It compares conv erted values to a
low threshold or a high threshold or both, according to the CMPMODE function chosen in the
Extended Mode Register (ADC_EMR). The comparison can be done on all channels or only on
the channel specified in CMPSEL field of ADC_EMR. To compare all channels the CMP_ALL
parameter of ADC_EMR sh ou ld be set .
The flag can be read on th e COMPE bit of the I nterru pt Status Re gister (ADC_IS R) and can tr ig-
ger an interrupt.
The High Threshold an d the Low Threshold can be read/write in t he Comparison Win dow Regis-
ter (ADC_CWR).
If the comparison window is t o be used with LOWRES bit in ADC_MR set to 1, t he thresho lds do
not need to be adjusted as adjustment will be done internally. Whether or not the LOWRES bit is
set, thresholds must alwa ys be configured in consideration of the maximum ADC resolution.
40.6.8 Differential Inputs
The ADC can be used either as a single ended A(DIFF bit equal to 0) or as a fully differential
A(DIFF bit equal to 1) as shown in Figure 40-6 . By default, after a reset , the ADC is in single
ended mode.
If ANACH is set in ADC_MR the ADC can apply a different mode on each channel. Otherwise
the parameters of CH0 are applied to all channels.
The same inputs are used in single ended or differential mode.
In single ended mode, inputs are managed by a 16:1 channels analog multiplexer. In the fully
differential mode, inputs are managed by an 8:1 channels analog multiplexer. See Table 40-4
and Table 40 -5.
942 6500D–ATARM–29-Feb-12
SAM3S
942 6500D–ATARM–29-Feb-12
SAM3S
40.6.9 Input Gain and Offset
The ADC has a built in Programmable Gain Amplifier (PGA) and Programmable Offset.
The Programmable Gain Amplifier can be set to gains of 1/2, 1, 2 and 4. The Programmable
Gain Amplifier can be used either for single ended applications or for fully differential
applications.
If ANACH is set in ADC_MR the ADC can apply different gain and offset on each channel. Oth-
erwise the parameters of CH0 are applied to all channels.
Table 40-4. Input Pins and Channel Number in Single Ended Mode
Input Pins Channel Number
AD0 CH0
AD1 CH1
AD2 CH2
AD3 CH3
AD4 CH4
AD5 CH5
AD6 CH6
AD7 CH7
AD8 CH8
AD9 CH9
AD10 CH10
AD11 CH11
AD12 CH12
AD13 CH13
AD14 CH14
AD15 CH15
Table 40-5. Input Pins and Channel Number In Differential Mode
Input Pins Channel Number
AD0-AD1 CH0
AD2-AD3 CH2
AD4-AD5 CH4
AD6-AD7 CH6
AD8-AD9 CH8
AD10-AD11 CH10
AD12-AD13 CH12
AD14-AD15 CH14
943
6500D–ATARM–29-Feb-12
SAM3S
943
6500D–ATARM–29-Feb-12
SAM3S
The gain is configurable through the GAIN bit of the Channel Gain Register (ADC_CGR) as
shown in Table 40-6.
To allow full range, analog offset of the ADC can be configured by the OFFSET bit of the Chan-
nel Offset Register (ADC_COR). The Offset is only available in Single Ended Mode.
Table 40-6. Gain of the Sample and Hold Unit : GAIN Bits and DIFF Bit.
GAIN<0:1> GAIN (DIFF = 0) GAIN (DIFF = 1)
00 1 0.5
01 1 1
10 2 2
11 4 2
Table 40-7. Offset of the Sample and Hold Unit: OFFSET DIFF and Gain (G)
OFFSET Bit OFFSET (DIFF = 0) OFFSET (DIFF = 1)
00 0
1 (G-1)Vrefin/2
944 6500D–ATARM–29-Feb-12
SAM3S
944 6500D–ATARM–29-Feb-12
SAM3S
Figure 40-6. Analog Full Scale Ranges in Single Ended/Differential Applications Versus Gain and Offset
40.6.10 ADC Timings Each ADC has its own minimal Startup Time that is programmed through the field STARTUP in
the Mode Register, ADC_MR.
A minimal Tracking Time is necessary for the ADC to guarantee the best conver te d final value
between two channel selections. This time has to be programmed through the T RACKTIM bit
field in the Mode Register, ADC_MR.
VIN+
gain=0.5
gain=1
gain=2
gain=4
single ended
se0fd1=0 fully diff erent ial
se0fd1=1
same as
gain=1
same as
gain=2
0
vrefin
)vrefin
vrefin
0
)vrefin
)vrefin
)vrefin
vrefin
0
(5/8)vrefin
(3/8)vrefin
)vrefin
offset=0offset=1
offset=0offset=1
)vrefin
vrefin
0
(5/8)vrefin
(3/8)vrefin
)vrefin
)vrefin
)vrefin
(1/8)vrefin
(00)
(01)
(10)
(11)
VIN+
VIN+
VIN+
VIN+
VIN+
VIN+
VIN-
VIN+
VIN-
VIN+
VIN-
VIN+
VIN-
945
6500D–ATARM–29-Feb-12
SAM3S
945
6500D–ATARM–29-Feb-12
SAM3S
When the gain, offset or differential input parameters of the ana log cell change between two
channels, the analog cell may need a specific settling time before starting the tracking phase. In
that case, the controller automatically waits during the settling time defined in the “ADC Mode
Register”. Obviously, if the ANACH option is not set, this time is unused.
Warning: No input buffer amplifier to isolate the source is included in the ADC. This must be
taken into consideration to program a precise value in the TRACKTIM field. See the product
ADC Characteristics section.
40.6.11 Buffer Structure
The PDC read channel is triggered each time a new data is stored in ADC_LCDR register. The
same structure of data is repeated ly stored in ADC_LCDR register each time a trigger event
occurs. Depending on user mode of operation (ADC_MR, ADC_CHSR, ADC_SEQR1,
ADC_SEQR2) the structure differs. Each data transferred to PDC buffer, carried on a half-word
(16-bit), co nsists o f last con verted d ata righ t alig ned and when TAG is set in ADC_EMR r egist er,
the 4 most significant b its are carrying the cha nnel number thus allowing an easier post-proce ss-
ing in the PDC buffer or better checking the PDC buffer integrity.
40.6.12 Fault Output The ADC Controller internal fault output is directly connected to PWM fault input. Fault output
may be asserted ac cording to the configuration of ADC_EMR (Extended Mode Register) and
ADC_CWR (Compare Window Register) and converted values. Wh en the Compar e occur s, the
ADC Fault outpu t generat es a pulse of one Master Clock Cycle t o the PWM fau lt inpu t. This fault
line can be enabled or disab led within PWM. Should it be activated and asser ted by the ADC
Controller, the PW M outputs are imme diately placed in a safe state (pure combinational path).
Note that the ADC Fault output connected to the PWM is not the COMPE bit. Thus the Fault
Mode (FMOD) within the PWM configuration must be FMOD = 1.
40.6.13 Write Protection Registers
To prevent any single software error that may corrupt ADC behavior, certain address spaces
can be write-protected by setting the WPEN bit in the “ADC Write Protect Mode Register”
(ADC_WPMR).
If a write access to the protected registers is detected, then the WPVS flag in the ADC Write Pro-
tect Status Register (ADC_WPSR) is set and the field WPVSRC indicates in which register the
write access has been attempted.
The WPVS flag is reset by writing the ADC Write Protect Mode Register (ADC_WPMR) with the
appropriate access key, WPKEY.
The protected registers are:
“ADC Mode Register” on page 948
“ADC Channel Sequence 1 Register” on page 951
“ADC Channel Sequence 2 Register” on page 952
“ADC Channel Enable Register” on page 953
“ADC Channel Disable Register” on page 954
“ADC Extended Mode Register” on page 962
“ADC Compare Window Register” on page 963
“ADC Channel Gain Register” on page 964
“ADC Channel Offse t Register” on page 965
946 6500D–ATARM–29-Feb-12
SAM3S
946 6500D–ATARM–29-Feb-12
SAM3S
40.7 Analog-to-Digital Converter (ADC) User Interface
Any offset not listed in Table 40-8 must be considered as “reserved”.
2. If an offset is not listed in the table it must be considered as “reserved”.
Table 40-8. Register Mapping
Offset Register Name Access Reset
0x00 Control Register ADC_CR Write-only
0x04 Mode Register ADC_MR Read-write 0x00000000
0x08 Channel Sequence Register 1 ADC_SEQR1 Read-write 0x00000000
0x0C Channel Sequence Register 2 ADC_SEQR2 Read-write 0x00000000
0x10 Channel Enable Register ADC_CHER Write-only
0x14 Channel Disable Register ADC_CHDR Write-only
0x18 Channel Status Register ADC_CHSR Read-only 0x00000000
0x1C Reserved
0x20 Last Converted Data Register ADC_LCDR Read-only 0x00000000
0x24 Interr upt Enable Register ADC_IER Write-only
0x28 Interr upt Disable Register ADC_IDR Write-onl y
0x2C Interrupt Mask Register ADC_IMR Read-only 0x00000000
0x30 Interr upt Status Register ADC_ISR Read-only 0x00000000
0x34 Reserved
0x38 Reserved
0x3C Overrun Status Register ADC_OVER Read-only 0x00000000
0x40 Extended Mode Register ADC_EMR Read-write 0x00000000
0x44 Compare Wi ndow Register ADC_CW R Re ad-write 0x00000000
0x48 Channel Gain Register ADC_CGR Read-write 0x00000000
0x4C Channel Offset Register ADC_COR Read-write 0x00000000
0x50 Channel Data Register 0 ADC_CDR0 Read-only 0x00000000
0x54 Channel Data Register 1 ADC_CDR1 Read-only 0x00000000
... ... ... ... ...
0x8C Channel Data Register 14 ADC_CDR14 Re ad-only 0x00000000
0x90 - 0x90 Reserved
0x94 Analog Control Register ADC_A CR Read-write 0x00000100
0x98 - 0xAC Reserved
0xC4 - 0xE0 Reserved
0xE4 Write Protect Mode Register ADC_WPMR Read-write 0x00000000
0xE8 Write Protect Status Register ADC_WPSR Read-only 0x00000000
0xEC - 0xF8 Reserved
0xFC Reserved
947
6500D–ATARM–29-Feb-12
SAM3S
947
6500D–ATARM–29-Feb-12
SAM3S
40.7.1 ADC Control Register
Name: ADC_CR
Address: 0x40038000
Access: Write-only
SWRST: Software Reset
0 = No effect.
1 = Resets the ADC simulating a hardware reset.
START: Start Conversion
0 = No effect.
1 = Begins analog-to -digital conversion.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––––STARTSWRST
948 6500D–ATARM–29-Feb-12
SAM3S
948 6500D–ATARM–29-Feb-12
SAM3S
40.7.2 ADC Mode Register
Name: ADC_MR
Address: 0x40038004
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
TRGEN: Trigger Enable
TRGSEL: Trigger Selection
LOWRES: Resolution
31 30 29 28 27 26 25 24
USEQ TRANSFER TRACKTIM
23 22 21 20 19 18 17 16
ANACH SETTLING STARTUP
15 14 13 12 11 10 9 8
PRESCAL
76543210
FREERUN FWUP SLEEP LOWRES TRGSEL TRGEN
Value Name Description
0 DIS Hardware triggers are disabled. Starting a conversion is only possible by software.
1 EN Ha rd ware tr igger selected by TRGSEL field is enabled.
Value Name Description
0 ADC_TRIG0 Exte rnal trigger
1 ADC_TRIG1 TIO Output of the Timer Counter Channel 0
2 ADC_TRIG2 TIO Output of the Timer Counter Channel 1
3 ADC_TRIG3 TIO Output of the Timer Counter Channel 2
4 ADC_TRIG4 PWM Event Line 0
5 ADC_TRIG5 PWM Event Line 1
6 ADC_TRIG6 Reserved
7–Reserved
Value Name Description
0 BITS_12 12-bit resolution
1 BITS_10 10-bit resolution
949
6500D–ATARM–29-Feb-12
SAM3S
949
6500D–ATARM–29-Feb-12
SAM3S
SLEEP: Sleep Mode
•FWUP: Fast Wake Up
FREERUN: Free Run Mode
PRESCAL: Prescaler Rate Selection
ADCClock = MCK / ( (PRESCAL+1) * 2 )
STARTUP: Start Up Time
Value Name Description
0 NORMAL Normal Mode: The ADC Core and reference voltage circuitry are kept ON between conversions
1 SLEEP Sleep Mode: The ADC Core and reference voltage circuitry are OFF between conversions
Value Name Description
0 OFF Normal Sleep Mode: The sleep mode is defined by the SLEEP bit
1 ON Fast Wake Up Sleep Mode: The Voltage reference is ON between conversions and ADC Core is OFF
Value Name Description
0OFFNormal Mode
1 ON Free Run Mode: Never wait for any trigger.
Value Name Description
0 SU T0 0 per iods of ADCClock
1 SU T8 8 per iods of ADCClock
2 SUT16 16 periods of ADCClock
3 SUT24 24 periods of ADCClock
4 SUT64 64 periods of ADCClock
5 SUT80 80 periods of ADCClock
6 SUT96 96 periods of ADCClock
7 SUT112 112 periods of ADCCl ock
8 SUT512 512 periods of ADCCl ock
9 SUT576 576 periods of ADCCl ock
10 SUT640 640 periods of ADCClock
11 SUT704 704 periods of ADCClock
12 SUT768 768 periods of ADCClock
13 SUT832 832 periods of ADCClock
14 SUT896 896 periods of ADCClock
15 SUT960 960 periods of ADCClock
950 6500D–ATARM–29-Feb-12
SAM3S
950 6500D–ATARM–29-Feb-12
SAM3S
SETTLING: Analog Settling Time
ANACH: Analog Change
TRACKTIM: Tracking Time
Tracking Time = (TRACKTIM + 1) * ADCClock periods.
TRANSFER: Tran s fer Period
Transfer Period = (TRANSFER * 2 + 3) ADCClock periods.
USEQ: Use Sequence Enable
Value Name Description
0 AST3 3 periods of ADCClock
1 AST5 5 periods of ADCClock
2 AST9 9 periods of ADCClock
3 AST17 17 periods of ADCClock
Value Name Description
0 NONE No analog change on channel switching: DIFF0, GAIN0 and OFF0 are used for all channels
1 ALLOWED Allows different analog setti ngs for each channel. See ADC_CGR and ADC_COR Regi sters
Value Name Description
0 NUM_ORDER Normal Mode: The controller converts channels in a simple numeric order.
1 REG_ORDER User Sequence Mode: The sequence respects what is defined in ADC_SEQR1 and ADC_SEQR2
registers.
951
6500D–ATARM–29-Feb-12
SAM3S
951
6500D–ATARM–29-Feb-12
SAM3S
40.7.3 ADC Cha n ne l Sequ en c e 1 Re gi st er
Name: ADC_SEQR1
Address: 0x40038008
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
USCHx: User Sequence Number x
The sequence numbe r x (USCHx) can be program med by the Channel number CHy where y is the value written in this
field. The allowed range is 0 up to 7. So it is only possible to use the sequencer from CH0 to CH7.
This register activates only if ADC_MR(USEQ) field is set to ‘1’.
Any USCHx field is taken into account only if ADC_CHSR(CHx) register field reads logical ‘1’ else any value written in
USCHx does not add the corresp onding channel in the conversion sequence.
When configuring consecutive fields with the same value, the associated channel is sampled as many time as the number
of consecutive values, this part of t he conversion sequence being triggered by a unique event.
Configuring the same value in different fields leads to multiple samples of the same channel during the conversion
sequence. This can be done consecutively, or not, according to user needs.
31 30 29 28 27 26 25 24
–USCH8–USCH7
23 22 21 20 19 18 17 16
–USCH6–USCH5
15 14 13 12 11 10 9 8
–USCH4–USCH3
76543210
–USCH2–USCH1
952 6500D–ATARM–29-Feb-12
SAM3S
952 6500D–ATARM–29-Feb-12
SAM3S
40.7.4 ADC Cha n ne l Sequ en c e 2 Re gi st er
Name: ADC_SEQR2
Address: 0x4003800C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
USCHx: User Sequence Number x
The sequence numbe r x (USCHx) can be program med by the Channel number CHy where y is the value written in this
field. The allowed range is 0 up to 7. So it is only possible to use the sequencer from CH0 to CH7.
This register activates only if ADC_MR(USEQ) field is set to ‘1’.
Any USCHx field is taken into account only if ADC_CHSR(CHx) register field reads logical ‘1’ else any value written in
USCHx does not add the corresp onding channel in the conversion sequence.
When configuring consecutive fields with the same value, the associated channel is sampled as many time as the number
of consecutive values, this part of t he conversion sequence being triggered by a unique event.
Configuring the same value in different fields leads to multiple samples of the same channel during the conversion
sequence. This can be done consecutively, or not, according to user needs.
31 30 29 28 27 26 25 24
–USCH16–USCH15
23 22 21 20 19 18 17 16
–USCH14–USCH13
15 14 13 12 11 10 9 8
–USCH12–USCH11
76543210
USCH10 USCH9
953
6500D–ATARM–29-Feb-12
SAM3S
953
6500D–ATARM–29-Feb-12
SAM3S
40.7.5 ADC Channel Enable Register
Name: ADC_CHER
Address: 0x40038010
Access: Write-only
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
CHx: Channel x Enable
0 = No effect.
1 = Enables the corresponding channel.
Note: if USEQ = 1 in ADC_MR register, CHx corresponds to the xth channel of the sequence describ ed in ADC_SEQR1
and ADC_SEQR2.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CH15 CH14 CH13 CH12 CH11 CH10 CH9 CH8
76543210
CH7 CH6 CH5 CH4 CH3 CH2 CH1 CH0
954 6500D–ATARM–29-Feb-12
SAM3S
954 6500D–ATARM–29-Feb-12
SAM3S
40.7.6 ADC Channel Dis able Re gi st er
Name: ADC_CHDR
Address: 0x40038014
Access: Write-only
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
CHx: Channel x Disable
0 = No effect.
1 = Disables the corresponding channel.
Warning: If the corresponding channel is disabled during a conversion or if it is disabled then reenabled during a conver-
sion, its associated data and its corresponding EOC and OVRE flags in ADC_SR are unpredictable.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CH15 CH14 CH13 CH12 CH11 CH10 CH9 CH8
76543210
CH7 CH6 CH5 CH4 CH3 CH2 CH1 CH0
955
6500D–ATARM–29-Feb-12
SAM3S
955
6500D–ATARM–29-Feb-12
SAM3S
40.7.7 ADC Channel Status Register
Name: ADC_CHSR
Address: 0x40038018
Access: Read-only
CHx: Channel x Status
0 = Corresponding channel is disabled.
1 = Corresponding channel is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CH15 CH14 CH13 CH12 CH11 CH10 CH9 CH8
76543210
CH7 CH6 CH5 CH4 CH3 CH2 CH1 CH0
956 6500D–ATARM–29-Feb-12
SAM3S
956 6500D–ATARM–29-Feb-12
SAM3S
40.7.8 ADC Last Converted Data Register
Name: ADC_LCDR
Address: 0x40038020
Access: Read-only
LDATA: Last Data Converted
The analog-to- digital conversion data is placed into this register at the end of a conversion and remains until a new conver-
sion is completed.
CHNB: Channel Number
Indicates the last conve rted channel when th e TAG option is set to 1 in ADC_EMR register. If TAG option is not set,
CHNB = 0.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
CHNB LDATA
76543210
LDATA
957
6500D–ATARM–29-Feb-12
SAM3S
957
6500D–ATARM–29-Feb-12
SAM3S
40.7.9 ADC Interrupt Enable Register
Name: ADC_IER
Address: 0x40038024
Access: Write-only
EOCx: End of Conversion Interrupt Enable x
DRDY: Data Ready Interrupt Enable
GOVRE: General Overrun Error Interrupt Enable
COMPE: Comparison Event Interrupt Enable
ENDRX: End of Receive Buffer Interrupt Enable
RXBUFF: Receive Buffer Full Interrupt Enable
0 = No effect.
1 = Enables the corresponding interrupt.
31 30 29 28 27 26 25 24
RXBUFF ENDRX COMPE GOVRE DRDY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
EOC15 EOC14 EOC13 EOC12 EOC11 EOC10 EOC9 EOC8
76543210
EOC7 EOC6 EOC5 EOC4 EOC3 EOC2 EOC1 EOC0
958 6500D–ATARM–29-Feb-12
SAM3S
958 6500D–ATARM–29-Feb-12
SAM3S
40.7.10 ADC Interrupt Disable Register
Name: ADC_IDR
Address: 0x40038028
Access: Write-only
EOCx: End of Conversion Interrupt Disable x
DRDY: Data Ready Interrupt Disable
GOVRE: General Overrun Error Interrupt Disable
COMPE: Comparison Event Interrupt Disable
ENDRX: End of Receive Buffer Interrupt Disable
RXBUFF: Receive Buffer Full Interrupt Disable
0 = No effect.
1 = Disables the corresponding interrupt.
31 30 29 28 27 26 25 24
RXBUFF ENDRX COMPE GOVRE DRDY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
EOC15 EOC14 EOC13 EOC12 EOC11 EOC10 EOC9 EOC8
76543210
EOC7 EOC6 EOC5 EOC4 EOC3 EOC2 EOC1 EOC0
959
6500D–ATARM–29-Feb-12
SAM3S
959
6500D–ATARM–29-Feb-12
SAM3S
40.7.11 ADC Interrupt Mask Register
Name: ADC_IMR
Address: 0x4003802C
Access: Read-only
EOCx: End of Conversion Interrupt Mask x
DRDY: Data Ready Interrupt Mask
GOVRE: General Overrun Error Interrupt Mask
COMPE: Comparison Event Interrupt Mask
ENDRX: End of Receive Buffer Interrupt Mask
RXBUFF: Receive Buffer Full Interrupt Mask
0 = The corresponding interrupt is disabled.
1 = The corresponding interrupt is enabled.
31 30 29 28 27 26 25 24
RXBUFF ENDRX COMPE GOVRE DRDY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
EOC15 EOC14 EOC13 EOC12 EOC11 EOC10 EOC9 EOC8
76543210
EOC7 EOC6 EOC5 EOC4 EOC3 EOC2 EOC1 EOC0
960 6500D–ATARM–29-Feb-12
SAM3S
960 6500D–ATARM–29-Feb-12
SAM3S
40.7.12 ADC Interrupt Status Register
Name: ADC_ISR
Address: 0x40038030
Access: Read-only
EOCx: End of Conversion x
0 = Corresponding analog channel is disabled, or the conversion is not finished. This flag is clea red when re ading t he c or-
responding ADC_CDRx registers.
1 = Corresponding analog channel is enabled and conversion is complete.
DRDY: Data Ready
0 = No data has been converted since the last read of ADC_LCDR.
1 = At least one data has been converted and is available in ADC_LCDR.
GOVRE: General Overrun Error
0 = No General Overrun Error occurred since the last read of ADC_ISR.
1 = At least one General Overrun Error has occurred since the last read of ADC_ISR.
COMPE: Comparison Error
0 = No Comparison Error since the last read of ADC_ISR.
1 = At least one Co mparison Error has occurred since the last read of ADC_ISR.
ENDRX: End of RX Buffer
0 = The Receive Counter Register has not reached 0 since the last write in ADC_RCR or ADC_RNCR.
1 = The Receive Counter Register has reached 0 since the last write in ADC_RCR or ADC_RNCR.
RXBUFF: RX Buffer Full
0 = ADC_RCR or ADC_RNCR have a value other than 0.
1 = Both ADC_RCR and ADC_RNCR have a value of 0.
31 30 29 28 27 26 25 24
RXBUFF ENDRX COMPE GOVRE DRDY
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
EOC15 EOC14 EOC13 EOC12 EOC11 EOC10 EOC9 EOC8
76543210
EOC7 EOC6 EOC5 EOC4 EOC3 EOC2 EOC1 EOC0
961
6500D–ATARM–29-Feb-12
SAM3S
961
6500D–ATARM–29-Feb-12
SAM3S
40.7.13 ADC Overrun Status Register
Name: ADC_OVER
Address: 0x4003803C
Access: Read-only
OVREx: Overrun Error x
0 = No overrun error on the corresponding channel since the last read of ADC_OVER.
1 = There has been an overrun error on the corresponding channel since the last read of ADC_OVER.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
OVRE15 OVRE14 OVRE13 OVRE12 OVRE11 OVRE10 OVRE9 OVRE8
76543210
OVRE7 OVRE6 OVRE5 OVRE4 OVRE3 OVRE2 OVRE1 OVRE0
962 6500D–ATARM–29-Feb-12
SAM3S
962 6500D–ATARM–29-Feb-12
SAM3S
40.7.14 ADC Extended Mode Register
Name: ADC_EMR
Address: 0x40038040
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
CMPMODE: Comparison Mode
CMPSEL: Comparison Selected Channel
If CMPALL = 0: CMPSEL indicates which channel has to be compared.
If CMPALL = 1: No effect.
CMPALL: Compare All Channels
0 = Only channel indicated in CMPSEL field is compared.
1 = All channels are compared.
TAG: TAG of ADC_LDCR register
0 = set CHNB to zero in ADC_LDCR.
1 = append the channel number to the conversion result in ADC_LDCR register.
31 30 29 28 27 26 25 24
–––––––TAG
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––CMPALL
76543210
CMPSEL CMPMODE
Value Name Description
0 LOW Generates an event when the converted data is lower than the low threshold of the window.
1 HIGH Generates an event when the converted data is higher than the high threshold of the window.
2 IN Generates an event when the converted data is in the comparison wind ow.
3 OUT Generates an event when the converted data is out of the comparison win dow.
963
6500D–ATARM–29-Feb-12
SAM3S
963
6500D–ATARM–29-Feb-12
SAM3S
40.7.15 ADC Compare Window Register
Name: ADC_CWR
Address: 0x40038044
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
LOWTHRES: Low Threshold
Low threshold associated to compare settings of ADC_EMR register.
HIGHTHRES: High Threshold
High threshold associated to compare settings of ADC_EMR register.
31 30 29 28 27 26 25 24
–––– HIGHTHRES
23 22 21 20 19 18 17 16
HIGHTHRES
15 14 13 12 11 10 9 8
–––– LOWTHRES
76543210
LOWTHRES
964 6500D–ATARM–29-Feb-12
SAM3S
964 6500D–ATARM–29-Feb-12
SAM3S
40.7.16 ADC Channel Gain Register
Name: ADC_CGR
Address: 0x40038048
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
GAINx: Gain for channel x
Gain applied on input of analog-to-digital converter.
The DIFFx mentioned in this table is described in the following register, ADC_COR.
31 30 29 28 27 26 25 24
GAIN15 GAIN14 GAIN13 GAIN12
23 22 21 20 19 18 17 16
GAIN11 GAIN10 GAIN9 GAIN8
15 14 13 12 11 10 9 8
GAIN7 GAIN6 GAIN5 GAIN4
76543210
GAIN3 GAIN2 GAIN1 GAIN0
GAINx Gain applied when DIFFx = 0 Gain applied when DIFFx = 1
001 0.5
011 1
102 2
114 2
965
6500D–ATARM–29-Feb-12
SAM3S
965
6500D–ATARM–29-Feb-12
SAM3S
40.7.17 ADC Channel Offset Register
Name: ADC_COR
Address: 0x4003804C
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
OFFx: Offset for channel x
0 = No Offset.
1 = center the analog signal on Vrefin/2 before the gain scaling. The Offset applied is: (G-1)Vrefin/2
where G is the gain applied (see description of ADC_CGR register).
DIFFx: Differential inputs for channel x
0 = Single Ended Mode.
1 = Fully Differential Mode.
31 30 29 28 27 26 25 24
DIFF15 DIFF14 DIFF13 DIFF12 DIFF11 DIFF10 DIFF9 DIFF8
23 22 21 20 19 18 17 16
DIFF7 DIFF6 DIFF5 DIFF4 DIFF3 DIFF2 DIFF1 DIFF0
15 14 13 12 11 10 9 8
OFF15 OFF14 OFF13 OFF12 OFF11 OFF10 OFF9 OFF8
76543210
OFF7 OFF6 OFF5 OFF4 OFF3 OFF2 OFF1 OFF0
966 6500D–ATARM–29-Feb-12
SAM3S
966 6500D–ATARM–29-Feb-12
SAM3S
40.7.18 ADC Channel Data Register
Name: ADC_CDRx [x=0..14]
Address: 0x40038050
Access: Read-write
DATA: Converted Data
The analog-to- digital conversion data is placed into this register at the end of a conversion and remains until a new conver-
sion is completed. The Convert Data Register (CDR) is only loaded if the corresponding analog channel is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––– DATA
76543210
DATA
967
6500D–ATARM–29-Feb-12
SAM3S
967
6500D–ATARM–29-Feb-12
SAM3S
40.7.19 ADC Analog Control Register
Name: ADC_ACR
Address: 0x40038094
Access: Read-write
This register can only be written if the WPEN bit is cleared in “ADC Write Protect Mode Regist er” on page 968.
TSON: Temperature Sensor On
0 = temperature sensor is off.
1 = temperature sensor is on.
IBCTL: ADC Bias Current Control
Allows to adapt performance versus power consumption. (See the product electrical characteristics for further details.)
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
–––––– IBCTL
76543210
–––TSON––
968 6500D–ATARM–29-Feb-12
SAM3S
968 6500D–ATARM–29-Feb-12
SAM3S
40.7.20 ADC Write Protect Mode Register
Name: ADC_WPMR
Address: 0x400380E4
Access: Read-write
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x414443 (“ADC” in ASCII).
1 = Enables the Write Protect if WPKEY corresponds to 0x414443 (“ADC” in ASCII).
Protects the registers:
“ADC Mode Register” on page 948
“ADC Channel Seq uence 1 Register” on page 951
“ADC Channel Seq uence 2 Register” on page 952
“ADC Channel Ena ble Register” on page 953
“ADC Channel Disable Register” on page 954
“ADC Extended Mode Register” on page 962
“ADC Compare Window Register” on page 963
“ADC Channel Gain Register” on page 964
“ADC Channel Of fset Register” on page 965
“ADC Analog Control Re gister” on page 967
WPKEY: Write Protect KEY
Should be written at value 0x414443 (“ADC” in ASCII). Writing any other value in this field aborts the write operation of the
WPEN bit. Always reads as 0.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
969
6500D–ATARM–29-Feb-12
SAM3S
969
6500D–ATARM–29-Feb-12
SAM3S
40.7.21 ADC Write Protect Status Register
Name: ADC_WPSR
Address: 0x400380E8
Access: Read-only
WPVS: Write Protect Violation Status
0 = No Write Protect Violation has occurred since the last read of the ADC_WPSR register.
1 = A Write Protect Violation has occurred since the last read of the ADC_WPSR register. If this violation is an unauthor-
ized attempt to write a pr ot ected register , the ass ocia te d violat ion is repor te d in to field WP VSRC.
WPVSRC: Write Protect Violation Source
When WPVS is active, this field indicates the write-protected register (through address offset or code) in which a write
access has been attempted.
Reading ADC_WPSR automatically clears all fields.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
WPVSRC
15 14 13 12 11 10 9 8
WPVSRC
76543210
–––––––WPVS
970 6500D–ATARM–29-Feb-12
SAM3S
970 6500D–ATARM–29-Feb-12
SAM3S
971
6500D–ATARM–29-Feb-12
SAM3S
41. Digital-to-Analog Converter Controller (DACC)
41.1 Description The Digita l-to-Analog Converter Controller (DACC) offers up to 2 analog outputs, making it possible for the
digital-to-analog conversion to drive up to 2 independent analog lines.
The DACC supports 12-bit resol ution. Data to be converte d are sent in a co mmon reg ister for all channels.
Exter nal tr iggers or free running mode are configurable.
The DACC integrates a Sleep Mode and connects wi th a PDC ch annel. These features reduce both power
consumption and processor intervention.
The user can configure DACC timings, such as Startup Time and Refresh Period.
41.2 Embedded Characteristics
Up to 2 channels 12- bit DAC
Up to 2 mega-samples conversion rate in single channel mode
Flexible conversion range
Multiple trigger sources for each channel
2 Sample/Hol d (S/ H) ou tp ut s
Built-in offset and gain calibration
Possibility to drive output to ground
Possibility to use as input to analog comparator or ADC (as an internal wire and without S/H
stage)
Two PDC channels
Power reduction mode
972 6500D–ATARM–29-Feb-12
SAM3S
41.3 Block Diagram
Figure 41-1. Digital-to-Analog Converter Controller Block Diagram
41.4 Signal Description
41.5 Product Dependencies
41.5.1 Power Management
The DACC becomes active as soon as a conversion is requested and at least one channel is enabled. The
DACC is automatically deactivated when no channels are enabled.
DAC0 DAC1
AHB
Analog Cell
DAC Controller
Control
Logic Interrupt
Controller
PDC
Peripheral Bridge
APB
User
Interface
Sample & Hold
Sample & Hold
Trigger
Selection
DATRG
DAC Core
Table 41-1. DACC Pin Description
Pin Name Description
DAC0 - DAC1 Analog output channels
DATRG External triggers
973
6500D–ATARM–29-Feb-12
SAM3S
For power saving options see Section 41.6.6 ”Sleep Mode”.
41.5.2 Interrupt Sources
The DACC interrupt line is connected on one of the i nternal so urces of the interrup t controller. Using the
DACC interrupt requires the interrupt controller to be programmed first.
41.5.3 Conversion Performances
For performance and electrical characteristics of the DACC, see the product Characteristics section.
Table 41-2. Peripheral IDs
Instance ID
DACC 30
974 6500D–ATARM–29-Feb-12
SAM3S
41.6 Functional Description
41.6.1 Digital-to-Analog Conversion
The DACC uses the master clock (MCK) divided by two to perform conv ersions. This clock is named DACC
Clock. Once a conversion starts the DACC takes 25 clock periods to provide the analog result on the
selected analog output.
41.6.2 Conversion Results
When a conversion is completed , the resulting analog value is av ailable at the selected D ACC channel out-
put and the EOC bit in the DACC Interru pt Status Register, is set.
Reading the DACC_ISR register clears the EOC bit.
41.6.3 Conversion Triggers
In free run ning mode, conversion starts as soon as at least one channel is enabled and data is written in
the DACC C onversion Data Register , then 25 DACC Clock period s later, the converted da ta is available at
the corresponding analog outpu t as stated above.
In external trigger mode, the conversion waits for a rising edge on the selected trigger to begin.
Warning: Disabling the external trigger mode automatically sets the DACC in free running mode.
41.6.4 Conver sion FIFO
A 4 half-word FIFO is used to handle the data to be conv erted.
As long as the TXRDY flag in the DACC Interrupt Status Register is active the DAC Controller is ready to
accept conversion requests by writing data into DACC Conversion Data Register. Data which cannot be
converted immediately are stored in the DACC FIFO.
When the FIFO is full or the DACC is not ready to accept conversion requests, the TXRDY flag is inactive.
The WORD field of the DACC Mode Register allows the user to switch between half-word and word transf er
for writing into the FIFO.
In half-word transfer mode only the 16 LSB of DACC_CDR data are taken into account, DACC_CDR[15:0]
is stored into the FIFO.
D ACC_CDR[11:0] field is used as data and the D ACC_CDR[15:12] bits are used for channel selection if the
TAG field is set in DACC_MR register.
In word transf er mode each time the D A CC_CDR register is written 2 data items are stored in the FIFO . The
first data item sampled for conversion is DACC_CDR[15:0] and the second DACC_CDR[31:16].
Fields DACC_CDR[15:12] and DACC_CDR[31:28] are used for channel selection if the TAG field is set in
DACC_MR register.
Warning: Writing in the DACC_CDR register while TXRDY flag is inactive will corrup t FIFO data.
41.6.5 Channel Selection
There are two means by which to select the channel to perform data conversion.
By default, to select the channel where to convert the data, is to use the USER_SEL field of
the DACC Mode Register. Data requests will merely be converted to the channel selected
with the USER_SEL field.
A more flexible option to select the channel for the data to be converted to is to use the tag
mode, setting the TAG field of the DACC Mode Register to 1. In this mode the 2 bits,
DACC_CDR[13:12] which are otherwise unused, are employed to select the channel in the
same way as with the USER_SEL field. Finally, if the WORD field is set, the 2 bits,
DACC_CDR[13 :12] are used for channel selection of the first dat a and the 2 bits,
DACC_CDR[29 :28] for channel selection of the second dat a.
975
6500D–ATARM–29-Feb-12
SAM3S
41.6.6 Sleep Mode The DACC Sleep Mode ma ximizes power saving by automatically deactivating the DACC when it is not
being used for conversions.
When a start conversion request occurs, the DACC is automatically activated. As the analog cell requires a
star t-up time, the logic waits dur ing this time and starts the conversion on the selected channel. When all
conversion requests are complete, the DACC is deactivated until the next request for conversion.
A fast wake-up mode i s available in the DACC Mode Register as a compromise between power saving
strategy and responsiveness. Setting the FASTW bit to 1 enables the fast wake-up mode. In fast wake-up
mode the DACC is not fully deactivated while no conv ersion is requested, thereby providing less power sa v-
ing but faster wake-up (4 times faster).
41.6.7 DACC TimingsThe DACC startup time must be defined by the user in the STARTUP field of the DACC Mode Register.
This startup time differs depending of the use of the fast wak e-up mode along with sleep mode, in this case
the user must set the STARTUP time corresponding to the fast wake up and not the standard startup time.
A max speed mode is av ailab le b y setting the MAXS bit to 1 in the D ACC_MR register . Using this mode, the
DAC Controller no longer waits to sample the end of cycle signal coming from th e DACC block to star t the
next conversion and uses an inter nal counter instead. This mode gains 2 DACC Clock periods between
each consecutive conversion.
Warning: Using this mode, the EOC interrupt of the DACC_IER register should not be used as it is 2 D ACC
Clock periods late.
After 20 µs the an alog voltage resulting from the conver ted data will start decreasing, therefore it is neces-
sar y to refresh the channel on a regular basis to prevent this voltage loss. This is the pur pose of the
REFRESH field in the DACC Mode Register where the user will define the period for the analog channels to
be refreshed.
Warning: A REFRESH PERIOD field set to 0 will disable the refresh function of the DACC channels.
Figure 41-2. Conversion Sequence
MCK
Write USER_SEL
field
Selected Channel
Write DACC_CDR
DAC Channel 0
Output
DAC Channel 1
Output
EOC
Read DACC_ISR
Select Channel 0
Channel 0 Channel 1
Data 0Data 1Data 2
Data 0Data 1
Data 2
Select Channel 1
None
TXRDY CDR FIFO not full
976 6500D–ATARM–29-Feb-12
SAM3S
41.6.8 Write Protection Registers
In order to provide security to the DACC, a write protection system has been implemented.
The write protection mode prevents the wr iting of certain registers. When this mode is enabled and one of
the protected registers is wr itten, an error is generated in the DACC Write Protect Status Register and the
register write request is canceled. When a write protection error occurs, the WPR OTERR flag is set and the
address of the corresponding canceled register write is available in the WPROTADRR field of the DACC
Write Protect Status Register.
Due to the nature of the w r ite prot ection feature, enabling and disabling the wr ite protection mo de requires
the use of a security code. Thus when enabling or disabling the write protection mode the WPKEY field of
the DACC Write Protect Mode Register must be filled with the “DAC” ASCII code (corresponding to
0x444143) otherwise the register write is canceled.
The protected registers are:
DACC Mode Register
DACC Channel Enable Register
DACC Channel Disable Register
DACC Analog Current Register
977
6500D–ATARM–29-Feb-12
SAM3S
41.7 Digital-to-Analog Converter (DACC) User Interface
Table 41-3. Register Mapping
Offset Register Name Access Reset
0x00 Control Register DACC_CR W rite-only
0x04 Mode Register DACC_MR Read-write 0x00000000
0x08 Reserved
0x0C Reserved
0x10 Channel Enable Register DACC_CHER Write-only
0x14 Channel Disable Register DACC_CHDR Write-only
0x18 Channel Status Register DACC_CHSR Read-only 0x00000000
0x1C Reserved
0x20 Conversion Data Register DACC_CDR Write-onl y 0x00000000
0x24 Interr upt Enable Register DACC_IER Write-only
0x28 Interr upt Disable Register DACC_IDR Write-only
0x2C Interrupt Mask Register DACC_IMR Read-only 0x00000000
0x30 Interr upt Status Register DACC_ISR Read-only 0x00000000
0x94 Analog Current Register DACC_ACR Read-write 0x00000000
0xE4 Write Protect Mode register DACC_WPMR Read-write 0x00000000
0xE8 Write Protect Status register DACC_WPSR Read-only 0x00000000
... ... ... ... ...
0xEC - 0xFC Reserved
978 6500D–ATARM–29-Feb-12
SAM3S
41.7.1 DACC Control Register
Name: DACC_CR
Address: 0x4003C000
Access: Write-only
SWRST: Software Reset
0 = No effect.
1 = Resets the DACC simulating a hardware reset.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
–––––––SWRST
979
6500D–ATARM–29-Feb-12
SAM3S
41.7.2 DACC Mode Register
Name: DACC_MR
Address: 0x4003C004
Access: Read-write
This register can only be written if the WPEN bit is cleared in DACC Write Protect Mode Register.
TRGEN: Trigger Enable
TRGSEL: Trigger Selection
WORD: Word Transfer
31 30 29 28 27 26 25 24
STARTUP
23 22 21 20 19 18 17 16
MAXS TAG USER_SEL
15 14 13 12 11 10 9 8
REFRESH
76543210
FASTWKUP SLEEP WORD TRGSEL TRGEN
TRGEN Selected mod e
0 External trigger mode disabled. DACC in free running mode.
1 External trigger mode enabled.
TRGSEL Selected TRGSEL
0 0 0 External trigger
0 0 1 TIO Output of the Timer Counter Channel 0
0 1 0 TIO Output of the Timer Counter Channel 1
0 1 1 TIO Output of the Timer Counter Channel 2
1 0 0 PWM Event Line 0
1 0 1 PWM Event Line 1
110Reserved
111Reserved
WORD Selected Resolution
0 Half-Word transfer
1 Word Transfer
980 6500D–ATARM–29-Feb-12
SAM3S
SLEEP: Sleep Mode
0 = Normal Mode: The DAC Core and reference voltage circuitry are kept ON between conversions.
After reset, the DAC is in normal mode but with the voltage reference and the DAC core off. For the first conversion, a
startup time must be defined in the STARTUP field. Note that in this mode, STARTUP time is only required once, at start
up.
1 = Sleep Mode: The DAC Core and reference voltage circuitry are OFF between conversions.
FASTWKUP: Fast Wake up Mode
0 = Normal Sleep Mode: The sleep mode is defined by the SLEEP bit.
1 = Fast Wake Up Sleep Mode: The voltage reference is ON between conversions and DAC Core is OFF.
REFRESH: Refresh Period
Refresh Period = 1024*REFRESH/DACC Clock
USER_SEL: User Channel Selection
TAG: Tag Selection Mode
SLEEP Selected Mode
0 N ormal Mode
1 Sleep Mode
FASTWKUP Selected Mode
0 Normal Sleep Mode
1 Fast Wake up Sleep Mode
Value Name Description
0 CHANNEL0 Channel 0
1 CHANNEL1 Channel 1
2 Reserved
3 Reserved
TAG Selected Mode
0Tag selection mode disabled. Using USER_SEL to select
the channel for the conversion
1 Tag selection mode enabled
981
6500D–ATARM–29-Feb-12
SAM3S
MAXS: Max Speed Mode
STARTUP: Startup Time Selection
Note: Refer to the product DAC electrical characteristics section for Startup Time value.
MAX
SPEED Selected Mode
0 Normal Mode
1 Max Speed Mode enabled
Value Name Description
0 0 0 periods of DAC Clock
1 8 8 periods of DAC Clock
2 16 16 periods of D AC Clock
3 24 24 periods of D AC Clock
4 64 64 periods of D AC Clock
5 80 80 periods of D AC Clock
6 96 96 periods of D AC Clock
7 112 112 periods of DAC Clock
8 512 512 periods of DAC Clock
9 576 576 periods of DAC Clock
10 640 640 periods of DAC Clock
11 704 704 periods of DAC Clock
12 768 768 periods of DAC Clock
13 832 832 periods of DAC Clock
14 896 896 periods of DAC Clock
15 960 960 periods of DAC Clock
982 6500D–ATARM–29-Feb-12
SAM3S
41.7.3 DACC Channel Enable Register
Name: DACC_CHER
Address: 0x4003C010
Access: Write-only
This register can only be written if the WPEN bit is cleared in DACC Write Protect Mode Register.
CHx: Channel x Enable
0 = No effect.
1 = Enables the corresponding channel.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––– CH1CH0
983
6500D–ATARM–29-Feb-12
SAM3S
41.7.4 DACC Channel Disable Register
Name: DACC_CHDR
Address: 0x4003C014
Access: Write-only
This register can only be written if the WPEN bit is cleared in DACC Write Protect Mode Register.
CHx: Channel x Disable
0 = No effect.
1 = Disables the corresponding channel.
Warning: If the corresponding channel is disabled during a conversion or if it is disabled then re-enabled during a conver-
sion, its associated analog value and its corresponding EOC flags in DACC_ISR are unpredictable.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––– CH1CH0
984 6500D–ATARM–29-Feb-12
SAM3S
41.7.5 DACC Channel Status Register
Name: DACC_CHSR
Address: 0x4003C018
Access: Read-only
CHx: Channel x Status
0 = Corresponding channel is disabled.
1 = Corresponding channel is enabled.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––– CH1CH0
985
6500D–ATARM–29-Feb-12
SAM3S
41.7.6 DACC Conversion Data Register
Name: DACC_CDR
Address: 0x4003C020
Access: Write-only
DATA: Data to Convert
When the WORD bit in DACC_MR register is cleared, only DATA[15:0] is used else DATA[31:0] is used to write 2 data to
be converted.
31 30 29 28 27 26 25 24
DATA
23 22 21 20 19 18 17 16
DATA
15 14 13 12 11 10 9 8
DATA
76543210
DATA
986 6500D–ATARM–29-Feb-12
SAM3S
41.7.7 DACC Interrupt Enable Register
Name: DACC_IER
Address: 0x4003C024
Access: Write-only
TXRDY: Transmit Ready Interrupt Enable
EOC: End of Conversion Interrupt Enable
ENDTX: End of Transmit Buffer In terrupt Enable
TXBUFE: Transmit Buffer Empty Interrupt Enable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––TXBUFEENDTXEOCTXRDY
987
6500D–ATARM–29-Feb-12
SAM3S
41.7.8 DACC Interrupt Disable Register
Name: DACC_IDR
Address: 0x4003C028
Access: Write-only
TXRDY: Transmit Ready Interrupt Disable.
EOC: End of Conversion Interrupt Disable
ENDTX: End of Transmit Buffer In terrupt Disable
TXBUFE: Transmit Buffer Empty Interrupt Disable
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––TXBUFEENDTXEOCTXRDY
988 6500D–ATARM–29-Feb-12
SAM3S
41.7.9 DACC Interrupt Mask Register
Name: DACC_IMR
Address: 0x4003C02C
Access: Read-only
TXRDY: Transmit Ready Interrupt Mask
EOC: End of Conversion Interrupt Mask
ENDTX: End of Transmit Buffer In terrupt Mask
TXBUF E: Transmit Buffer Empty Inte rrupt Mask
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––TXBUFEENDTXEOCTXRDY
989
6500D–ATARM–29-Feb-12
SAM3S
41.7.10 DACC Interrupt Status Register
Name: DACC_ISR
Address: 0x4003C030
Access: Read-only
TXRDY: Transmit Ready Interrupt Flag
0 = DACC is not ready to accept new conversion requests.
1 = DACC is ready to accept new conversion requests.
EOC: End of Conversion Interrupt Flag
0 = no conversion has been performed since the last DACC_ISR read.
1 = at least one conversion has been performed since the last DACC_ISR read.
ENDTX: End of DMA Interr upt Flag
0 = the Transmit Counter Register has not reached 0 since the last write in DACC_TCR or DACC_TNCR.
1 = the Transmit Counter Register has reached 0 since the last write in DACC _TCR or DACC_TNCR
TXBUFE: Transmit Buffer Empty
0 = the Transmit Counter Register has not reached 0 since the last write in DACC_TCR or DACC_TNCR.
1 = the Transmit Counter Register has reached 0 since the last write in DACC _TCR or DACC_TNCR.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––––
76543210
––––TXBUFEENDTXEOCTXRDY
990 6500D–ATARM–29-Feb-12
SAM3S
41.7.11 DACC Analog Current Register
Name: DACC_ACR
Address: 0x4003C094
Access: Read-write
This register can only be written if the WPEN bit is cleared in DACC Write Protect Mode Register.
IBCTLCHx: Analog Output Current Control
Allows to adapt the slew rate of the analog output. (See the product electrical characteristics for further details.)
IBCTLDACCORE: Bias Current Control for DAC Core
Allows to adapt performance versus power consumption. (See the product electrical characteristics for further details.)
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
––––––IBCTLDACCORE
76543210
–––– IBCTLCH1 IBCTLCH0
991
6500D–ATARM–29-Feb-12
SAM3S
41.7.12 DACC Write Protec t Mode Registe r
Name: DACC_WPMR
Address: 0x4003C0E4
Access: Read-write
WPEN: Write Protect Enable
0 = Disables the Write Protect if WPKEY corresponds to 0x444143 (“DAC” in ASCII).
1 = Enables the Write Protect if WPKEY corresponds to 0x444143 (“DAC” in ASCII).
The protected r egisters are:
DACC Mode Register
DACC Channel Enable Register
DACC Channel Disable Register
DACC Analog Current Register
WPKEY: Write Protect KEY
This security code is needed to set/reset the WPROT bit value (see Section 41. 6.8 ”Write Protecti on Registers” for de tails).
Must be filled with “DAC” ASCII code.
31 30 29 28 27 26 25 24
WPKEY
23 22 21 20 19 18 17 16
WPKEY
15 14 13 12 11 10 9 8
WPKEY
76543210
–––––––WPEN
992 6500D–ATARM–29-Feb-12
SAM3S
41.7.13 DACC Write Protect Status Register
Name: DACC_WPSR
Address: 0x4003C0E8
Access: Read-only
WPROTADDR: Write protec tion error address
Indicate s the address of the register write request which generated the error.
WPROTERR: Write protection error
Indicates a write pr ot ec tio n er ro r.
31 30 29 28 27 26 25 24
––––––––
23 22 21 20 19 18 17 16
––––––––
15 14 13 12 11 10 9 8
WPROTADDR
76543210
–––––––WPROTERR
993
6500D–ATARM–29-Feb-12
SAM3S
42. SAM3S4/2/1 Electrical Characteristics
42.1 Absolute Maximum Ratings
Table 42-1. Absolute Maximum Ratings*
Operating Temperature (Industrial) ................-40°C to + 85°C*NOTICE: Stresses bey ond those listed under “Absolute Maximum
Ratings” may cause permanent damage to the device.
This is a stress rating only and functional operation of the
de vice at these or other conditions beyond those indi-
cated in the operational sections of this specification is
not implied. Exposure to absolute maximum rating
conditions for extended periods may affect device
reliability.
Storage Temperature.....................................-60°C to + 150°C
Voltage on Input Pins
with Respect to Ground....................... ... ..........-0.3V to + 4.0V
Maximum Operating Voltage
(VDDCORE)......................................................................2.0V
Maximum Operating Voltage
(VDDIO)............................................................................4.0V
Total DC Output Current on all I/O lines
100-lead LQFP...............................................................150 mA
100-ball TFBGA.............................................................150 mA
64-lead LQFP.................................................................100 mA
48-lead LQFP.................................................................100 mA
64-pad QFN...................................................................100 mA
48-pad QFN...................................................................100 mA
994 6500D–ATARM–29-Feb-12
SAM3S
42.2 DC Characteristics
The following characteristics are applicable to the operating temperature range: TA = -40°C
to 85°C, unless otherwise specified.
Table 42-2. DC Charac teristics
Symbol Parameter Conditions Min Typ Max Units
VDDCORE DC Supply Core 1.62 1.8 1.95 V
VVDDIO DC Supply I/Os (2) (3) 1.62 3.3 3.6 V
VVDDPLL PLL A, PLLB and Main
Oscillator Supply 1.62 1.95 V
VIL Input Low-level Voltage PA0-PA31, PB0-PB14, PC0-PC31 -0.3 0.3 x
VVDDIO V
VIH Input High-level Voltage PA0-PA31, PB0-PB14, PC0-PC31 0.7 x
VVDDIO
VVDDIO
+0.3V V
VOH Output High-le vel Voltage PA0-PA31, PB0-PB14, PC0-PC31
IOH ~ 0
IOH > 0 (See IOH details below)
V
VDDIO
-0.2V
V
VDDIO
-0.4V
V
VOL Output Low-level Voltage PA0-PA31, PB0-PB14, PC0-PC31
IOH ~ 0
IOH > 0 (See IOL detail s below) 0.2
0.4 V
VHys Hysteresis Voltage PA0-PA31, PB0-PB14, PC0-PC31
(Hysteresis mode enabled) 150 500 mV
ERASE, TST, JTAGSEL 230 700 mV
995
6500D–ATARM–29-Feb-12
SAM3S
Note: 1. PA[4-13], PA[15-28], PB[0-14], PC[0-31]
2. At power-up VDDIO needs to reach 0.6V before VDDIN reaches 1.0V
IO
IOH (or ISOURCE)
1.62V < VDDIO < 1.95V; VOH = VVDDIO - 0.4
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
-6
-6
-3
mA
3.0V < VDDIO < 3.6V; VOH = VVDDIO - 0.4
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
-6
-6
-3
1.62V < VDDIO < 3.6V; VOH = VVDDIO - 0.4
- NRST -2
Relaxed Mode:
3.0V < VDDIO < 3.6V; VOH = 2.2V
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
-14
-16
-8
IOL (or ISINK)
1.62V < VDDIO < 1.95V; VOL = 0.4V
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
8
8
4
mA
3.0V < VDDIO < 3.6V; VOL = 0.4V
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
9
12
6
1.62V < VDDIO < 3.6V; VOL = 0.4V
- NRST 2
Relaxed Mode:
3.0V < VDDIO < 3.6V; VOL = 0.6V
- PA14 (SPCK), PA29(MCCK) pins
- PA0-PA3
- Other pins(1)
14
18
9
IIL Input Low
Leakage Current No pull-up or pull-down; VIN=GND; VDDIO Max.
(Typ: TA = 25°C, Max: TA = 85°C) 530nA
IIH Input High
Leakage Current No pull-up or pull-down; VIN=VDD; VDDIO Max.
(Typ: TA = 25°C, Max: TA = 85°C) 218nA
RPULLUP Pull-up Resistor PA0-PA31, PB0-PB14, PC0-PC31 50 100 175 kΩ
NRST 50 100 175 kΩ
RPULLDOWN Pull-down Resistor PA0-PA31, PB0-PB9, PB12-PB14, PC0-PC31
PB10-PB11
TST, JTA GSEL
50
14.25
10
100
20 175
24.8
20 kΩ
RODT On-die Series Termination
Resistor PA4-PA31, PB0-PB9, PB12-PB14,PC0-PC31
PA0-PA3 36
18 Ω
CIN Input Capacitance Dig ital Inputs TBD pF
Table 42-2. DC Charac teristics (Continued)
Symbol Parameter Conditions Min Typ Max Units
996 6500D–ATARM–29-Feb-12
SAM3S
3. VDDIO voltage needs to be equal or below to (VDDIN voltage +0.5V)
Notes: 1. A 10µF or higher ceramic capacitor must be connected between VDDIN and the closest GND pin of the device.
This large decoupling capacitor is mandatory to reduce startup current, improving transient response and noise rejection.
2. To ensure stability, an external 2.2µ F output capacitor, CDOUT must be connected between the VDDOUT and the closest
GND pin of the device. The ESR (Equivalent Series Resistance) of the capacitor must be in the range 0.1 to 10 ohms.
Solid tantalum, and multilayer ceramic capacitors are all suitable as output capacitor.
A 100nF bypass capacitor between VDDOUT and the closest GND pin of the device helps decreasing output noise and
improves the load transie nt response.
3. Defined as the current needed to charge external bypass/decoupling capacitor network.
4. At power-up VDDIO needs to reach 0.6V before VDDIN reaches 1.0V
Table 42-3. 1.8V Voltage Regulator Characteristics
Symbol Parameter Conditions Min Typ Max Units
VVDDIN DC Input Voltage Range (4) (5) 1.8 3.3 3.6 V
VVDDOUT DC Output Voltag e Normal Mode
Standby Mode 1.8
0V
VACCURACY Output Voltage Accuracy ILoad = 0.5mA to 150 mA -3 3 %
ILOAD
ILOAD-START
Maximum DC Output
Current
Maximum Peak Current
during startup
VVDDIN > 2V
VVDDIN 2V 80
40 mA
See Note(3).400mA
DDROPOUT Dropout Voltage VVDDIN = 1.8V, ILoad = 60 mA 100 mV
VLINE
VLINE-TR
Line Regulation
Transient Line regulation
VVDDIN from 2.7V to 3.6V; ILoad MAX
V
VDDIN
from 2.7V to 3.6V; tr = tf = 5µs ; I
Load
Max
CDOUT = 4.7µF
20
50
50
100 mV
VLOAD
VLOAD-TR
Load Regulation
Transient Load Regulation
VVDDIN 2.2V;
ILoad = 10% to 90% MAX
VVDDIN 2.2V;
ILoad = 10% to 90% MAX
tr = tf = 5 µs
CDOUT = 4.7 µF
20
50
50
100
mV
IQQuiescent Current
Normal Mode;
@ ILoad = 0 mA
@ ILoad = 80 mA
Standby Mode;
7
700 10
1200
1
µA
CDIN Input Decoupling Capacitor Cf. External Capacitor Requirements (1) 10 µF
CDOUT Output Decoupling
Capacitor
Cf. External Capacitor Requirements (2)
ESR 0.1
2.2
10
µF
Ohm
TON Turn on Time
CDOUT= 2.2µF, VVDDOUT reaches VTH+ (core
power brownout detector supply rising
threshold) 100 200 µs
CDOUT= 2.2µF, VVDDOUT reaches 1.8V (+/- 3%) TBD TBD µs
TOFF Turn off Time CDOUT= 2.2µF 40 ms
997
6500D–ATARM–29-Feb-12
SAM3S
5. VDDIO voltage needs to be equal or below to (VDDIN voltage +0.5V)
Note: 1. The product is guaranteed to be functional at VTH-
Figure 42-1. Core Brownout Output Waveform
Table 42-4. Core Power Supply Brownout Detector Characteristics
Symbol Parameter Conditions Min Typ Max Units
VTH- Supply Falling Threshold(1) 1.52 1.55 1.58 V
VHYST- Hysteresis VTH- 25 38 mV
VTH+ Supply Rising Threshold 1.35 1.50 1.62 V
VHYST+ Hysteresis VTH+ 100 170 250 mV
IDDON
IDDOFF
Current Consumption on
VDDCORE
Brownout Detector enabled
Brownout Detector disabled
18
200
µA
nA
Td- VTH- detection propagation
time VDDCORE = VTH+ to (VTH- - 100mV) 200 ns
TSTART Startup Time From disabled state to enabled state 100 200 µs
t
VDDCORE
Vth-
Vth+
BOD OUTPUT
t
td+td-
Table 42-5. VDDIO Supply Monitor
Symbol Parameter Conditions Min Typ Max Units
VTH Supply Monitor Threshold 16 selectable steps of 100mV 1.9 3.4 V
TACCURACY Threshold Level Accuracy -1.5 +1.5 %
VHYST Hysteresis 20 30 mV
IDDON
IDDOFF
Current Consumption on
VDDCORE
enabled
disabled
18 28
1µA
TSTART Startup Time From disabled state to enabled state 140 µs
998 6500D–ATARM–29-Feb-12
SAM3S
Figure 42-2. VDDIO Supply Monitor
Figure 42-3. Zero-Power-on Reset Characteristics
V
th
V
hyst
VDDIO
Reset
V
th +
Table 42-6. Zero-Power-on Reset Characteristics
Symbol Parameter Conditions Min Typ Max Units
Vth+ Threshold voltage rising At Startup 1.46 1.55 1.60 V
Vth- Threshold voltage falling 1.36 1.45 1.54 V
Tres Reset Time-out Period 40 90 150 µs
V
th-
V
th+
VDDIO
Reset
999
6500D–ATARM–29-Feb-12
SAM3S
Table 42-7. DC Flash Characteristics
Symbol Parameter Conditions Typ Max Units
ICC Active current
128-Bit Mode Read Access:
Maximum Read Frequency onto VDDCORE = 1.8V @ 25 °C
Maximum Read Frequency onto VDDCORE = 1.95V @ 25 °C
64-Bit Mode Read Access:
Maximum Read Frequency onto VDDCORE = 1.8V @ 25 °C
Maximum Read Frequency onto VDDCORE = 1.95V @ 25 °C
19
25
8
12.5
22.5
30
11
15
mA
mA
mA
mA
Write onto VDDCORE = 1.8V @ 25 °C
Write onto VDDCORE = 1.95V @ 25 °C 7.5
5.5 9.5
6.0 mA
mA
1000 6500D–ATARM–29-Feb-12
SAM3S
42.3 Power Consumption
Power consumption of the device according to the different Low Power Mode Capabilities
(Backup, Wait, Sleep) and Active Mode.
Power consumption on power supply in different modes: Backup, Wait, Sleep and Active.
Power consumption by peripheral: calculated as the difference in current measurement after
having enabled then disabled the corresponding clock.
42.3.1 Backup Mode Current Consumption
The Backup Mode configura tion and measurements are defined as follow.
Figure 42-4. Measurement Setup
42.3.1.1 Configuration A
Supply Monitor on VDDIO is disabled
RTT and RTC not used
Embedded slow clock RC Oscillator used
One WKUPx enabled
Current measur ement on AMP1 (See Figure 42-4)
42.3.1.2 Configuration B
Supply Monitor on VDDIO is disabled
RTT used
One WKUPx enabled
Current measur ement on AMP1 (See Figure 42-4)
32 KHz Crystal Oscillator used
VDDIO
VDDOUT
VDDCORE
VDDIN Voltage
Regulator
VDDPLL
3.3V
AMP1
1001
6500D–ATARM–29-Feb-12
SAM3S
42.3.2 Sleep and Wait Mode Current Consumption
The Wait Mode and Sleep Mode configuration and measurements are defined below.
Figure 42-5. Measurement Setup for Sleep Mode
42.3.2.1 Sleep Mode Core Clock OFF
Master Clock (MCK) running at various frequencies with PLLA or the fast RC oscillator.
Fast start-up through WKUP0-15 pins
Current measurement as shown in figure Figure 42-6
All peripheral clocks deactivated
Table 42-9 gives current consumption in typical conditions.
Table 42-8. Power Consumption for Backup Mode Configuration A and B
Conditions Total Consumption (AMP1)
Configuration A Total Consumption (AMP1)
Configuration B Unit
VDDIO = 3.3V @25°C
VDDIO = 3.0V @25°C
VDDIO = 2.5V @25°C
VDDIO = 1.8V @25°C
3.4
3
2.5
1.78
3.45
3.1
2.55
1.78
µA
VDDIO = 3.3V @85°C
VDDIO = 3.0V @85°C
VDDIO = 2.5V @85°C
VDDIO = 1.8V @85°C
5.25
4.7
4
3.1
5.5
5
4.25
3.35
µA
VDDIO
VDDOUT
VDDCORE
VDDIN Voltage
Regulator
VDDPLL
3.3V
AMP1
AMP2
1002 6500D–ATARM–29-Feb-12
SAM3S
Figure 42-6. Current Consumption in Sleep Mode (AMP1) versus Master Clock ranges (Condi-
tion from Table 42-9)
Table 42-9. Typical Current Consumption for Sleep Mode
Conditions
VDDCORE
Consumption
(AMP1)
Total
Consumption
(AMP2) Unit
Figure 42-6 on page 1002 @25°C
MCK = 48 MHz
There is no activity on the I/Os of the
device.
12.2 14.18 mA
Table 42-10.
Sleep mode Current consumption versus Master Clock (MCK) variation with PLLA
Core Clock/MCK (MHz) VDDCORE Consumption
(AMP1) Total Consumption
(AMP2) Unit
64 15.5 18.71 mA
48 12.2 14.18 mA
32 8 9.57 mA
24 6.5 8.58 mA
0.000
2.000
4.000
6.000
8.000
10.000
12.000
14.000
16.000
18.000
0 4 8 1216202428323640444852566064
Processor and Peripheral Clocks in MHz
VDDCORE ( IDDCO RE ) in m
1003
6500D–ATARM–29-Feb-12
SAM3S
42.3.2.2 Wait Mode
Figure 42-7. Measurement Setup for Wait Mode
Core Clock and Master Clock Stopped
Current measurement as shown in the above figure
All Peripheral clocks deactivated
Table 42-12 gives current consumption in typical conditions.
Table 42-11. Sleep mode Cur rent consum ption versus Master Clock (MCK) variation with Fast
RC Oscillator
Core Clock/MCK (MHz) VDDCORE Consumption
(AMP1) Total Consumption
(AMP2) Unit
12 3.11 3.15 mA
8 2.08 2.11 mA
4 0.98 1.00 mA
2 0.55 0.56 mA
1 0.33 0.34 mA
0.5 0.22 0.23 mA
0.25 0.16 0.17 mA
0.125 0.14 0.15 mA
0.032 0.011 0.021 mA
Table 42-12. Typical Current Consumption in Wait Mode
Conditions
VDDOUT
Consumption
(AMP1)
Total
Consumption
(AMP2) Unit
See Figure 42-7 on page 1003 @25°C
There is no activity on the I/Os of the
device. 4.6 14.1 µA
VDDIO
VDDOUT
VDDCORE
VDDIN Voltage
Regulator
VDDPLL
3.3V
AMP1
AMP2
1004 6500D–ATARM–29-Feb-12
SAM3S
42.3.3 Active Mode Power Consumption
The Active Mode configuration and measurements are defined as follows:
•VDDIO
= VDDIN = 3.3V
VDDCORE = 1.8V (Inte rnal Voltage regulator used)
•T
A = 25°C
Application Running from Flash Memory with128-bit access Mode
All Peripheral clocks are deactivated.
Master Clock (MCK) running at various frequencies with PLLA or the fast RC oscillator.
Current measur ement on AMP1 (VDDCORE) and total current on AMP2
Figure 42-8. Active Mode Measurement Setup
Tables below give Active Mode Current Consumption in typical conditions.
VDDCORE at 1.8V
Temperature = 25°C
42.3.3.1 Active Power Consumption with 128-bit Flash access mode
VDDIO
VDDOUT
VDDCORE
VDDIN Voltage
Regulator
VDDPLL
3.3V
AMP1
AMP2
Table 42-13. Master Clock (MCK) and Core Clock variation with PLLA
Core Clock/MCK (MHz) AMP1 (VDDOUT)
Consumption AMP2 (total)
Consumption Unit
64 35.92 38.24 mA
48 29.44 31.53 mA
32 22.45 24.12 mA
24 19.45 21.63 mA
1005
6500D–ATARM–29-Feb-12
SAM3S
42.3.4 Peripheral Power Consumption in Active Mode
Note: 1. Note: VDDIO = 3.3V, VDDCORE = 1.80V, TA = 25°C
Table 42-14. Master Clock (MCK) variation with Fast RC Oscillator
Core Clock/MCK (MHz) AMP1 (VDDOUT)
Consumption AMP2 (total)
Consumption Unit
12 13.66 13.78
mA
8 9.53 9.63
4 4.72 4.77
2 2.45 2.48
1 1.28 1.31
0.5 0.70 0.71
0.25 0.40 0.42
0.125 0.26 0.27
0.032 0.040 0.050
Table 42-15. Power Consumption on VDDCORE(1)
Pe ripheral Consumption (Typ) Unit
PIO Controller A (PIOA) 14.6
µA/MHz
PIO Controller B (PIOB) 4.9
PIO Controller C (PIOC) 10.2
UART 9.0
USART 15.3
PWM 35.0
TWI 9.1
SPI 8.9
Timer Counter (TCx) 7
ADC 9.1
DACC 6.3
ACC 0.9
HSMCI 21.6
CRCCU 0.3
SMC 8.4
SSC 13.5
UDP 13.7
1006 6500D–ATARM–29-Feb-12
SAM3S
42.4 Crystal Oscillators Characteristics
42.4.1 32 kHz RC Oscillator Characteristics
42.4.2 4/8/12 MHz RC Oscillators Characteristics
Notes: 1. Frequency range can be configured in the Supply Controller Registers
2. Not trimmed from factory
3. After Trimming from factory
Table 42-16. 32 kHz RC Oscillator Characteristics
Symbol Parameter Conditions Min Typ Max Unit
RC Oscillator Frequency 20 32 44 kHz
Frequency Supply Dependency -3 3 %/V
Frequency Te mperature Dependency Over temperature range (-40°C/
+85°C) versus 25°C -11 11 %
Duty Duty Cycle 45 50 55 %
TON Startup Time 100 µs
IDDON Current Consumption
After Startup Time
Temp. Range = -40°C to +85°C
Typical Consumption at 2.2V
supply and Temp = 25°C
540 870 nA
Table 42-17. 4/8/12 MHz RC Oscillators Characteristics
Symbol Parameter Conditions Min Typ Max Unit
FRange RC Oscillator Frequency Range (1) 412MHz
ACC44 MHz Total Accuracy -40°C<Temp<+85°C
4 MHz output selected (1)(2) ±35 %
ACC88 MHz Total Accuracy
-40°C<Temp<+85°C
8 MHz output selected (1)(3) ±3.5 %
-20°C<Temp<+85°C
8 MHz output selected (1)(3) ±2.5 %
0°C<Temp<+70°C
8 MHz output selected (1)(3) ±2 %
ACC12 12 MHz Total Accuracy
-40°C<Temp<+85°C
12 MHz output selected (1)(3) ±3.5 %
-20°C<Temp<+85°C
12 MHz output selected (1)(3) ±2.7 %
0°C<Temp<+70°C
12 MHz output selected (1)(3) ±2 %
Frequency deviation versus
trimming code 8 MHz
12 MHz 49.2
37.5 kHz/trimming code
Duty Duty Cycle 45 50 55 %
TON Startup Time 10 µs
IDDON Active Current Consumption 4MHz
8MHz
12MHz
80
105
145
120
160
210 µA
1007
6500D–ATARM–29-Feb-12
SAM3S
The 4/8/12 MHz Fast RC oscillator is calibrated in production. This calibration can be read through the Get CALIB Bit com-
mand (see EEFC section) and the fre quency can be trimme d by software th rough the PMC. The Figu re 42-9 and Fi gure 42-
10 shows the frequency versus trimming for 8 and 12 MHz.
Figure 42-9. RC 8 MHz trimming
Figure 42-10. RC 12 MHz trimming
1008 6500D–ATARM–29-Feb-12
SAM3S
42.4.3 32.768 kHz Crystal Oscillator Characteristics
Note: 1. RS is the series resitor.
CLEXT = 2x(CCRYSTALCpara CPCB).
Where CPCB is the capacitance of the printed circuit board (PCB) track layout from the crystal to
the SAM3 pin.
42.4.4 32.768 kHz Crystal Characteristi cs
Table 42-18. 32.768 kHz Crystal Oscillator Characteristics
Symbol Parameter Conditions Min Typ Max Unit
Freq Operating Frequency Normal mode with crystal 32.768 KHz
Supply Ripple Voltage (on VDDIO) Rms value, 10 KHz to 10 MHz 30 mV
Duty Cycle 40 50 60 %
Startup Time
Rs < 50KΩ
Rs < 100KΩ
(1)
Ccrystal = 12.5pF
Ccrystal = 6pF
Ccrystal = 12.5pF
Ccrystal = 6pF
900
300
1200
500
ms
Current consum p ti o n
Rs < 50KΩ
Rs < 100KΩ
(1)
Ccrystal = 12.5pF
Ccrystal = 6pF
Ccrystal = 12.5pF
Ccrystal = 6pF
650
450
900
650
1400
1200
1600
1400
nA
PON Drive level 0.1 µW
RfInternal resistor between XIN32 and XOUT32 10 MΩ
CLEXT Maximum external capacitor
on XIN32 and XOUT32 20 pF
Cpara Internal Parasitic Capacitance 0.8 1 1.2 pF
XIN32XOUT32
C
LEXT
C
LEXT
SAM3
Table 42-19. Crystal Characteristics
Symbol Parameter Conditions Min Typ Max Unit
ESR Equivalent Series Resistor (RS) Crystal @ 32.768 KHz 50 100 KΩ
CMMotional capacitance Crystal @ 32.768 KHz 0.6 3 fF
CSHUNT Shunt capacitance Crystal @ 32.768 KHz 0.6 2 pF
1009
6500D–ATARM–29-Feb-12
SAM3S
42.4.5 32.768 kHz XIN32 Clock Input Characteristics in Bypass Mode
Note: 1. These characteristics apply only when the 32768 kHz XTAL Oscillator is in bypass mode (i.e., when OSCBYPASS: = 1 in
SUPC_MR and XTALSEL = 1 in the SUPC_CR registers.
Table 42-20. XIN32 Clock Electrical Characteristics (In Bypass Mode)
Symbol Parameter Conditions Min Max Units
1/(tCPXIN32) XIN32 Clock Frequency (1) 44 kHz
tCPXIN32 XIN32 Clock Period (1) 22 µs
tCHXIN32 XIN32 Clock High Half-period (1) 11 µs
tCLXIN32 XIN32 Clock Low Half-period (1) 11 µs
tCLCH Rise Time 400 ns
tCHCL Fall Time 400 ns
CIN XIN32 Input Capacitance 6 pF
RIN XIN32 Pull-down Resistor 3 5 MΩ
VXIN32_IL VXIN32 Input Low-level Voltage -0.3 0.3 x VDDIO V
VXIN32_IH VXIN32 Input High-level Voltage 0.7 x VDDIO VDDIO+0.3 V
tCPXIN
tCPXIN
tCPXIN tCHXIN
tCLCH tCHCL
VXIN_IL
VXIN_IH
1010 6500D–ATARM–29-Feb-12
SAM3S
42.4.6 3 to 20 MHz Crystal Oscillator Characteristics
Notes: 1. RS is the series resistor
2. Rs = 100-200 Ohms; Cs = 2.0 - 2.5pF; Cm = 2 – 1.5 fF(typ, worst case) using 1 KΩ ser ial resistor on XOUT.
3. Rs = 50-100 Ohms; Cs = 2.0 - 2.5pF; Cm = 4 - 3 fF(typ, worst case).
4. Rs = 25-50 Ohms; Cs = 2.5 - 3.0pF; Cm = 7 - 5 fF (typ, worst case).
5. Rs = 20-50 Ohms; Cs = 3.2 - 4.0pF; Cm = 10 - 8 fF(typ, worst case).
CLEXT = 2x(CCRYSTALCL CPCB).
Where CPCB is the capacitance of the printe d circuit board (PCB) track layout from the crystal to
the SAM3 pin.
Table 42-21. 3 to 20 MHz Crystal Oscillator Characteristics
Symbol Parameter Conditions Min Typ Max Unit
Freq Operating Frequency Normal mode with crystal 3 16 20 MHz
Freq_bypass Operating Frequency In Bypass Mode External Clo ck on XIN 50 MHz
Supply Ripple Voltage (on VDDPLL) Rms value, 10 KHz to 10 MHz 30 mV
Duty Cycle 40 50 60 %
TON Startup Time
3 MHz, CSHUNT = 3pF
8 MHz, CSHUNT = 7pF
12 to 16 MHz, CSHUNT = 7pF
20 MHz, CSHUNT = 7pF
14.5
4
1.4
1
ms
IDD_ON Current consumptio n
3 MHz(2)
8 MHz(3)
12 to 16 MHz(4)
20 MHz(5)
150
200
250
350
230
300
350
450
µA
PON Drive level 3 MHz
8 MHz
12 MHz, 16 MHz, 20 MHz
15
30
50 µW
RfInternal resistor between XIN and XOUT 1 MΩ
CLEXT Maximum external capacitor
on XIN and XOUT 10 pF
CLInternal Equivalent Load Capacitance Integrated Load Capacitance
(XIN and XOUT in series) 7.5 9.5 11.5 pF
XIN XOUT
C
LEXT
C
L
C
LEXT
C
Crystal
SAM3
R=1K if Crystal Frequency
is lower than 8MHz
1011
6500D–ATARM–29-Feb-12
SAM3S
42.4.7 3 to 20 MHz Crystal Characteristics
42.4.8 3 to 20 MHz XIN Clock Input Characteristics in Bypass Mode
Note: 1. These characteristics apply only when the 3-20 MHz XTAL Oscillator is in bypass mode.
Table 42-22. Crystal Characteristics
Symbol Parameter Conditions Min Typ Max Unit
ESR Equivalent Series Resistor (Rs)
Fundamental @ 3 MHz
Fundamental @ 8 MHz
Fundamental @ 12 MHz
Fundamental @ 16 MHz
Fundamental @ 20 MHz
200
100
80
80
50
Ω
CMMotional capacitance 8fF
CSHUNT Shunt capacitance 7pF
Table 42-23. XIN Clock Electrical Characteristics (In Bypass Mode)
Symbol Parameter Conditions Min Typ Max Units
1/(tCPXIN) XIN Clock Frequency (1) 50 MHz
tCPXIN XIN Clock Period (1) 20 ns
tCHXIN XIN Clock High Half-period (1) 8ns
tCLXIN XIN Clock Low Half-period (1) 8ns
tCLCH Rise Time (1) 400 ns
tCHCL Fall Time (1) 400 ns
VXIN_IL VXIN Input Low-le vel Voltage (1) -0.3 0.3 x
VVDDIO V
VXIN_IH VXIN Input High-level Voltage (1) 0.7 x
VVDDIO
VVDDIO +
0.3 V
tCPXIN
tCPXIN
tCPXIN tCHXIN
tCLCH tCHCL
VXIN_IL
VXIN_IH
1012 6500D–ATARM–29-Feb-12
SAM3S
42.4.9 Crystal Oscillators Design Consideration Information
42.4.9.1 Choosing a Crystal
When choosing a crystal for the 32768 Hz Slow Clock Oscillator or for the 3-20 MHz Osc illator,
several parameters must be taken into account. Important parameters between crystal and
SAM3S specifications are as follows:
Load Capacita n c e
–C
crystal is the equivalent capacitor value the oscillator must “show” to the crystal in
order to oscillate at the target frequency. The crystal must be chosen according to
the internal load capacitance (CL) of the on-chip oscillator . Ha ving a mismatch for the
load capacitance will result in a frequency drift.
Drive Level
Crystal drive level >= Oscillator Drive Level. Having a crystal drive level number
lower than the oscillator specification may damage the crystal.
Equivalent Series Resistor (ESR)
Crystal ESR <= Oscillator ESR Max. Having a crystal with ESR value higher than
the oscillator may cause the oscillator to not start.
Shunt Capacitance
Max. crystal Shunt capacitance <= Oscillator Shunt Capacitance (CSHUNT). Having a
crystal with ESR value higher than the oscillator may cause the oscillator to not start.
42.4.9.2 Printed Circuit Board (PCB)
SAM3S Oscillators are low power oscillators requiring particular attention when designing PCB
systems.
1013
6500D–ATARM–29-Feb-12
SAM3S
42.5 PLLA, PLLB Characteristics
Table 42-24. Supply Voltage Phase Lock Loop Characteristics
Symbol Parameter Conditions Min Typ Max Unit
VDDPLL Supply Voltage Range 1.6 1.8 1.95 V
Allowable Voltage Ripple RMS Value 10 kHz to 10 MHz
RMS Value > 10 MHz 30
10 mV
Table 42-25. PLLA and PLLB Characterist ics
Symbol Parameter Conditions Min Typ Max Unit
FIN Input Frequency 3.5 20 MHz
FOUT Output Frequency 60 130 MHz
IPLL Current Consumption Active mode @ 60 MHz @1.8V
Active mode @ 96 MHz @1.8V
Active mode @ 130 MHz @1.8V
1.2
2
2.5
1.7
2.5
3mA
TSTART Settling Time 150 µS
1014 6500D–ATARM–29-Feb-12
SAM3S
42.6 USB Transceiver Characteristics
42.6.1 Typical Connection
For typical connection please refer to the USB Device Section.
42.6.2 Electrical Characteristics
Table 42-26. Electrical Parameters
Symbol Parameter Conditions Min Typ Max Unit
Input Levels
VIL Low Level 0.8 V
VIH High Level 2.0 V
VDI Diff e rential Input Sensitivity |(D+) - (D-)| 0.2 V
VCM Differential Input Common Mode
Range 0.8 2.5 V
CIN Transceiver capacitance Capacitance to ground on each line 9.18 pF
I Hi-Z State Data Line Leakage 0V < VIN < 3.3V -10 +10 µA
REXT Recommended External USB
Series Resi stor In series with each USB pin with ±5% 27 Ω
Output Levels
VOL Low Level Output Measured with RL of 1.425 kΩ tied to
3.6V 0.0 0.3 V
VOH High Level Output Measured with RL of 14.25 kΩ tied to
GND 2.8 3.6 V
VCRS Output Signal Crossover Voltage Measure conditi ons described in
Figure 42-11 “USB Data Signal Rise
and Fall Times” 1.3 2.0 V
Consumption
IVDDIO Current Consumption Transceiver enabled in input mode
DDP = 1 and DDM = 0 105 200 µA
IVDDCORE Current Consumption 80 150 µA
Pull-up Resistor
RPUI Bus Pull-up Resistor on
Upstream Port (idle bus) 0.900 1.575 kΩ
RPUA
Bus Pull-up Resisto r on
Upstream Port (upstream port
receiving) 1.425 3.090 kΩ
1015
6500D–ATARM–29-Feb-12
SAM3S
42.6.3 Switching Characteristics
Figure 42-11. USB Data Signal Rise and Fall Times
Table 42-27. In Full Speed
Symbol Parameter Conditions Min Typ Max Unit
tFR Transition Rise Time CLOAD = 50 pF 4 20 ns
tFE Transition Fall Time CLOAD = 50 pF 4 20 ns
tFRFM Rise/Fall time Matching 90 111.11 %
10% 10%
90%
VCRS
tRtF
Differential
Data Lines
Rise Time Fall Time
Fosc = 6MHz/750kHz REXT=27 ohms
Cload
Buffer
(b)
(a)
1016 6500D–ATARM–29-Feb-12
SAM3S
42.7 12-Bit ADC Characteristics
Note: Use IBCTL = 00 for Sampling Frequency below 500 kHz and IBCTL = 01 between 500 kHz and 1MHz.
Table 42-28. Analog Power Supply Characteristics
Symbol Parameter Conditions Min Typ Max Units
VVDDIN
ADC Analog Supply 12-bit or 10 bit resolution 2.4 3 .0 3.6 V
ADC Analog Supply 10 bit resolution 2.0 3.6 V
Max. Voltage Ripple rms value, 10 kHz to 20 MHz 20 mV
IVDDANA Current Consumption
Sleep Mode
Fast Wake Up Mode
Nor m al Mode (IBCTL= 00)
Nor m al Mode (IBCTL= 01)
0.1
1.8
4.3
5.4
2.6
7.8
µA
mA
mA
mA
Table 42-29. Channel Conversion Time and ADC Clock
Symbol Parameter Conditions Min Typ Max Units
fADC ADC Clock Frequency 1 20 MHz
tCP_ADC ADC Clock Period 50 1000 ns
fSSampling Frequency 1MHz
tSTART-UP ADC Startup time
From OFF Mode to Normal Mode:
- Voltage Reference OFF
- Analog Circ uitry OFF
From Standby Mode to Normal Mode:
- Voltage Reference ON
- Analog Circ uitry OFF
20
4
30
8
40
12
µs
tTRACKTIM Track and Hold Time See Section 42.7.1 “Track and Hold
Time versus Source Output
Impedance” for more details 160 ns
tCONV Conversion Time 20 TCP_ADC
tSETTLE Settling Time Settli ng time to change offset and gain 200 ns
Table 42-30. External Volt age Reference Input
Parameter Conditions Min Typ Max Units
ADVREF Input Voltage Range 2.4V < VVDDIN < 3.6V 2.4 - VDDIN V
ADVREF Input Voltage Range 2.0V < VVDDIN < 3.6V 2.0 - VDDIN V
ADVREF Current 250 µA
ADVREF Input DC impedance 14 kΩ
1017
6500D–ATARM–29-Feb-12
SAM3S
Note: 1. Single ended or differential mode, any gain values.
Note: 1. Single ended or differential mode, any gain values.
Note: 1. ADC Clock (FADC) = 20MHz, Fs=1MHz, Fin = 127 kHz, IBCTL = 01, FFT using 1024 points or more, Frequency band =
[1kHz, 500kHz] – Nyquist conditions fulfilled.
Note: 1. ADC Clock (FADC)= 20MHz, Fs=1MHz, Fin=127kHz, IBCTL = 01, FFT using 1024 points or more, F requency band = [1kHz,
500kHz] – Nyquist conditions fulfilled.
Table 42-31. Static Performance Characteristics -12 bits mode (1)
Parameter Conditions Min Typ Max Units
Resolution 12 Bit
Integral Non-linearity (INL) ±2 ±4 LSB
Differential Non-linearity (DNL) no missing code ±1 +2/-1 LSB
Offset Error ±2 LSB
Gain Error ±8 LSB
Table 42-32. Static Performance Characteristics -10 bits mode (1)
Parameter Conditions Min Typ Max Units
Resolution 10 Bit
Integral Non-linearity (INL) ±0.5 ±1 LSB
Differential Non-linearity (DNL) no missing code ±0.5 ±1 LSB
Offset Error ±2 LSB
Gain Error ±2 LSB
Table 42-33. Dynamic Per formance Characteristics in Single ended and 12 bits mode (1)
Parameter Conditions Min Typ Max Units
Signal to Noise Ratio - SNR 58 64 dB
Total Harmonic Distort ion - THD -70 -62 dB
Signal to Noise and Distortion - SINAD 56 65 74 dB
Table 42-34. Dynamic Per formance Characteristics in Differential and 12 bits mode(1)
Parameter Conditions Min Typ Max Units
Signal to Noise Ratio - SNR 64 70 dB
Total Harmonic Distort ion - THD -76 -66 dB
Signal to Noise and Distortion - SINAD 62 68 74 dB
1018 6500D–ATARM–29-Feb-12
SAM3S
42.7.1 Track and Hold Time versus Source Output Impedance
The following figure gives a simplified acquisition path.
Figure 42-12. Simplified Acquisition Path
During the tracking phase the ADC needs to track the input signal during the tracking time
shown below:
10-bit mode: tTRACK = 0.042 x Zsource + 160
12-bit mode: tTRACK = 0.054 x Zsource + 205
With tTRACK expressed in ns and ZSOURCE expressed in Oh m s.
Two cases must be considered:
1. The calculated tracking time (tTRACK) is lower than 15 tCP_ADC.
Set TRANSFER = 1 and TRACTIM = 0.
In this case, the allowed Zsource can be computed versus the ADC frequency with the hypothe-
sis of tTRACK = 15 × tCP_ADC:
Where tCP_ADC = 1/fADC.
fADC = ADC clock (MHz) ZSOURCE (kOhms) for 12 bits ZSOURCE (kOhms) for 10 bits
20.00 10 14
16.00 14 19
10.67 22 30
8.00 31 41
6.40 40 52
5.33 48 63
4.57 57 74
4.00 66 85
3.56 74 97
3.20 83 108
2.91 92 119
2.67 100 130
2.46 109 141
2.29 118 152
2.13 126 164
2.00 135 175
1.00 274 353
Sample & HoldMux.
Zsource Ron
Csample
ADC
Input12-bit
ADC
Core
1019
6500D–ATARM–29-Feb-12
SAM3S
2. The calculated tracking time (tTRACK) is higher than 15 tCP_ADC.
Set TRANSFER = 1 and TRACTIM = 0.
In this case, a timer will trigger the ADC in order to set the correct sampling rate according to the
Track time.
The maximum possible sampling frequency will be defined by tTRACK in n ano seconds, comput ed
by the previous formula but with minus 15 × tCP_ADC and plus TRANSFER time.
10 bit mode: 1/f S = tTRACK - 15 × tCP_ADC + 5 tCP_ADC
12 bit mode: 1/f S = tTRACK - 15 × tCP_ADC + 5 tCP_ADC
Note: Csample and Ron are taken into account in the formulas
Note: 1. Input Voltage range can be up to VDDIN withou t destruction or over-consumption.
If VDDIO < VADVREF max input voltage is VDDIO.
42.7.2 Gain and Offset Calibration
The user can corre ct the gain and offset errors by calibration. The ca libration values (for g ain
and offset) have been store d in the Flash during test for the mode single end ed with gain =1.
The calibration values are stored after the Unique Identifier. The Offset value register is next
after the Unique Identifier, at the address 0x40 0010, and the Gain calibration is at the address
0x400014. Please refer to the Table 42-36 for the complete list of addresses and par ameters
versus mode stored in the Flash. Also refer to the Unique Identifier section to know how to enter
into the Unique Iden tifie r mod e.
For more information on how to use these calibration values, refer to the Application note "Ana-
log-to-Digital Converter in the SAM3S" chapter "ADC calibrat ion ".
Table 42-35. Analog Inputs
Parameter Min Typ Max Units
Input Voltage Range 0 VADVREF
Input Leakage Current ±0.5 µA
Input Capacitance 8 pF
Table 42-36. Gain and offset corrections stored in the Flash
Address Parameter Single/Differential Mode Gain Offset
0x400010 Offset error Single 1 0
0x400014 Gain error Single 1 0
0x400018 Offset error Single 2 0
0x40001C Gain error Single 2 0
0x400020 Offset error Single 2 1
0x400024 Gain error Single 2 1
0x400028 Offset error Single 4 0
0x40002C Gain error Single 4 0
0x400030 Offset error Single 4 1
0x400034 Gain error Single 4 1
0x400038 Offset error Differential 0.5 NA
1020 6500D–ATARM–29-Feb-12
SAM3S
42.7.3 ADC Application Information
For more information on data converter terminology, please refer to the application note:
Data Converter Terminology, Atmel lit° 6022.
http://www.atmel.com/dyn/resources/prod_documents/doc6022.pdf
42.8 12-Bit DAC Characteristics
0x40003C Gain error Differential 0.5 NA
0x400040 Offset error Differential 1 NA
0x400044 Gain error Differential 1 NA
0x400048 Offset error Differential 2 NA
0x40004C Gain error Differential 2 NA
Table 42-36. Gain and offset corrections stored in the Flash
Address Parameter Single/Differential Mode Gain Offset
Table 42-37. Analog Power Supply Characteristics
Symbol Parameter Conditions Min Typ Max Units
VVDDIN
Analog
Supply 2.4 3.6 V
Max. Voltage
Ripple rms value, 10 kHz to 20 MHz 20 mV
IVDDIN Current
Consumption
Sleep Mode
Fast Wake Up
Norm al Mode with 1 Output On (IBCTLDACCORE = 01,
IBCTLCHx =10)
Nor m al Mode with 2 Outputs On (IBCTLDACCORE =01,
IBCTLCHx =10)
0.05
1.8
4.3
5
µA
mA
mA
mA
Table 42-38. Channel Conversion Time and DAC Clock
Symbol Parameter Conditions Min Typ Max Units
FDAC Clock Frequency 50 MHz
TCP_DAC Clock Period 20 ns
FSSampling Frequency 2MHz
TSTART-UP Startup time
From Sleep Mode to Norma l Mode:
- Voltage Reference OFF
- DAC Core OFF
From Fast Wake Up to Normal Mode:
- Voltage Reference ON
- DAC Core OFF
23
2.5
34
4
45
5
µs
TCONV Conversion Time 25 TCP_DAC
1021
6500D–ATARM–29-Feb-12
SAM3S
External voltage reference for DAC is ADVREF. See the ADC voltage reference characteristics
Table 42-30 on page 1016
Note: DAC Clock (FDAC)= 50 MHz, Fs = 2 MHz, Fin = 127 kHz, IBCTL = 01, FFT using 1024 points or more, Frequency band = [10
kHz, 500 kHz] – Nyquist conditions fulfilled.
Note: DAC Clock (FDAC)= 50 MHz, Fs = 2 MHz, Fin = 127 kHz, IBCTL = 01, FFT using 1024 points or more, Frequency band = [10
kHz, 500 kHz] – Nyquist conditions fulfilled.
Table 42-39. Static Performance Characteristics
Parameter Conditions Min Typ Max Units
Resolution 12 Bit
Integral Non-linearity (INL) ±1 ±4 LSB
Differential Non-linearity (DNL) ±0.5 ±2 LSB
Offset Error ±2 LSB
Gain Error ±8 LSB
Table 42-40. Dynamic Per formance Characteristics
Parameter Conditions Min Typ Max Units
Signal to Noise Ratio - SNR 71 dB
Total Harmonic Distortion - THD -71 dB
Signal to Noise and Distortion - SINAD 68 dB
Table 42-41. Analog Outputs
Parameter Conditions Min Typ Max Units
Voltage Range (1/6) x
VADVREF
(5/6) x
VADVREF V
Slew Rate
Channel Output Current versus Slew Rate
(IBCTL for DAC0 or DAC1, noted IBCTLCHx)
RLOAD = 5kΩ/ 0pF < CLOAD< 50 pF
IBCTLCHx = 00
IBCTLCHx = 01
IBCTLCHx = 10
IBCTLCHx = 11
2.7
5.3
8
11
V/µs
Output Channel Current
Consumption
No resistive load
IBCTLCHx = 00
IBCTLCHx = 01
IBCTLCHx = 10
IBCTLCHx = 11
0.23
0.45
0.78
0.9
mA
1022 6500D–ATARM–29-Feb-12
SAM3S
Settling Time RLOAD = 5kΩ/ 0pF < CLOAD< 50pF, 0.5 µs
RLOAD Output Load Resistor 5 kΩ
CLOAD Output Load Capacitor 50 pF
Table 42-41. Analog Outputs
Parameter Conditions Min Typ Max Units
1023
6500D–ATARM–29-Feb-12
SAM3S
42.9 Analog Comparator Characteristics
42.10 Temperature Sensor
The temperature sensor is connected to Channel 15 of the ADC.
The temperature sensor provides an output voltage (VT) that is proportional to absolute temper-
ature (PTAT). The VT output vo ltage linearly varies with a temperature slope dVT/dT = 2.65
mV/°C.
The VT voltage equals 0.8V at 27°C, with a ±15% accuracy. The VT slope versus temperature
dVT/dT = 2.65 mV/°C only shows a ±5% slight variation over process, mismatch and supply
voltage.
The user needs to calibrate it (offset calibration) at ambient temperature in order to get rid of the
VT spread at ambient temperature (+/-15%).
Table 42-42. Analog Comparator Characterist ics
Parameter Conditions Min Typ Max Units
Voltage Range The Analog Compar ator is supplied by
VDDIN 1.62 3.3 3.6 V
Input Voltage Range GND + 0.2 VDDIN - 0.2 V
Input Offset Voltage 20 mV
Current Consumption On VDDIN
Low Power Option (ISEL = 0)
High Speed Opti on (ISEL = 1) 25
170 µA
Hysteresis HYST = 0x00
HYST = 0x01 or 0x10
HYST = 0x11
0
16
35
0
50
90 mV
Settling Time Given for overdrive > 100 mV
Low Power Option
High Speed Option 1
0.1 µs
Table 42-43. Temperature Se nso r Characteristics
Symbol Parameter Conditions Min Typ Max Units
VTOutput Voltage T° = 27° C 0.800 V
ΔVTOutput Voltage Accuracy T° = 27° C -15 +15 %
dVT/dT Temperature Sensitivity (slope
voltage versus temperature 2.65 mV/°C
Slope accuracy -5 +5 %
Temperature accuracy
after offset calibration
over temperature range [-40°C / +85°C] -5 +5 °C
after offset calibration
over temperature range [0°C / +80°C] -3 +3 °C
TSTART-UP Startup Time Af ter TSON = 1 20 40 µs
Output Impedance 30 Ω
IVDDCORE Current Consumption 50 100 µA
1024 6500D–ATARM–29-Feb-12
SAM3S
42.11 AC Characteristics
42.11.1 Master Clock Characteristics
For Master Clock Frequency between 60 MHz and 64 MHz, the PLLCK must be set and used
between 120 MHz and 128 MHz with the prescaler set at 2 (PRES field in PMC_MCKR).
42.11.2 I/O Characteristics
Criteria used to defin e th e ma xim u m fr eq ue n cy of the I/Os:
output duty cycle (40%-60%)
minimum output swing: 100 mV to VDDIO - 100 mV
minimum output swing: 100 mV to VDDIO - 100 mV
Addition of rising and falling time inferior to 75% of the period
Table 42-44. Master Clock Waveform Parameters
Symbol Parameter Conditions Min Max Units
1/(tCPMCK) Master Clock Frequency VDDCORE @ 1.62V 64 MHz
Table 42-45. I/O Characteristics
Symbol Parameter Conditions Min Max Units
FreqMax1 Pin Group 1 (1) Maximum output frequency 30 pF VDDIO = 1.62V
VDDIO = 3.0V 45
64 MHz
45 pF VDDIO = 1.62V
VDDIO = 3.0V 34
45
PulseminH1Pin Group 1 (1) High Level Pulse Width 30 pF VDDIO = 1.62V
VDDIO = 3.0V 11
7.7 ns
45 pF VDDIO = 1.8V
VDDIO = 3.0V 14.7
11
PulseminL1Pin Group 1 (1) Low Level Pulse Width 30 pF VDDIO = 1.62V
VDDIO = 3.0V 11
7.7 ns
45 pF VDDIO = 1.62V
VDDIO = 3.0V 14.7
11
FreqMax2 Pin Group 2 (2) Maximum output frequency Load: 25 pF
1.62V < VDDIO < 3.6V 35 MHz
PulseminH2Pin Group 2 (2) High Level Pulse Width Load: 25 pF
1.62V < VDDIO < 3.6V 14.5 ns
PulseminL2Pin Group 2 (2) Low Level Pulse Width Load: 25 pF
1.62V < VDDIO < 3.6V 14.5 ns
1025
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. Pin Group 1 = PA14, PA29
2. Pin Group 2 = PA[0-13], PA[15-28], PA[30-31], PB[0-9], PB[12-14], PC[0-31]
3. Pin Group 3 = PB[10-11]
FreqMax3 Pin Group 3 (3) Maximum output frequency Load: 25 pF
1.62V < VDDIO < 3.6V 20 MHz
PulseminH3Pin Group 3(3) High Level Pulse Width Load: 25 pF
1.62V < VDDIO < 3.6V 25 ns
PulseminL3Pin Group 3(3) Low Le vel Pulse Width Load: 25 pF
1.62V < VDDIO < 3.6V 25 ns
Table 42-45. I/O Characteristics (Continued)
Symbol Parameter Conditions Min Max Units
1026 6500D–ATARM–29-Feb-12
SAM3S
42.11.3 SPI Characteristics
Figure 42-13. SPI Master Mode with (CPOL= NCPHA = 0) or (CPOL= NCPHA= 1)
Figure 42-14. SPI Master Mode with (CPOL = 0 and NCPHA=1) or (CPOL=1 and NCPHA= 0)
Figure 42-15. SPI Slave Mode with (CPOL=0 and NCPHA=1) or (C POL=1 and NCPHA=0)
SPCK
MISO
MOSI
SPI2
SPI0SPI1
SPCK
MISO
MOSI
SPI5
SPI3SPI4
SPCK
MISO
MOSI
SPI
6
SPI
7
SPI
8
NPCSS
SPI
12
SPI
13
1027
6500D–ATARM–29-Feb-12
SAM3S
Figure 42-16. SPI Slave Mode with (CPOL = NCPHA = 0) or (CPOL= NCPHA= 1)
42.11.3.1 Maximum SPI Frequency
The following formulas give maxim um SPI frequency in Master read and write modes and in
Slave read and write modes.
Master Write Mode
The SPI is only sending data to a slave device such as an LCD, for example. The limit is
given by SPI2 (or SPI5) timing. Sinc e it give s a maximum freque ncy above the maximum pad
speed (see Section 42.11.2 “I/O Characteristics”), the max SPI frequency is the one from the
pad.
Master Read Mode
Tvalid is the slave time response to output data after detecting an SPCK edge. For Atmel SPI
DataFlash (AT45DB642D), Tvalid (or Tv) is 12 ns Max.
In the formula above, FSPCKMax = 33.0 MHz @ VDDIO = 3.3V.
Slave Read Mode
In slave mode, SPCK is the input clock for the SPI. The max SPCK frequency is given by
setup and hold timings SPI7/SPI8(or SPI10/SPI11). Since this gives a frequency well above
the pad limit, the limit in slave read mode is given by SPCK pad.
Slave Write Mode
For 3.3V I/O do main and SPI6, FSPCKMax = 25 MHz. Tsetup is the setup time from the master
before sampling data.
SPCK
MISO
MOSI
SPI9
SPI10 SPI11
NPCS0
SPI14
SPI15
fSPCKMax 1
SPI0orSPI3
()Tvalid
+
-----------------------------------------------------------=
fSPCKMax 1
2xS(PI6max orSPI9max
()Tsetup)+
----------------------------------------------------------------------------------------------=
1028 6500D–ATARM–29-Feb-12
SAM3S
42.11.3.2 SPI Timings
Notes: 1. 3.3V domain: VVDDIO from 3.0V to 3.6V, maximum external capacitor = 30 pF.
2. 1.8V domain: VVDDIO from 1.65V to 1.9 5V, maximum external capacitor = 30 pF.
Note that in SPI master m ode the SAM3S does not sample the data (MISO) on the opposite edge where da ta clocks out
(MOSI) but the same edge is used as sh own in Figure 42-13 and Figure 42-14.
Table 42-46. SPI Timings
Symbol Parameter Conditions Min Max Units
SPI0MISO Setup time before SPCK rises (master) 3.3V domain(1) 18.5 ns
1.8V domain(2) 21 ns
SPI1MISO Hold time after SPCK rises (master) 3.3V domain(1) 0ns
1.8V domain(2) 0ns
SPI2SPCK rising to MOSI Delay (master) 3.3V domain(1) -3.5 3.5 ns
1.8V domain(2) -4.4 3.9 ns
SPI3MISO Setup time before SPCK falls (master) 3.3V domain(1) 18 ns
1.8V domain(2) 21 ns
SPI4MISO Hold time after SPCK falls (master) 3.3V domain(1) 0ns
1.8V domain(2) 0ns
SPI5SPCK falling to MOSI Delay (master) 3.3V domain(1) -3.5 3.5 ns
1.8V domain(2) -4.5 4 ns
SPI6SPCK fall ing to MISO Delay (slave) 3.3V domain(1) 620.5ns
1.8V domain(2) 6.4 22.9 ns
SPI7MOSI Setup time before SPCK rises (slave) 3.3V domain(1) 0ns
1.8V domain(2) 0ns
SPI8MOSI Hold time after SPCK rises (slave) 3.3V domain(1) 2.8 ns
1.8V domain(2) 2.8 ns
SPI9SPCK rising to MISO Delay (slave) 3.3V domain(1) 619.5ns
1.8V domain(2) 6.6 22 ns
SPI10 MOSI Setup time before SPCK falls (slave) 3.3V domain(1) 0ns
1.8V domain(2) 0
SPI11 MOSI Hold time after SPCK falls (slave) 3.3V domain(1) 3.7 ns
1.8V domain(2) 4ns
SPI12 NPCS setup to SPCK rising (slave) 3.3V domain(1) 4.5 ns
1.8V domain(2) 4.7 ns
SPI13 NPCS hold after SPCK falling (slave) 3.3V domain(1) 0ns
1.8V domain(2) 0ns
SPI14 NPCS setup to SPCK fal ling (slave) 3.3V domain(1) 3.7 ns
1.8V domain(2) 4ns
SPI15 NPCS hold after SPCK falling (slave) 3.3V domain(1) 0ns
1.8V domain(2) 0ns
1029
6500D–ATARM–29-Feb-12
SAM3S
42.11.4 HSMCI Timings
The High Speed MultiMedia Card Interface (HSMCI) supports the MultiMedia Card (MMC)
Specification V4.3, the SD Memory Card Specification V2.0, the SDIO V2.0 specification and
CE-ATA V1.1.
42.11.5 SSC Timings Timings are given assuming the following VDDIO supply and load.
VDDIO = 1.62V @25pF
VDDIO = 3V @25pF
Figure 42-17. SSC Transmitter, TK and TF as output
Figure 42-18. SSC Trans mit te r, TK as input and TF as outp u t
TK (CKI =1)
TF/TD
SSC
0
TK (CKI =0)
TK (CKI =1)
TF/TD
SSC
1
TK (CKI =0)
1030 6500D–ATARM–29-Feb-12
SAM3S
Figure 42-19. SSC Transmitter, TK as output and TF as input
Figure 42-20. SSC Transmitter, TK and TF as input
Figure 42-21. SSC Receiver RK and RF as input
TK (CKI=1)
TF
SSC
2
SSC
3
TK (CKI=0)
TD
SSC
4
TK (CKI=0)
TF
SSC
5
SSC
6
TK (CKI=1)
TD
SSC
7
RK (CKI=1)
RF/RD
SSC8SSC9
RK (CKI=0)
1031
6500D–ATARM–29-Feb-12
SAM3S
Figure 42-22. SSC Receiver, RK as input and RF as output
Figure 42-23. SSC Receiver, RK and RF as output
Figure 42-24. SSC Receiver, RK as output and RF as input
RK (CKI=0)
RD
SSC
8
SSC
9
RK (CKI=1)
RF
SSC
10
RK (CKI=0)
RD
SSC11 SSC12
RK (CKI=1)
RF
SSC13
RK (CKI=1)
RF/RD
SSC11 SSC12
RK (CKI=0)
1032 6500D–ATARM–29-Feb-12
SAM3S
42.11.5.1 SSC Timings
Notes: 1. Timings SSC4 and SSC7 depend on the start condition. When STTDLY = 0 (Receive start delay) and START = 4, or 5 or
7(Receive Star t Selection), two Periods of the MCK must be added to timings.
2. F or output signals (TF, TD, RF), Min and Max access times are defined. The Min access time is the time between the TK (or
RK) edge and the signal change. The Max access timing is the time between the TK edge and the signal stabilization. Figure
42-25 illustrates Min and Max accesses for SSC0. The same applies for SSC1, SSC4, and SSC7, SSC10 and SSC13.
3. 1.8V domain: VVDDIO from 1.65V to 1.9 5V, maximum external capacitor = 25 pF.
4. 3.3V domain: VVDDIO from 3.0V to 3.6V, maximum external capacitor = 25 pF.
Table 42-47. SSC Timings
Symbol Parameter Condition Min Max Units
Transmitter
SSC0TK edge to TF/TD (TK output, TF output) 1.8v domain(3)
3.3v domain(4) 1.5
1.4 30.3
30.5 ns
SSC1TK edge to TF/TD (TK input, TF output) 1.8v domain(3)
3.3v domain(4) 6(2)
6.2(2) 21(2)
18(2) ns
SSC2TF setup time before TK edge (TK output) 1.8v domain(3)
3.3v domain(4) 19- tCPMCK
16- tCPMCK ns
SSC3TF hold time after TK edge (TK output) 1.8v domain(3)
3.3v domain(4) tCPMCK - 6
tCPMCK - 5 ns
SSC4(1) TK edge to TF/TD (TK output, TF input) 1.8v domain(3)
3.3v domain(4) 1.5(+2*tCPMCK)(1)(2)
1.4(+2*tCPMCK)(1)(2) 30(+2*tCPMCK)(1)(2)
30.5(+2*tCPMCK)(1)(2) ns
SSC5TF setup time before TK edge (TK input) 1.8v domain(3)
3.3v domain(4) 13.5
14.5 ns
SSC6TF hold time after TK edge (TK input) 1.8v domain(3)
3.3v domain(4) 1
0.8 ns
SSC7(1) TK edge to TF/TD (TK input, TF input) 1.8v domain(3)
3.3v domain(4) 6.5 (+3*tCPMCK)(1)(2)
6(+3*tCPMCK)(1)(2) 20 (+3*tCPMCK)(1)(2)
18(+3*tCPMCK)(1)(2) ns
Receiver
SSC8RF/RD setup time before RK edge (RK input) 1.8v domain(3)
3.3v domain(4) 1.2
0ns
SSC9RF/RD hold time after RK edge (RK input) 1.8v domain(3)
3.3v domain(4) 1.7
0.25 ns
SSC10 RK edge to RF (RK input) 1.8v domain(3)
3.3v domain(4) 15(2)
5.5(2) 19(2)
16.5(2) ns
SSC11 RF/RD setup time before RK edge (RK output) 1.8v doma in(3)
3.3v domain(4) 19 - tCPMCK
16.5- tCPMCK ns
SSC12 RF/RD hold time after RK edge (RK output) 1.8v domain(3)
3.3v domain(4) tCPMCK - 5.7
tCPMCK - 5 ns
SSC13 RK edge to RF (RK output) 1.8v domain(3)
3.3v domain(4) 1.2(2)
1.4(2) 29(2)
30(2) ns
1033
6500D–ATARM–29-Feb-12
SAM3S
Figure 42-25. Min and Max Access Time of Output Signals
42.11.6 SMC Timings SMC Timings are given with the following conditions.
VDDIO = 1.62V @ 30 pF
VDDIO = 3V @ 50 pF
Timings are given assuming a cap acitance load on data, control and address pads:
In the following tables tCPMCK is MCK period. Timing extraction
42.11.6.1 Read Timings
TK (CKI =0)
TF/TD
SSC0min
TK (CKI =1)
SSC0max
Table 42-48. SMC Read Signals - NRD Controlled (READ_MODE = 1)
Symbol Parameter Min Max Units
VDDIO Supply 1.8V(2) 3.3V(3) 1.8V(2) 3.3V(3)
NO HOLD SETTINGS (nrd hold = 0)
SMC1Data Setup before NRD High 31.3 28.5 ns
SMC2Data Hold after NRD High 0 0 ns
HOLD SETTINGS (nrd hold 0)
SMC3Data Setup before NRD High 25 20.4 ns
SMC4Data Hold after NRD High 0 0 ns
HOLD or NO HOLD SETTINGS (nrd hold 0, nrd hold = 0)
SMC5 A0 - A22 Valid before NRD High (nrd setup +
nrd pulse)*
tCPMCK + 13.5
(nrd setup +
nrd pulse)*
tCPMCK + 14.5 ns
SMC6NCS low before NRD High
(nrd setup +
nrd pulse - ncs
rd setup) *
tCPMCK + 14
(nrd setup +
nrd pulse - ncs
rd setup) *
tCPMCK + 15
ns
SMC7NRD Pulse Width nrd pulse *
tCPMCK - 6.7 nrd pulse *
tCPMCK - 3.6 ns
1034 6500D–ATARM–29-Feb-12
SAM3S
42.11.6.2 Write Timings
Table 42-49. SMC Read Signals - NCS Controlled (READ_MODE= 0)
Symbol Parameter Min Max Units
VDDIO supply 1.8V(2) 3.3V(3) 1.8V(2) 3.3V(3)
NO HOLD SETTINGS (ncs rd hold = 0)
SMC8Data Setup before NCS High 27.5 25 ns
SMC9Data Hold after NCS High 0 0 ns
HOLD SETTINGS (ncs rd hold 0)
SMC10 Data Setup before NCS High 21.5 18.6 ns
SMC11 Data Hold after NCS High 0 0 ns
HOLD or NO HOLD SETTINGS (ncs rd hold 0, ncs rd hold = 0)
SMC12 A0 - A22 valid before NCS High
(ncs rd setup + ncs
rd pulse)* t
CPMCK
- 2
(ncs rd setup +
ncs rd pulse)*
tCPMCK - 2 ns
SMC13 NRD low before NCS High (ncs rd setup + ncs
rd pulse - nrd
setup)* tCPMCK - 1.4
(ncs rd setup + ncs
rd pulse - nrd
setup)* t
CPMCK
- 1.4
ns
SMC14 NCS Pulse Width ncs rd pulse length
* tCPMCK - 6.7
ncs rd pulse length
* t
CPMCK
- 3.5
ns
Table 42-50. SMC Write Signals - NWE Controlled (WRITE_MODE = 1)
Symbol Parameter
Min Max
Units1.8V(2) 3.3V(3) 1.8V(2) 3.3V(3)
HOLD or NO HOLD SETTINGS (nwe hold 0, nwe hold = 0)
SMC15 Data Out Valid before NWE High nwe pulse * tCPMCK -
16 nwe pu lse * tCPMCK
- 13.6 ns
SMC16 NWE Pulse Width nwe pulse * tCPMCK -
6.5 nwe pu lse * tCPMCK
- 3.5 ns
SMC17 A0 - A22 valid before NWE low nwe setup * tCPMCK
+ 13.5 nwe setup * tCPMCK
+ 14.5 ns
SMC18 NCS low bef ore NWE high (nwe setup - ncs rd
setup + nwe pulse)
* tCPMCK + 16 .5
(nwe setup - ncs rd
setup + nwe pulse)
* t
CPMCK
+ 13.8
ns
1035
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. hold length = total cycle duration - setup duration - pulse duration. “hold length” is for “ncs wr hold length” or “NWE hold
length”.
2. 1.8V domain: VDDIO from 1.65V to 1.95V, maximum external capacitor = 25 pF
3. 3.3V domain: VDDIO from 3.0V to 3.6V, maximum external capacitor = 25 pF.
HOLD SETTINGS (nwe hold 0)
SMC19
NWE High to Data OUT, NBS0/A0
NBS1, NBS2/A1, NBS3, A2 - A25
change
nwe hold * tCPMCK
- 8.5 nwe hold * tCPMCK -
4.8 ns
SMC20 NWE High to NCS Inactive (1) (nwe hold - ncs wr
hold)* tCPMCK - 6 (nwe hold - ncs wr
hold)* tCPMCK -3 ns
NO HOLD SETTINGS (nwe hold = 0)
SMC21
NWE High to Data OUT, NBS0/A0
NBS1, NBS2/A1, NBS3, A2 - A25,
NCS change(1) 14.5 13 ns
Table 42-50. SMC Write Signals - NWE Controlled (WRITE_MODE = 1) (Cont inued)
Symbol Parameter
Min Max
Units1.8V(2) 3.3V(3) 1.8V(2) 3.3V(3)
Table 42-51. SMC Write NCS Controlled (WRITE_MODE = 0)
Symbol Parameter
Min Max
Units1.8V(2) 3.3V(3) 1.8V(2) 3.3V(3)
SMC22 Data Out Valid before NCS High ncs wr pulse *
tCPMCK - 0.9 ncs wr pulse *
tCPMCK - 0.8 ns
SMC23 NCS Pulse Width ncs wr pulse *
tCPMCK - 6.7 ncs wr pulse *
tCPMCK - 3.5 ns
SMC24 A0 - A22 valid before NCS low ncs wr setup *
tCPMCK - 1.7 ncs wr setup *
tCPMCK -1.6 ns
SMC25 NWE low before NCS high (ncs wr setup -
nwe setup + ncs
pulse)* tCPMCK - 1
(ncs wr setup -
nwe setup + ncs
pulse) * tCPMCK - 1 ns
SMC26 NCS High to Data Out,A0 - A25,
change ncs wr hold *
tCPMCK - 5.5 ncs wr hold *
tCPMCK - 4.5 ns
SMC27 NCS High to NWE Inactive (ncs wr hold -
nwe hold)*
tCPMCK -0.3
(ncs wr hold -
nwe hold)*
tCPMCK - 0.4 ns
1036 6500D–ATARM–29-Feb-12
SAM3S
Figure 42-26. SMC Timings - NCS Controlled Read and Write
Figure 42-27. SMC Timings - NRD Controlled Read and NWE Controlled Write
NRD
NCS
DATA
NWE
NCS Controlled READ
with NO HOLD NCS Controlled READ
with HOLD NCS Controlled WRITE
SMC22 SMC26
SMC10 SMC11
SMC12
SMC9
SMC8
SMC14 SMC14 SMC23
SMC27
SMC26
A0 - A23
SMC24
SMC25
SMC12
SMC13SMC13
NRD
NCS
DATA
NWE
A0-A23
NRD Controlled READ
with NO HOLD NWE Controlled WRITE
with NO HOLD NRD Controlled READ
with HOLD NWE Controlled WRITE
with HOLD
SMC1 SMC2 SMC15
SMC21
SMC3 SMC4 SMC15 SMC19
SMC20
SMC7
SMC21
SMC16
SMC7
SMC16
SMC19
SMC21
SMC17
SMC18
SMC5 SMC5
SMC6 SMC6
SMC17
SMC18
1037
6500D–ATARM–29-Feb-12
SAM3S
42.11.7 USART in SPI Mode Timings
Timings are given with the following conditions.
VDDIO = 1.62V and 3V
SCK/MISO/MOSI Load = 30 pF
Figure 42-28. USART SPI Master Mode
Figure 42-29.
USART SPI Slave Mode: (Mode 1 or 2)
NSS
SPI0
MSBLSB
SPI1
CPOL=1
CPOL=0
MISO
MOSI
SCK
SPI5
SPI2
SPI3
SPI4
SPI4
• the MOSI line is driven by the output pin TXD
• the MISO line drives the input pin RXD
• the SCK line is driven by the output pin SCK
• the NSS line is driven by the output pin RTS
SCK
MISO
MOSI
SPI6
SPI7SPI8
NSS
SPI12 SPI13
• the MOSI line drives the input pin RXD
• the MISO line is driven by the output pin TXD
• the SCK line drives the input pin SCK
• the NSS line drives the input pin CTS
1038 6500D–ATARM–29-Feb-12
SAM3S
Figure 42-30. USART SPI Slave mode: (Mode 0 or 3)
42.11.7.1 USART SPI TImings
SCK
MISO
MOSI
SPI9
SPI10 SPI11
NSS
SPI14
SPI15
Table 42-52. USART SPI Timings
Symbol Parameter Conditions Min Max Units
Master Mode
SPI0SCK Period 1.8v domain
3.3v domain tCPMCK * 6 ns
SPI1Input Data Setup Time 1.8v domain
3.3v domain 0.5 * tCPMCK + 3.2
0.5 * tCPMCK + 2.9 ns
SPI2Input Data Hold Time 1.8v domain
3.3v domain 1.5 * tCPMCK +1
1.5 * tCPMCK + 0.7 ns
SPI3Chip Select Activ e to Serial Clock 1.8v domain
3.3v domain 1.5 * tCPSCK + 0.2
1.5 * tCPSCK + 0.25 ns
SPI4Output Data Setup Time 1.8v domain
3.3v domain -4.5
-3.8 3.9
3.6 ns
SPI5Serial Clock to Chip Select Inactive 1.8v domain
3.3v domain 1 * tCPSCK - 1.6
1 * tCPSCK - 1.4 ns
Slave Mode
SPI6SCK falling to MISO 1.8V domain
3.3V domain 7
6.6 27
24 ns
SPI7MOSI Setup time before SCK ri ses 1.8V domain
3.3V domain 2 * tCPMCK + 2.1
2 * tCPMCK + 1.9 ns
SPI8MOSI Hold time after SCK rises 1.8v domain
3.3v domain 0 + 1.4
0 + 1.3 ns
1039
6500D–ATARM–29-Feb-12
SAM3S
Notes: 1. 1.8V domain: VDDIO from 1.65V to 1.95V, maximum external capacitor = 25 pF
2. 3.3V domain: VDDIO from 3.0V to 3.6V, maximum external capacitor = 25 pF.
SPI9SCK rising to MISO 1.8v domain
3.3v domain 7.1
6.7 26
24 ns
SPI10 MOSI Setup time before SCK falls 1.8v domain
3.3v domain 2 * tCPMCK + 1.6
2 * tCPMCK + 1.5 ns
SPI11 MOSI Hold time after SCK falls 1.8v domain
3.3v domain 0 + 1.8
0 + 1.55 ns
SPI12 NPCS0 setup to SCK rising 1.8v domain
3.3v domain 2.5 * tCPMCK + 0.3
2.5 * tCPMCK + 0.1 ns
SPI13 NPCS0 hold after SCK falli ng 1.8v domain
3.3v domain 1.5 * tCPMCK + 3.7
1.5 * tCPMCK + 3.4 ns
SPI14 NPCS0 setup to SCK falling 1.8v domain
3.3v domain 2.5 * tCPMCK - 0.2
2.5 * tCPMCK - 0.22 ns
SPI15 NPCS0 hold after SCK rising 1.8v domain
3.3v domain 1.5 * tCPMCK + 3.3
1.5 * tCPMCK + 3.3 ns
Table 42-52. USART SPI Timings (Continued)
Symbol Parameter Conditions Min Max Units
1040 6500D–ATARM–29-Feb-12
SAM3S
42.11.8 Two-wire Serial Interface Characteristics
Table 30 describes the requirements for devices connected to the Two-wire Se rial B us. For timing symbo ls refe r to Figure
42-31.
Notes: 1. Required only for fTWCK > 100 kHz.
2. CB = capacitance of one bus line in pF. Per I2C Standard, Cb Max = 400pF
3. The TWCK low Period is defined as follows:
4. The TWCK high period is defined as follows:
5. tCP_MCK = MCK Bus Period.
Table 42-53. Two-wire Serial Bus Requirements
Symbol Parameter Condition Min Max Units
VIL Input Low-vol ta ge -0.3 0.3 VVDDIO V
VIH Input High-voltage 0.7xVVDDIO VCC + 0.3 V
VHYS Hysteresis of Schmitt Trigger Inputs 0.150 V
VOL Output Low-voltage 3 mA sink current - 0 .4 V
tRRise Time for both TWD and TWCK 20 + 0.1Cb(1)(2) 300 ns
tOF Output Fall Time from VIHmin to VILmax 10 pF < Cb < 400 pF
Figure 42-31 20 + 0.1Cb(1)(2) 250 ns
Ci(1) Capacitance for each I/O Pin 10 pF
fTWCK TWCK Clock Frequency 0 400 kHz
Rp Value of Pull-up resistor
fTWCK 100 kHz
fTWCK > 100 kHz
tLOW Low Period of the TWCK clock fTWCK 100 kHz (3) –µs
fTWCK > 100 kHz (3) –µs
tHIGH High period of the TWCK clock fTWCK 100 kHz (4) –µs
fTWCK > 100 kHz (4) –µs
tHD;STA Hold Time (repeated) START Condition fTWCK 100 kHz tHIGH –µs
fTWCK > 100 kHz tHIGH –µs
tSU;STA Set-up time for a repeated START condi ti o n fTWCK 100 kHz tHIGH –µs
fTWCK > 100 kHz tHIGH –µs
tHD;DAT Data hold time fTWCK 100 kHz 0 3 x TCP_MCK(5) µs
fTWCK > 100 kHz 0 3 x TCP_MCK(5) µs
tSU;DAT Data setup time fTWCK 100 kHz tLOW - 3 x
tCP_MCK(5) –ns
fTWCK > 100 kHz tLOW - 3 x
tCP_MCK(5) –ns
tSU;STO Setup time for STOP condition fTWCK 100 kHz tHIGH –µs
fTWCK > 100 kHz tHIGH –µs
tHD;STA Hold Time (repeated) START Condition fTWCK 100 kHz tHIGH –µs
fTWCK > 100 kHz tHIGH –µs
VVDDIO 0,4V
3mA
--------------------------------------
1000ns
Cb
-------------------
Ω
VVDDIO 0,4V
3mA
--------------------------------------
300ns
Cb
----------------
Ω
Tlow CLDIV(2CKDIV
×()4)+TMCK
×=
Thigh CHDIV(2CKDIV
×()4)+TMCK
×=
1041
6500D–ATARM–29-Feb-12
SAM3S
Figure 42-31. Two-wire Serial Bus Timing
42.11.9 Embedded Flash Charac teristics
The maximum operating frequency is given in tables 42-54 and 42-55 below but is limited by the Embedded Flash access
time when the processor is fetching code out of it. The tables 42-54 and 42-55 below give the device maximum operating
frequency depending on the field FWS of the MC_F MR register. This field defines the number of wait states required to
access the Embedded Flash Memory.
Table 42-54. Embedded Flash Wait State VDDCORE set at 1.65V
FWS Read Operations Max imum Operating Frequency (MHz)
0 1 cycle 17
1 2 cycles 30
2 3 cycles 54
3 4 cycles 64
t
SU;STA
t
LOW
t
HIGH
t
LOW
t
of
t
HD;STA
t
HD;DAT
t
SU;DAT
t
SU;STO
t
BUF
TWCK
TWD
t
r
Table 42-55. Embedded Flash Wait State VDDCORE set at 1.80V
FWS Read Operations Max imum Operating Frequency (MHz)
0 1 cycle 22
1 2 cycles 38
2 3 cycles 64
Table 42-56. AC Flash Characteristics
Parameter Conditions Min Typ Max Units
Program Cycle Time per page includin g auto-erase 4.6 ms
per page without auto-erase 2.3 ms
Full Chip Erase 10 11.5 ms
Data Retention Not Powered or Powered 10 Years
Endurance Write /Erase cycles @ 25°C
Write/Erase cycles @ 85°C 10K
30K cycles
1042 6500D–ATARM–29-Feb-12
SAM3S
43. SAM3S4/2/1 Mechanical Characteristics
Figure 43-1. 100-lead LQFP Package Mechanical Drawing
This package respects the recomm endations of the NEMI User Group.
Table 43-1. Device and LQFP Package Maximum Weight
SAM3S4/2/1 800 mg
Table 43-2. Package Reference
JEDEC Drawing Reference MS-026
JESD97 Classification e3
Table 43-3. LQFP Package Characteristics
Moisture Sensitivity Level 3
Note : 1. This drawing is for general information only. Refer to JEDEC Drawing MS-026 for additional information.
1043
6500D–ATARM–29-Feb-12
SAM3S
Figure 43-2. 100-ball TFBGA Package Drawing
Table 43-4. Soldering Information (Substrate Level)
Ball Land TBD
Solderin g Mask Opening TBD
Table 43-5. Device Maximum Weight
TBD mg
Table 43-6. 100-ball Package Characteristics
Moisture Sensitivity Level 3
Table 43-7. Package Reference
JEDEC Drawing Reference TBD
JESD97 Classification e1
1044 6500D–ATARM–29-Feb-12
SAM3S
Figure 43-3. 64- and 48-lead LQFP Package Drawing
1045
6500D–ATARM–29-Feb-12
SAM3S
Table 43-8. 48-lead LQFP Package Dimensions (in mm)
Symbol Millimeter Inch
Min Nom Max Min Nom Max
A –1.60– 0.063
A1 0.05 0.15 0.002 0.006
A2 1.35 1.40 1.45 0.053 0.055 0.057
D 9.00 BSC 0.354 BSC
D1 7.00 BSC 0.276 BSC
E 9.00 BSC 0.354 BSC
E1 7.00 BSC 0.276 BSC
R2 0.08 0.20 0.003 0.008
R1 0.08 0.003
q 0°3.5°7° 0°3.5°7°
θ10°––0°––
θ211° 12° 13° 11° 12° 1
θ311° 12° 13° 11° 12° 1
c 0.09 0.20 0.004 0.008
L 0.45 0.60 0.75 0.018 0.024 0.030
L1 1.00 REF 0.039 REF
S 0.20 0.008
b 0.17 0.20 0.27 0.007 0.008 0.011
e 0.50 BSC. 0.020 BSC.
D2 5.50 0.217
E2 5.50 0.217
Tolerances of Form and Position
aaa 0.20 0.008
bbb 0.20 0.008
ccc 0.08 0.003
ddd 0.08 0.003
1046 6500D–ATARM–29-Feb-12
SAM3S
This package respects the recommendations of the NEMI User Group.
Table 43-9. 64-lead LQFP Package Dimensions (in mm)
Symbol Millimeter Inch
Min Nom Max Min Nom Max
A –1.60– 0.063
A1 0.05 0.15 0.002 0.006
A2 1.35 1.40 1.45 0.053 0.055 0.057
D 12.00 BSC 0.472 BSC
D1 10.00 BSC 0.383 BSC
E 12.00 BSC 0.472 BSC
E1 10.00 BSC 0.383 BSC
R2 0.08 0.20 0.003 0.008
R1 0.08 0.003
q 0°3.5°7° 0°3.5°7°
θ10°––0°––
θ211° 12° 13° 11° 12° 1
θ311° 12° 13° 11° 12° 1
c 0.09 0.20 0.004 0.008
L 0.45 0.60 0.75 0.018 0.024 0.030
L1 1.00 REF 0.039 REF
S 0.20 0.008
b 0.17 0.20 0.27 0.007 0.008 0.011
e 0.50 BSC. 0.020 BSC.
D2 7.50 0.285
E2 7.50 0.285
Tolerances of Form and Position
aaa 0.20 0.008
bbb 0.20 0.008
ccc 0.08 0.003
ddd 0.08 0.003
Table 43-10. Device and LQFP Package Maximum Weight
SAM3S4/2/1 750 mg
Table 43-11. LQFP Packa ge Reference
JEDEC Drawing Reference MS-026
JESD97 Classification e3
Table 43-12. LQFP and QFN Package Characteristics
Moisture Sensitivity Level 3
1047
6500D–ATARM–29-Feb-12
SAM3S
Figure 43-4. 48-pad QFN Package
1048 6500D–ATARM–29-Feb-12
SAM3S
Table 43-13. 48-pad QFN Package Dimensions (in mm)
Symbol Millimeter Inch
Min Nom Max Min Nom Max
A 090 0.035
A1 0.050 0.002
A2 0.65 0.70 0.026 0.028
A3 0.20 REF 0.008 REF
b 0.18 0.20 0.23 0.007 0.008 0.009
D 7.00 bsc 0.276 bsc
D2 5.45 5.60 5.75 0.215 0.220 0.226
E 7.00 bsc 0.276 bsc
E2 5.45 5.60 5.75 0.215 0.220 0.226
L 0.35 0.40 0.45 0.014 0.016 0.018
e 0.50 bsc 0.020 bsc
R 0.09 0.004
Tolerances of Form and Position
aaa 0.10 0.004
bbb 0.10 0.004
ccc 0.05 0.002
1049
6500D–ATARM–29-Feb-12
SAM3S
Figure 43-5. 64-pad QFN Package Drawing
1050 6500D–ATARM–29-Feb-12
SAM3S
This package respects the recommendations of the NEMI User Group.
Table 43-14. 64-pad QFN Package Dimensions (in mm)
Symbol Millimeter Inch
Min Nom Max Min Nom Max
A 090 0.035
A1 0.05 0.001
A2 0.65 0.70 0.026 0.028
A3 0.20 REF 0.008 REF
b 0.23 0.25 0.28 0.009 0.010 0.011
D 9.00 bsc 0.354 bsc
D2 6.95 7.10 7.25 0.274 0.280 0.285
E 9.00 bsc 0.354 bsc
E2 6.95 7.10 7.25 0.274 0.280 0.285
L 0.35 0.40 0.45 0.014 0.016 0.018
e 0.50 bsc 0.020 bsc
R 0.125 0.0005
Tolerances of Form and Position
aaa 0.10 0.004
bbb 0.10 0.004
ccc 0.05 0.002
Table 43-15. Device and QFN Package Maximum Weight (Preliminary)
SAM3S4/2/1 280 mg
Table 43-16. QFN Package Reference
JEDEC Drawing Reference MO-220
JESD97 Classification e3
Table 43-17. QFN Package Characteristics
Moisture Sensitivity Level 3
1051
6500D–ATARM–29-Feb-12
SAM3S
43.1 Soldering Profile
Table 43-18 gives the recommended soldering profile from J-STD-020C.
Note: The package is certified to be backward compatible with Pb/Sn soldering profile.
A maximum of three reflow passes is all owed per component.
43.2 Packaging Resources
Land Pattern Definition.
Refer to the following IPC Standards:
IPC-7351A and IPC-782 (G eneric Requirements f or Sur f ace Mount De sign and Land Pattern
Standards) http://landpatterns.ipc.org/default.asp
Atmel Green and Ro HS Policy and Package Material Declaration Data Sheet
http://www.atmel.com/green/
Table 43-18. Soldering Profile
Profile Feature Green Package
Average Ramp-up Rate (217°C to Peak) 3°C/sec. max.
Preheat Temperature 175°C ±25°C 180 sec. max.
Temperature Maintained Above 217°C 60 sec. to 150 sec.
Time within 5°C of Actual Peak Temperature 20 sec. to 40 sec.
Peak Temperature Range 260°C
Ramp-down Rate 6°C/sec. max.
Time 25°C to Peak Temperature 8 min. max.
1052 6500D–ATARM–29-Feb-12
SAM3S
44. Ordering Information
Table 44-1. Ordering Codes for SAM3S Series Devices
Ordering Code MRL Flash
(Kbytes) Package (Kbytes) Package Type Temperature
Operating Range
ATSAM3S4CA-AU A 256 QFP100 Green Industrial
-40°C to 85°C
ATSAM3S4CA-CU A 256 BGA100 Green Industrial
-40°C to 85°C
ATSAM3S4BA-AU A 256 QFP64 Green Industrial
-40°C to 85°C
ATSAM3S4BA-MU A 256 QFN64 Green Industrial
-40°C to 85°C
ATSAM3S4AA-AU A 256 QFP48 Green Industrial
-40°C to 85°C
ATSAM3S4AA-MU A 256 QFN48 Green Industrial
-40°C to 85°C
ATSAM3S2CA-AU A 128 QFP100 Green Industrial
-40°C to 85°C
ATSAM3S2CA-CU A 128 BGA100 Green Industrial
-40°C to 85°C
ATSAM3S2BA-AU A 128 QFP64 Green Industrial
-40°C to 85°C
ATSAM3S2BA-MU A 128 QFN64 Green Industrial
-40°C to 85°C
ATSAM3S2AA-AU A 128 QFP48 Green Industrial
-40°C to 85°C
ATSAM3S2AA-MU A 128 QFN48 Green Industrial
-40°C to 85°C
ATSAM3S1CA-AU A 64 QFP100 Green Industrial
-40°C to 85°C
ATSAM3S1CA-CU A 64 BGA100 Green Industrial
-40°C to 85°C
ATSAM3S1BA-AU A 64 QFP64 Green Industrial
-40°C to 85°C
ATSAM3S1BA-MU A 64 QFN64 Green Industrial
-40°C to 85°C
ATSAM3S1AA-AU A 64 QFP48 Green Industrial
-40°C to 85°C
ATSAM3S1AA-MU A 64 QFN48 Green Industrial
-40°C to 85°C
1053
6500D–ATARM–29-Feb-12
SAM3S
45. SAM3S Series Errata
45.1 Marking All devices are marked with the At mel logo and the ordering code.
Additional marking is as follows:
where
•“YY: manufactory year
“WW”: manufactory week
“V”: revision
“XXXXXXXXX”: lot number
YYWW V
XXXXXXXXX ARM
1054 6500D–ATARM–29-Feb-12
SAM3S
45.2 Errata Revision A Parts
Revision A parts Chip IDs are as follows:
SAM3S4C (Rev A) 0x28A00960
SAM3S2C (Rev A) 0x28AA0760
SAM3S1C (Rev A) 0x28A90560
SAM3S4B (Rev A) 0x28900960
SAM3S2B (Rev A) 0x289A0760
SAM3S1B (Rev A) 0x28990560
SAM3S4A (Rev A) 0x28800960
SAM3S2A (Rev A) 0x288A0760
SAM3S1A (Rev A) 0x28890560
45.2.1 Flash Memory
45.2.1.1 FLASH: Flash Reading in 64-bit mode
Higher power consumption than expected can be seen when reading Flash in 64-bit mode.
Problem Fix/Workaround
Use 128-bit mode instead.
45.2.1.2 FLASH: Flash issue running at frequency lower than 5 MHz
When the system clock (MCK) is lower than 5 MHz with 2 Wait States (WS) programmed in the
EEFC_FMR, the Cortex fetches erroneous instructions.
Problem Fix/Workaround
Do not use 2 WS when running at a frequency lower than 5 MHz.
45.2.1.3 FLASH: Flash Programming
When writing data into the Flash memor y plane ( either t hrough the EEFC, using t he IAP f unction
or FFPI), the data may not be correctly writ ten (i.e the data written is not t he one expected).
Problem Fix/Workaround
Set the number of Wait States (WS) at 6 (FWS = 6) during the programming.
45.2.1.4 FLASH: Fetching Error after Reading the Unique Identifier
After reading the Unique Identifier (or using the STUI/SPUI command), the processor may fe tch
wrong instructions. It depends on the code and on the region of the code.
Problem Fix/Workaround
In order to avoid this pro blem, follow the steps below:
1. Set bit 16 of EEFC Flash Mode Register to 1
2. Send the Start Read Unique Identifier command (STUI) b y writing the Flash Command
Register with the STUI command.
3. Wait for the FRDY bit to fall
4. Read the Unique ID (and next bits if required)
5. Send the Stop Read Unique Id entifier command (SPUI) by writing the Flash Command
Register with the SPUI command.
6. Wait for the FRDY bit to rise
1055
6500D–ATARM–29-Feb-12
SAM3S
7. Clear bit 16 of EEFC Flash Mode Register
Note: During th e sequ en ce , th e soft ware ca nno t ru n ou t of Flash (so n eed s to ru n ou t of SRAM ).
45.2.2 Analog-to-Digital Converter (ADC)
45.2.2.1 ADC: Comparison Window, High Threshold Value
High threshol d bits[2 7:16 ] of the AD C Compar e Windo w Regis ter (ADC _CWR) are not fun ction -
ally read/write and return 0 when ADC_CWR register is read. However, the high threshold value
is correctly registered and behaves accordingly.
Problem Fix/Workaround
Ignore the read value of ADC_CWR high threshold bits [27:16].
45.2.2.2 ADC: End of Conversion (EOC) Flag
Performing a softwar e rese t (SWRST bit in ADC_CR) does not re set t he EOCx flag s of the ADC
Interrupt Status Register.
Problem Fix/Workaround
Reading the ADC_CDRx channels clears the corresponding EOCx flag.
45.2.2.3 ADC: Trigger Launch Only One Conversion
A start command initiates a conversion sequence of one channel, but not of all activated chan-
nels as expected.
Problem Fix/Workaround
Send as many start comma nds as the number of activated channels, or use the fre e run mode.
45.2.2.4 ADC: Wrong First Conversions
The first conversions done by the ADC may be erroneous if the maximum gain (x4 in single
ended or x2 in differential mode) is not used. The issue appears after the power-up or if a con-
version has not occurred for 1 minute.
Problem Fix/Workaround
Three workarounds are possible:
Perform 16 dummy conversion s on one channel (whatever conditions used in terms of setup
of gain, single/diff erential, offset, and channel selected). The ne xt conversions will be correct
f or any chan nels and an y settings . Note t hat these dumm y con ve rsions need to be pe rf ormed
if no conv ersion has occurred for 1 minute or for a new chip start-up.
Perform a dummy conversion on a single-ended channel on which an external voltage of
ADVREF/2 (+/-10%) is applied. Use the following conditions for this con version: gain at 4,
offset set at 1. The next conversions will be correct for any channels and any settings. Note
that this dumm y conv ersion needs to be perf ormed if no conv ersion has occurred f or 1 minute
or for a new chip start-up.
P erform a dummy con ve rsion on a diff erentia l channel on which the tw o inputs are conne cted
together and conne cted to any voltage (from 0 to ADVREF). Use the following conditions for
this conversion: gain at 4, offset set at 1. The next conversions will be correct f or any
channels and any settings. Note that this dummy conversion needs to be performed if no
conversion has occurred for 1 minute or for a new chip start-up.
1056 6500D–ATARM–29-Feb-12
SAM3S
45.2.3 Cyclic Redundancy Check Calculation (CRCCU)
45.2.3.1 CRCCU: Compare Function
The Transfer Reference Register TR_CRC offset is not CRCCU_DSCR+0x10 but
CRCCU_DSCR+0xE0.
Problem Fix/Workaround
Two Workarou n ds ar e po ss ible :
Either do not use the compare function and process the comparison by software
Or set the TR_CRC at the address CRCCU_DSCR+0x E0
45.2.4 SAM-BA
45.2.4.1 SAM-BA Boot: Start-up Issue when Using No Clock on XIN
If no crystal (between XIN/XOUT) or no ceramic resonator (between XIN/XOUT) or no bypass
mode (on XIN) is used, SAM-BA Boot may not start on some parts. As SAM-BA Boot is running
by default when the Flash is erased, the parts ca nnot be accessed even by JTAG under those
conditions.
Problem Fix/Workaround
Use an external crystal or ceramic resonator on XIN/XOUT, or use the Main oscillator in bypass
mode (applying a clock on XIN).
1057
6500D–ATARM–29-Feb-12
SAM3S
Revision History In the tables that follow, the most recent version of th e document appears first.
“rfo” indicates changes requested during document review and approval loop.
Doc. Rev.
6500D Comments
Change
Request
Ref.
Overview:
All mention to 100-ball LFBGA replaced with 100-ball TFBGA.
Table note 5 added in Table 3-1, “Signal Description List”. Add table note 1 in Table 12-1, “Debug and Test
Signal List”.
MOSCRCEN bit details added in Section 5.5.2 “Wait Mode”.
TST condition changed in Section 8.1.3.9 “Fast Flash Programming Interface”.
Section 7. “Memories” replaced with Section 7. “Product Mapping” followed by Section 8. “Memories”.
Notes under Figure 5-1, "Single Supply" and Figure 5-2, "Core Externall y Supplied." modified.
Cross-Ref erences (1) added for 64-pin packages in table Table 1-1, “Configuration Summary”.
Pin 22 value changed for PA 23/PGMD11 in Table 4-1, “100-lead LQFP SAM3S4/2/1C Pinout”.
“Write Protected Registers” added in “Features”, in Peripherals list.
ADC column values updated in Table 1-1, “Configuration Summary”.
8044
7632
7639
7668
7684
7887
8033
8093
8213
rfo
ADC:
Cross-Reference (1) relocated in table Section 40-1 “ADC Pin Description”.7727
BootROM:
Steps 9 and 10 updated in Section 22.4 “Device Initialization” 8169
Cortex M3:
In Cortex M3 chapter , variable Calib_Reset_Dec set to 8000. 7725
PIO:
Table updated in Section 29.7.49 “PIO Parallel Capture Mode Register”.7705
PWM:
"High Frequency Asynchronous clocking mode" removed from Section 37.2 “Embedded Characteristics” 8095
Errata:
Section 45.2.1.4 “FLASH: Fe tching Error after Reading the Unique Identifier” added.
Section 45.2.2.4 “ADC: Wrong First Conversions” added. 7978
8164
Electrical Characteristics:
Table 42-2, “DC Characteristics”: Values modified for Pull-up and Pull-down resistors
MCK' changed with 'tCPMCK' and 'SCK' with 'tCPSCK' in Table 42-52, “USART SPI Timings”.
Add Section 42.7.2 “Gain and Offse t Cal ibration for 12-bit ADC.
Table 42-16, “32 kHz RC Oscillator Characteristics” and Table 42-4, “Core Power Supply Brownout Detector
Characteristics” updated.
8077
7651
8152
8174
1058 6500D–ATARM–29-Feb-12
SAM3S
Doc. Rev.
6500C Comments
Change
Request
Ref.
Overview:
‘able’ removed from ‘This enables the SAM3S able to sustain...’ sentence, and ‘a ADC’ replaced by ‘an ADC’ in
Section 1.
Section 5.5. 1 “Backup Mo de , sentence starting with ‘By configuring...’ updated.
Leftover senten ce in Section 4.1 “SAM3S4/2/1C Package and Pinout” removed.
Last sentence from Section 8.1.3.10 “SAM-BA ® Boot” removed.
‘three GPNVM bits’ replaced by ‘two GPNVM bits’ in first sentence of Section 8.1.3.11 “GPNVM Bits”.
TPGMD8 to 15 added to Section 4-1 “100-lead LQFP SAM3S4/2/1C Pinout” and Section 4-2 “100-ball TFBGA
SAM3S4/2/1C Pinout”.
7524
7492
7394
7428
rfo
ARM Cort ex M3 Processor:
Cross-referencing and formatting problems fixed in first 3 pages of Section 11.23 “Glossary”.rfo
Debug and Test Features:
Text edited in Section 12.5.7 “IEEE® 1149.1 JTAG Boundary Scan”.7488
GPBR:
IP Name and IP Acronym v a riables fix ed.
TDescription title in Section 18.1 fixed. 7448
PMC:
CSS and PRES bitfield value tables upda ted in Section 27.16.11 “PMC Master Clock Register” and Section
27.16.13 “PMC Programmable Clock Register”.
MOSCRCF bitfield value tables updated in Section 27.16.7 “PMC Clock Genera tor Main Oscillator Register”.
Section 27.11 “Fast Startup” updated. In Section 27.16.16 “PMC Status Register”, MOCSELS bit value
descriptions reversed.
7360
7539
WDT:
Register addresses updated in Section 16.5.1 to Section 16.5.3.
Section 16.5. 1 ‘Register Name:’ replaced by ‘Register :’ 7407
Electrical Characteristics:
Section 42.11.3.1 “Maximum SPI Frequency”, Master Read Mode, ‘deleting’ replaced by ‘detecting’.
Table 42-52, “USART SPI Timings” updated: SPIo Min value edited, and all ‘MCK’ replaced by ‘tCPMCK’. rfo
Ordering Information:
Heading text added to Table 44-1.7524
Errata:
‘(AD)’ acronym replaced by ‘(ADC)’ in Section 45. 2. 2 title.
Section 45.2.2.3 “ADC: Trigger Launch Only One Conversion added.
Section 45.2.4.1 “SAM-BA Boot: Start-up Issue when Using No Clock on XIN” adde d.
Section 45.2 “Errata Revision A Parts” updated
7530
7596
Typo fixed on back page: ‘techincal’ --> ‘technical’ 7536
1059
6500D–ATARM–29-Feb-12
SAM3S
Doc. Rev.
6500B Comments
Change
Request
Ref.
Overview:
“Packages” on page 1, package size or pitch updated.
Table 1-1, “Configuration Summary”, ADC column updated, footnote gives precision on reserved channel.
Table 4-2, “100-ball TFBGA SAM3S4/2/1C Pinout”, pinout information is available.
Figure 5-1, "Single Supply", Figure 5-2, "Core Externally Supplied.", up dated notes below figures.
Figure 5-2, "Core Externally Supplied.", Figure 5-3, "Backup Battery", ADC,DAC, Analog Comparator supply is
2.0V-3.6V.
Section 8.1.3.8 “Unique Identifier”, Each device integrates its own 128-bit unique identifier.
7214
6981
7201
7243/rfo
7307
ACC:
Section 39.2 “Embedded Characteristics”, references to “window function” removed.
Table 39-1, “Analog Comparator Controller Block Diagram”, signal names begi nning as “AD” updated and
hidden parts at to p of block diagram revealed.
Section 39.7.2 “ACC Mode Register”, SELMINUS bitfield description relocated in front of SELPLUS
7103
6968
6865
ADC:
Section 40.7 “Analog-to-Digital Converter (ADC) User Interface”, bitfield descriptions updated. (TRGEN,
LOWRES, SLEEP, FWUP, FREERUN, ANACH, USEQ. TSMODE, TSAV, TRGMOD) 6796
CHIPID:
Section 28.2. 1 “C hip ID Register”, bitfields updated.
“EPROC: Embedded Processor”, “ARCH: Architecture Identifier”, updated.
“SRAMSIZ: Internal SRAM Size”, replaced.
Table 28-1, “ATSAM3S Chip IDs Register”, updated.
6796
6967/7166
7215
CKGR/PMC:
Section 26.5. 1 “4/ 8 /1 2 MHz Fast RC Oscillator”, two paragraphs remo ved.
Section 26.5. 2 “4/ 8 /1 2 MH z Fast RC Oscillator Clock Frequency Adjustment”, added to datasheet
Section 26.6.1 “Divider and Phase Lock Loop Programming”, added restraints on changing 4/8/12 MHz F ast RC
oscillator at end of section.
Section 27.12 “Main Crystal Clock Failure Detector”, added 3rd paragraph (“A failure is detected...”) & 6th
paragraph (“It takes 2 slo w clock...”)
7129
7130
7127
GPBR:
Section 18.2 “Embedded Features”, there are eight general purpose backup registers on SAM3S devices. 7029
HSMCI:
Section 36.2 “Embedded Characteristics”, updated; Compatibility with SDIO Specification V2.0.
Section 36.11 “HSMCI Boot Operation Mode”, added “...not possible to boot directly on SD-CARD...
Table 36-8, “Register Mapping”, Reserved offsets updated.
Section 36.14 “High Speed MultiMedia Card Interface (HSMCI) User Interface”, bitfield description s and tables
updated.
Table 36-8, “Register Mapping” and Section 36.14.19 “HSMCI FIFOx Memory Aperture”, HSMCI_FIFOx offset
error corrected.
7091
6745
rfo:
7253
PIO:
Figure 29-3, "I/O Line Control Logic", Section 29.5.9 “Input Glitch and Debouncing Filters”, Section 2 9.7.26 “PIO
Input Filter Slow Clock Disable Register”, Section 29.7.27 “PIO Input Filter Slow Clock Enable Register”, Section
29.7.28 “PIO Input Filter Slow Clock Sta tu s Reg ister” ; acronyms f o r ‘IFSxxx’ registers changed.
Table 29-3, “Register Mapping”, Reserved addresses updated below Schmitt Trigger line.
6875
7258
RTT:
“RTTRST: Real-time Timer Restart”, in RTT_MR, bitfield updated; “0 = no effect”. 7143
1060 6500D–ATARM–29-Feb-12
SAM3S
RTC:
Section 15.4.2 “Interrupt”, updated. 7071
SPI:
Section 31.8.9 “SPI Chip Select Register”, after bit descr iption “SCBR: Serial Clock Baud Rate” on page 569,
added a note concerning data transfer.
Section 31.8.3 “SPI Receive Data Register”, after bit description “PCS: Peripheral Chip Select” on page 561,
added a note on requirements.
Section 31.7.3.5 “Peripheral Selection”, added a paragraph at the end of the section.
7247
7263
TC:
Figure 35-3, "Clock Selection" and Figure 35-5, "Capture Mode", updated w/ synchronous edge de tection.
Section 35.7.2 “TC Block Mode Register”, updated Name and Description columns in TC0XC0S, TXC1XC1S,
TXC2XC2S bitfield description tables.
Section 35.7.11 “TC Channel Mode Register: Waveform Mode”In the TC_CMR register “WAVSEL: Waveform
Selection” bitfield description
7096
7167
7190
UART:
“CD: Clock Divisor”, bitfield description updated in UART_BRGR.
Figure 33-1, "UART Functional Block Diagram", updated. 7187
7285
UDP:
Section 38.3.1 “Signal Description”, added to datasheet.
Section 38.4.1 “I/O Lines”, updated.
Global; references to “DP”, “DM”, changed to “DPP”, “DMM”.
Table 38-6, “Register Mapping”, Offsets and Names for UDP_CSRY and Endpoint UDP_FDRY upda ted
w/endpoint info.
Section 38.7.10 “UDP Endpoint Control and Status Register”, code updated.
rfo
6773
rfo
6895
6896
USART:
“CD: Clock Divider”, bitfield descriptio n, baud rate formula corrected in US_BRGR.
Section 34.7.1 “Baud Rate Generator”, updated “The frequency of the signal provided on SCK...”
Section 34.7.1.3 “Baud Rate in Synchronous Mode or SPI Mode, updated, “the receive part limits the SCK...
Confusing text references to “DMAC/PDC“ replaced by PDC.
7186
7096
7284
Doc. Rev.
6500B Comments
Change
Request
Ref.
1061
6500D–ATARM–29-Feb-12
SAM3S
Electrical Characteristics:
Table 42-2, “DC Characteristics” VOH/VOL Min/Max values swapped.
Table 42-17, “4/8/12 MHz RC Oscillators Characteristics”, updated.
Table 42-7, “DC Flash Characteristics”, 1st cell , ISB deleted.
Table 42-21, “3 to 20 MHz Crystal Oscillator Characteristics”, CLEXT line modi fied.
Section 42.11 “AC Characteristics”, updated the following tables
Table 42-46, “SPI Timings”,
Table 42-47, “SSC Timings”,
Table 42-48, “SMC Read Signals - NRD Controlled (READ_MODE = 1)”,
Table 42-49, “SMC Read Signals - NCS Controlled (READ_MODE= 0)”,
Table 42-50, “SMC Write Signals - NWE Controlled (WRITE_MODE = 1)”,
Table 42-51, “SMC Write NCS Controlled (WRITE_MODE = 0),
Table 42-52, “USART SPI Timings”,
Table 42-54, “Embedded Flash Wait State VDDCORE set at 1.65V”,
Table 42-55, “Embedded Flash Wait State VDDCORE set at 1.80V”
Section 42.11.3.1 “Maximum SPI Frequency”, the following changes:
“Master Read Mode” ...FSPCKMax = 33 MHz...
“Slave Write Mode” ...2x(SPI6max(orSPI9max) ......FSPCK Max = 25 MHz...
Section 42.11.7 “USART in SPI Mode Timings”, all figures, tables and titles renamed USART from UART.
Figure 42-28, Figure 42-28, updated with text on line drives.
7208
rfo
rfo
rfo
7225
rfo
Table 42-28, “Analog Power Supply Characteristics”, updated IVDDANA line.
Table 42-29, “Channel Conversion Time and ADC Clock”, fADC, tCP_A, tSTART-UP lines upd ated & added tSETTLING
Table 42-30, “External Voltage Reference Input”, removed ADVREF Settling Time.
Table 42-35, “Analog Inputs”, Input Capacitance, Max value updated.
Section 42.7.1 “Track and Hold Time versus Source Output Impedance”, replaced text below figure.
Figure 42-30, SPI14 and SPI15 repositioned in respect to SCK rising and falling.
Table 42-52, “USART SPI Timings”, all references to SPCK changed to SCK. Min values updated.
rfo
7320
Errata:
Section 45. “SAM3S Series Errata”, added to the datasheet. 7207/7316
Doc. Rev.
6500B Comments
Change
Request
Ref.
1062 6500D–ATARM–29-Feb-12
SAM3S
Doc. Rev.
6500A Comments
Change
Request
Ref.
First Issue
i
6500D–ATARM–29-Feb-12
SAM3S
Table of Contents
Features..................................................................................................... 1
1 SAM3S Description .................................................................................. 2
1.1 Configuration Summary .....................................................................................2
2 SAM3S Block Diagram ............................................................................ 3
3 Signal Description ................................................................................... 6
4 Package and Pinout ............................................................................... 10
4.1 SAM3S4/2/1C Package and Pinout .................................................................10
4.2 SAM3S4/2/1B Package and Pinout .................................................................13
4.3 SAM3S4/2/1A Package and Pinout .................................................................15
5 Power Considerations ........................................................................... 17
5.1 Power Supplies ................................................................................................17
5.2 Voltage Regulator ............................................................................................17
5.3 Typical Powering Schematics ..........................................................................17
5.4 Low Power Modes ...........................................................................................19
5.5 Wake-up Sources ............................................................................................22
5.6 Fast Startup .....................................................................................................23
6 Input/Output Lines ................................................................................. 24
6.1 General Purpose I/O Lines ..............................................................................24
6.2 System I/O Line s ......... ... ................. ... ................ ................ ... ................ ..........24
6.3 Test Pin ...........................................................................................................26
6.4 NRST Pin .. ... ... ... .... ... ................ ................ ................ .... ................ ................ ...26
6.5 ERASE Pin ......................................................................................................26
7 Produ ct Mapping .................................................................................... 27
8 Memories ................................................................................................ 28
8.1 Embedded Memories ......................................................................................28
8.2 External Memories ...........................................................................................30
9 System Controller .................................................................................. 30
9.1 System Controller and Peripherals Mapping ...................................................32
9.2 Power-on-Reset, Brownout and Supply Monitor .............................................32
10 Peripherals ............................................................................................. 33
ii 6500D–ATARM–29-Feb-12
SAM3S
10.1 Peripheral Identifiers ........................................................................................33
10.2 APB/AHB bridge ..............................................................................................34
10.3 Peripheral Signal Multiplexing on I/O Lines .....................................................34
11 ARM Cortex® M3 Processor .................................................................... 1
11.1 Abou t th is sect ion ........... ................. ................ ... ................ ................ ...............1
11.2 About the Cortex-M3 processor and core peripherals .......................................1
11.3 Programmers model ..........................................................................................3
11.4 Memory model .................................................................................................16
11.5 Exc ep tio n m odel .......... ... .... ................ ... ................ ................ ... ................. ......25
11.6 Faul t ha n dlin g ........................... ................ ... ................. ................ ... ................32
11.7 Power management ........................................................................................35
11.8 Instruction set sum mar y ........... ................ ................ .... ................ ................ ...37
11.9 Intrinsic functions .............................................................................................40
11.10 About the instruction descriptions ....................................................................41
11.11 Memory access instructions ............................................................................49
11.12 General data processing instructions ..............................................................65
11.13 Multiply and divide instructions ........................................................................81
11.14 Saturating ins tru ct io n s ........... ... ... .... ................ ................ ... ................ .............85
11.15 Bitfield instructions ...........................................................................................87
11.16 Branch and control instructions .......................................................................91
11.17 Miscellaneous ins tru ct ion s ........... .... ................ ................ ................ ... .............99
11.18 About the Cor te x- M3 peri p hera l s . .... ... ................ ................ ................ ... ........112
11.19 Nested Vectored Interrupt Controller .............................................................113
11.20 System contro l block ... ... .... ................ ... ................ ................ ... ................. ....126
11.21 System timer, SysTick ........... ... ... .... ................ ... ................ ................ ... ........153
11.22 Memory protection unit ..................................................................................158
11.23 Glossary ........................................................................................................172
12 Debug and Test Features .................................................................... 177
12.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .177
12.2 Embedded Characteristics ............................................................................177
12.3 Application Examples ....................................................................................178
12.4 Debug and Test Pin Description ....................................................................179
12.5 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....180
13 Reset Controller (RSTC) ...................................................................... 185
13.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .185
iii
6500D–ATARM–29-Feb-12
SAM3S
13.2 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .185
13.3 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....185
13.4 Reset Controller (RSTC) User Interface ........................................................193
14 Real-time Timer (RTT) .......................................................................... 197
14.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .197
14.2 Embedded Characteristics ............................................................................197
14.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .197
14.4 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....198
14.5 Real-time Timer (RTT) User Interface ...........................................................200
15 Real-time Clock (RTC) ......................................................................... 205
15.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .205
15.2 Embedded Characteristics ............................................................................205
15.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .206
15.4 Product Dependencies ..................................................................................206
15.5 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....207
15.6 Real Time Clock (RTC) User Interface ........................................................210
16 Watchdog Timer (WDT) ....................................................................... 223
16.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .223
16.2 Embedded Characteristics ............................................................................223
16.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .223
16.4 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....224
16.5 Watchdog Timer (WDT) User Interface .........................................................226
17 Supply Controller (SUPC) ................................................................... 231
17.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .231
17.2 Embedded Characteristics ............................................................................231
17.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .232
17.4 Supp ly Con tr olle r Functio n al Descr iptio n ........... ................ ... ................ ........233
17.5 Supply Controller (SUPC) User Interface ......................................................240
18 General Purpose Backup Registers (GPBR) ..................................... 249
18.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .249
18.2 Embedded Features ......................................................................................249
18.3 General Purpose Backup Registers (GPBR) User Interface ........................249
19 Enhanced Embedded Flash Controller (EEFC) ................................. 251
19.1 Description ...................................................................................................251
iv 6500D–ATARM–29-Feb-12
SAM3S
19.2 Product Dependencies ..................................................................................251
19.3 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....251
19.4 Enhanced Embedded Flash Controller (EEFC) User Interface .....................262
20 Fast Flash Programming Interface (FFPI) .......................................... 267
20.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .267
20.2 Para llel Fast Flash Programmin g .................... ................ ... ................ ...........267
21 Cyclic Redundancy Check Calculation Unit (CRCCU) ..................... 279
21.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .279
21.2 Embedded Characteristics ............................................................................279
21.3 CRCCU Bloc k Diagram ......... ... ... .... ... ... ... ... .... ... ... ... .... ...... ... ... .... ... ... ... .... ... .280
21.4 Product Dependencies ..................................................................................281
21.5 CRCCU Functional Des cription ............. ... ... .... ... ... ... .... ... ... ... ... .... ... ... ... .... ... .281
21.6 Tran s fe r Con trol Re gisters Memory Mapp in g ........ ... ................ ................. ... .282
21.7 Cyclic Redundancy Check Calculation Unit (CRCCU) User Interface .........286
22 SAM3S Boot Program .......................................................................... 301
22.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .301
22.2 Hardware and Software Constraints ..............................................................301
22.3 Flow Diagram ................................................................................................301
22.4 Device Initialization ........................................................................................302
22.5 SAM-BA Monitor ............................................................................................303
23 Bus Matrix (MATRIX) ........................................................................... 307
23.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .307
23.2 Embedded Characteristics ............................................................................307
23.3 Memory Mapping ...........................................................................................308
23.4 Special Bus Granting Techniques .................................................................308
23.5 Arbitration ......................................................................................................309
23.6 Sys te m I/O Con fig ur at ion ............ .... ... ................ ... ................ ................ .... ....311
23.7 Write Protect Registers ..................................................................................311
23.8 Bus Matrix (MATRIX) User Interface .............................................................312
24 Static Memory Controller (SMC) ......................................................... 321
24.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .321
24.2 Embedded Characteristics ............................................................................321
24.3 I/ O Lin es Descr iption ....................... ................ ... ................ ................ ...........322
24.4 Product Dependencies ..................................................................................322
v
6500D–ATARM–29-Feb-12
SAM3S
24.5 External Memory Mapping .............................................................................323
24.6 Connection to External Devices ....................................................................324
24.7 Application Example ......................................................................................326
24.8 Standard Read and Write Protocols ..............................................................328
24.9 Scrambling/Unscrambling Function ...............................................................336
24.10 Automatic Wait States ...................................................................................337
24.11 Data Float Wait States ...................................................................................341
24.12 External Wait .................................................................................................345
24.13 Slow Clock Mode ...........................................................................................351
24.14 Asynchrono us Pa ge Mod e .......... .... ... ... ... ... ................. ................ ... ..............353
24.15 Static Memory Controller (SMC) User Interface ............................................356
25 Peripheral DMA Controller (PDC) ....................................................... 367
25.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .367
25.2 Embedded Characteristics ............................................................................367
25.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .369
25.4 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....370
25.5 Peripheral DMA Controller (PDC) User Interface ..........................................373
26 Clock Generator ................................................................................... 381
26.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .381
26.2 Embedded Characteristics ............................................................................381
26.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .382
26.4 Slow Clock .....................................................................................................382
26.5 Main Clock .....................................................................................................384
26.6 Div ide r an d PLL Block .... .... ................ ... ................ ................ ................ .... ....386
27 Power Management Controller (PMC) ................................................ 389
27.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .389
27.2 Embedded Characteristics ............................................................................389
27.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .390
27.4 Master Clock Controller .................................................................................390
27.5 Processor Clock Controller ............................................................................391
27.6 SysTick Clock ................................................................................................391
27.7 USB Clock Controller .....................................................................................391
27.8 Peripheral Clock Controller ............................................................................392
27.9 Free Running Processor Clock ......................................................................392
27.10 Programmab le Clock Output Cont ro ller . ................ ................ ................ .... ....392
vi 6500D–ATARM–29-Feb-12
SAM3S
27.11 Fast Startup ...................................................................................................393
27.12 Main Crystal Clock Failure Detector ..............................................................394
27.13 Programming Sequence ................................................................................394
27.14 Clock Switching Details ......... ... ... .... ................ ... ................ ................ ... ........397
27.15 Write Protection Registers .............................................................................400
27.16 Power Management Controller (PMC) User Interface ..................................401
28 Chip Identifier (CHIPID) ....................................................................... 431
28.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .431
28.2 Chip Identifier (CHIPID) User Interface ........................................................432
29 Parallel Input/Output Controller (PIO) ................................................ 439
29.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .439
29.2 Embedded Characteristics ............................................................................439
29.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .440
29.4 Product Dependencies ..................................................................................441
29.5 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....442
29.6 I/O Lines Programming Example ...................................................................455
29.7 Parallel Input/Output Controller (PIO) User Interface ....................................456
30 Synchronous Serial Controller (SSC) ................................................ 495
30.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .495
30.2 Embedded Characteristics .........................................................................495
30.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .496
30.4 Application Block Diagram .............................................................................496
30.5 Pin Name List ................................................................................................497
30.6 Product Dependencies ..................................................................................497
30.7 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....498
30.8 SSC Application Examples ............................................................................509
30.9 Sync h ron ou s Ser i al Co ntr o lle r (SSC) Use r In ter fa ce ......... ... ................ ........512
31 Serial Peripheral Interface (SPI) ......................................................... 539
31.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .539
31.2 Embedded Characteristics ............................................................................539
31.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .540
31.4 Application Block Diagram .............................................................................540
31.5 Signa l Desc rip tio n . ... ................ ................ ... ................. ................ ... ..............541
31.6 Product Dependencies ..................................................................................541
31.7 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....542
vii
6500D–ATARM–29-Feb-12
SAM3S
31.8 Serial Peripheral Interface (SPI) User Interface ............................................557
32 Two-wire Interface (TWI) ..................................................................... 573
32.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .573
32.2 Embedded Characteristics ............................................................................573
32.3 Li st of Ab br ev ia tio n s ........... ................ ................ ... ................ ................ .... ....574
32.4 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .574
32.5 Application Block Diagram .............................................................................575
32.6 Product Dependencies ..................................................................................575
32.7 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....576
32.8 Master Mode ..................................................................................................577
32.9 Mult i-m a ste r Mo d e .................... ... .... ................ ... ................ ................ ...........589
32.10 Slave Mode ....................................................................................................592
32.11 Two-wire Interface (TWI) User Interface .......................................................600
33 Universal Asynchronous Receiver Transceiver (UART) .................. 615
33.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .615
33.2 Embedded Characteristics ............................................................................615
33.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .616
33.4 Product Dependencies ..................................................................................616
33.5 UAR T Op e ratio ns ..... ... ... ................. ... ................ ................ ... ................ ........617
33.6 Universal Asynchronous Receiver Transmitter (UART) User Interface ........623
34 Universal Synchronous Asynchronous Receiver Transmitter
(USART) ................................................................................................ 633
34.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .633
34.2 Embedded Characteristics ............................................................................633
34.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .634
34.4 Application Block Diagram .............................................................................635
34.5 I/O Lines Description ....................................................................................636
34.6 Product Dependencies ..................................................................................637
34.7 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....638
34.8 Universal Synchron ous Asynchronous Receiver Transmitter (USART)
User Interface ...............................................................................................674
35 Timer Counter (TC) Programmer Datasheet ...................................... 701
35.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .701
35.2 Embedded Characteristics ............................................................................701
35.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .702
viii 6500D–ATARM–29-Feb-12
SAM3S
35.4 Pin Name List ................................................................................................703
35.5 Product Dependencies ..................................................................................703
35.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....704
35.7 Timer Counter (TC) User Interface ................................................................725
36 High Speed MultiMedia Card Interface (HSMCI) ................................ 751
36.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .751
36.2 Embedded Characteristics ............................................................................751
36.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .752
36.4 Application Block Diagram .............................................................................753
36.5 Pin Name List ................................................................................................753
36.6 Product Dependencies ..................................................................................754
36.7 Bus Topology .................................................................................................754
36.8 High Speed MultiMedia Card Operations ......................................................757
36.9 SD/SDIO Card Operation ..............................................................................765
36.10 CE-ATA Operation .........................................................................................766
36.11 HSMCI Boot Operation Mode ........................................................................767
36.12 HSMCI Transfer Done Timings .....................................................................768
36.13 Write Protection Registers .............................................................................769
36.14 High Speed MultiMedia Card Interface (HSMCI) User Interface ...................770
37 Pulse Width Modulation Controller (PWM) ........................................ 799
37.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .799
37.2 Embedded Characteristics ............................................................................799
37.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .800
37.4 I/ O Lin es Descr iption ....................... ................ ... ................ ................ ...........801
37.5 Product Dependencies ..................................................................................801
37.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....803
37.7 Pulse Width Modulation (PWM) Controller User Interface ............................833
38 USB Device Port (UDP) ........................................................................ 881
38.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .881
38.2 Embedded Characteristics ............................................................................881
38.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .882
38.4 Product Dependencies ..................................................................................883
38.5 Typical Connection ........................................................................................884
38.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....885
38.7 USB Device Port (UDP) User Interface .........................................................899
ix
6500D–ATARM–29-Feb-12
SAM3S
39 Analog Comparator Controller (ACC) ................................................ 919
39.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .919
39.2 Embedded Characteristics ............................................................................919
39.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .920
39.4 Pin Name List ................................................................................................920
39.5 Product Dependencies ..................................................................................921
39.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....922
39.7 Analo g Com pa ra to r Contr o lle r (AC C) Use r In te rfa ce ...... ... ................ ...........923
40 Analog-to-Digital Converter (ADC) ..................................................... 933
40.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .933
40.2 Embedded Characteristics ............................................................................933
40.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .934
40.4 Signal Description ..........................................................................................934
40.5 Product Dependencies ..................................................................................934
40.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....936
40.7 Analog-to-Digital Converter (ADC) User Interface .........................................946
41 Digital-to-Analog Converter Controller (DACC) ................................ 971
41.1 Desc rip tion ...... ... ....... ...... ....... ...... ....... ...... ... ....... ...... ....... ...... ....... ...... ....... ... .971
41.2 Embedded Characteristics ............................................................................971
41.3 Block Dia gr am .......... ................ ................ ................ .... ................ ................ .972
41.4 Signal Description ..........................................................................................972
41.5 Product Dependencies ..................................................................................972
41.6 Func t ion al De scr ipt i on ........... ... ... .... ... ... ................ ................ ... ................. ....974
41.7 Digital-to-Analog Converter (DACC) User Interface ......................................977
42 SAM3S4/2/1 Electrical Characteristics ............................................... 993
42.1 Abso lute Maximum Rating s ................... ................ ................ ... ................. ....993
42.2 DC Characteristics .........................................................................................994
42.3 Power Consumption ....................................................................................1000
42.4 Crystal Oscillat ors Charact eristics ...................... ...... .... ... ... ... ... .... ... ... ... .... ..1006
42.5 PLLA, PLLB Characteristics ........................................................................1013
42.6 USB Transceiver Characteristics .................................................................1014
42.7 12-Bit ADC Characteristics ..........................................................................1016
42.8 12-Bit DAC Characteristics ..........................................................................1020
42.9 Analog Comparator Characteristics .............................................................1023
42.10 Temperature Sensor ....................................................................................1023
x6500D–ATARM–29-Feb-12
SAM3S
42.11 AC Characterist ics .................... ... .... ... ................ ... ................ ................ .... ..102 4
43 SAM3S4/2/1 Mechanical Characteristics ......................................... 1042
43.1 Soldering Profile ..........................................................................................1051
43.2 Packaging Resources ..................................................................................1051
44 Ordering Information ......................................................................... 1052
45 SAM3S Series Errata ......................................................................... 1053
45.1 Marking ........................................................................................................1053
45.2 Errata Revision A Parts ...............................................................................1054
Revision History.................................................................................. 1057
Table of Contents....................................................................................... i
Headquarters International
Atmel Corporation
2325 Orchard Parkway
San Jose, CA 95131
USA
Tel: (+1) (408) 441-0311
Fax: (+1) (408) 487-2600
Atmel Asia Limited
Unit 01-5 & 16, 19F
BEA Tower, Millennium City 5
418 Kwun Tong Road
Kwun Tong, Kowloon
HONG KONG
Tel: (+852) 2245-6100
Fax: (+852) 2722-1369
Atmel Munich GmbH
Business Campus
Parkring 4
D-85748 Garching b. Munich
GERMANY
Tel: (+49) 89-31970-0
Fax: (+49) 89-3194621
Atmel Japan
9F, Tonetsu Shinkawa Bldg.
1-24-8 Shinkawa
Chuo-ku, Tokyo 104-0033
JAPAN
Tel: (81) 3-3523-3551
Fax: (81) 3-3523-7581
Product Contact
Web Site
www.atmel.com
www.atmel.com/AT91SAM
Technical Support
AT91SAM Support
Atmel technical support
Sales Contacts
www.atmel.com/contacts/
Literature Requests
www.atmel.com/literature
Disclaimer: The information in this document is provided in connection with Atmel products. No license, express or implied, by estoppel or otherwise, to any
intellectual proper ty right is granted by this document or in connection with the sale of Atmel products. EXCEPT AS SET FORTH IN ATMEL’S TERMS AND CONDI-
TIONS OF SALE LOCATED ON ATMEL’S WEB SITE, ATMEL ASSUMES NO LIABILITY WHATSOEVER AND DISCLAIMS ANY EXPRESS, IMPLIED OR STATUTORY
WARRANTY RELATING TO ITS PRODUCTS INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF MERCHANTA BILITY, FITNESS FOR A PARTICULAR
PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL ATMEL BE LIABLE FOR ANY DIRECT, INDIRECT, CONSEQUENTIAL, PUNITIVE, SPECIAL OR INCIDEN-
TAL DAMAGES (INCLUDING, WITHOUT LIMITATION, DAMAGES FOR LOSS OF PROFITS, BUSINESS INTERRUPTION, OR LOSS OF INFORMATION) ARISING OUT
OF THE USE OR INABILITY TO USE THIS DOCUMENT, EVEN IF ATMEL HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. Atmel makes no
representations or warranties with respect to the accuracy or compl etene ss o f the cont ents of this document and reserves the r ig h t to ma ke changes to specification s
and product descr iptions at any time without notice. Atmel does not make any commitment to update the information contained herein. Unless s pecifically provided
otherwise, Atmel products are not suitable for, and shall not be used in, automo tive applications. Atmel’s products are not intended, authorized, or warranted for use
as components in appl ications intended to support or sustain life.
© 2012 Atmel Corporation. All rights reserved. Atmel®, Atmel logo and combinations thereof, SAMBA®, DataFlash®and others are registered
trademarks or trademarks of Atmel Corporation or its subsidiaries. ARM®, ARMPowered®logo, Cortex®, Thumb®-2 and others are registered
trademarks or trademarks of ARM Ltd. Win dows® and others are registered trademarks or trademarks of Microsoft Cor poration in the US and/or
other countries. Other terms and product names may be trademarks of others.
6500D–ATARM–29-Feb-12